JP5456973B2 - ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法 - Google Patents

ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法 Download PDF

Info

Publication number
JP5456973B2
JP5456973B2 JP2007539278A JP2007539278A JP5456973B2 JP 5456973 B2 JP5456973 B2 JP 5456973B2 JP 2007539278 A JP2007539278 A JP 2007539278A JP 2007539278 A JP2007539278 A JP 2007539278A JP 5456973 B2 JP5456973 B2 JP 5456973B2
Authority
JP
Japan
Prior art keywords
composition
polymer
circuit
photoresist
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007539278A
Other languages
English (en)
Other versions
JP2008519295A (ja
Inventor
ウェイ ムン リー
Original Assignee
イー.ケー.シー.テクノロジー.インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=35735160&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP5456973(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by イー.ケー.シー.テクノロジー.インコーポレーテッド filed Critical イー.ケー.シー.テクノロジー.インコーポレーテッド
Publication of JP2008519295A publication Critical patent/JP2008519295A/ja
Application granted granted Critical
Publication of JP5456973B2 publication Critical patent/JP5456973B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/12Using specific substances
    • H05K2203/122Organic non-polymeric compounds, e.g. oil, wax, thiol

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

発明の詳細な説明
〔関連出願のクロスレファレンス〕
この出願は、同様の表題で2004年10月29日に提出した米国仮出願第60/623,190号の利益を主張する。また、本出願と同日に提出の、共通に譲渡されている同時係属特許出願(表題“ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法”)に関連し、かつ本出願と同日に提出の、共通に譲渡されている同時係属特許出願(表題“デュアルダマシンシステム用のリムーバー組成物”)に関連する。これらすべての全内容が参照によって本明細書に取り込まれる。
〔技術分野〕
開示する実施態様は、一般的に集積回路、半導体パッケージ、及びプリント基板の製作に関する。さらに詳しくは、本実施態様は、下層にある基板又は材料を傷つけずに、ポリマーを除去し、エッチング/アッシング残渣を洗浄するための組成物と方法に関する。
〔背景〕
一方でポータブル及びワイヤレスコンピューティングに対する最近の傾向、他方で携帯電話及びパーソナルコンピューター(PC)の物価は、低コストでありながら高性能でありうる、より小型の集積回路(IC)、ICパッケージ、及び電子製品に対する要求を生じさせた。フリップチップ技術を含むウェーハレベルパッケージング(WLP)がこの要求を取り扱う。
WLPは、ウェーハレベルで形成されるICパッケージングである。WLPによれば、IC配線はウェーハ上でまとめて製作され、かつダイシング前にウェーハ上で完全なICモジュールが構築される。WLPを用いて得られる利益として、例えば、I/O密度の増加、操作速度の改善、パワー密度と熱管理の増強、及びパッケージサイズの縮小が挙げられる。
WLPにとってキーとなるものの1つは、ウェーハ上でのフリップチップ導電性配線バンプの構築である。これら配線バンプは、半導体成分のプリント配線板への電気的及び物理的接続として働く。半導体デバイス上に配線バンプを形成するためのいくつかの方法、例えば、はんだプレートバンピング、エバポレーションバンピング、導電接着ボンディング、ステンシル印刷はんだバンピング、スタッドバンピング、及びボール配置バンピングが提案されている。これら技術のなかで、微細なピッチ配列を形成するために最も費用効果の高い技術ははんだプレートバンピングであると考えられており、この技術は一時的なフォトレジストメッキマスクと電気メッキの組合せを含む。この技術は、マイクロプロセッサー、デジタル信号プロセッサー、及びアプリケーション特有の集積回路のような高付加価値アセンブリー用の全領域配線バンプ技術として急速に採用されている。
WLPでは、ウェーハ鋳造工場でフロント-エンドIC製作とバック-エンドIC組立が行われる。ウェーハ製作直後であるが、検査前に、接続(例えば、はんだバンプ)がウェーハ上に形成される。接続の検査とバーンインを行った後、パッケージICに個片化する。フィリップチップ技術は、ICボンドパッド上の導電性バンプを用いて、基板、回路板、又は担体上のフェースダウン電気コンポーネントのための電気接続を形成する。
WLPプロセスの際、ボンドパッド分布及びはんだバンプ構築のため等、ウェーハ上にパターンの輪郭を描くためにフォトリソグラフィー工程が必要である。このフォトリソグラフィープロセスは、フォトレジストのストリッピング工程とエッチング残渣の除去工程を含む。これら物質の効率的な除去に失敗すると、コンタミネーション、収率の損失、検査及びボードレベル組立におけるダウンストリーム問題、並びにフィールドでの信頼性のフォールアウトをもたらしうる。
フォトレジストは、通常アクリル樹脂、ケイ皮酸ポリビニル、ジアゾ化合物、フェノール-ホルムアルデヒド、又は他の同様の膜形成材料で構成される。それぞれ図1及び図2に示されるように、乾式(例えば、ラミネーションによって)又は湿式(例えば、スピンコーティングによって)ウェーハ表面にフォトレジストを施すことができる。さらに、フォトリソグラフィー加工の際、フォトレジストを紫外線によって、重合又は架橋させて、硬く、化学的に抵抗性の膜とする。
半導体ウェーハ及びプリント基板(printed circuit board(PCB))の製造では、基板をフォトレジストで被覆する。このフォトレジストを化学作用のある放射線にさらしてから露光又は非露光フォトレジストを適切な現像液で除去して残存フォトレジストにパターンを生成する。この残存フォトレジストは、下層にある基板の被覆領域を保護する。露光領域はエッチングされ(例えば、ウェットエッチング液又はプラズマエッチングを用いて)又はその上にさらに添加物質が沈着される(例えば、スパッタリング、化学蒸着、電気化学メッキ又は無電極メッキによって)。半導体ウェーハ基板は、その表面上に露出されたシリコン、酸化物、シリコンの窒化物、Low-k誘電体、又は金属(例えば、銅、アルミニウム、タングステン、ニッケル、スズ、鉛、銀、金、又は合金)を含みうる。PCBは、多くの同様の材料を含んでよい。さらに、WLPでバンプ形成のためレジスト及びフラックスなどの有機材料が用いられる(例えば、銅ポスト電気メッキ及びはんだペーストバンピング)。
エッチング又は沈着後、残存フォトレジストを除去しなければならない。基板上に残る材料の除去はさらに難しい。挑戦は、フォトレジストだけを除去し、他のいずれの材料もエッチング又は腐食せず、或いはストリッパー又はフォトレジストからのいずれの残渣も残しておくことである。当該様式、すなわち、加工したウェーハ又はPCBの露出している他の材料を腐食せずにフォトレジストをストリッピング又は除去するのに選択しうるストリッパーを見つけることは難しい。望ましいものは、許容しうるレベルの腐食しか引き起こさないストリッパーである。この許容しうるレベル未満であれば、さらなる加工が影響を受けず、かつ生成物の電気的動作が影響を受けない。
従来のフォトレジストの組成物及び方法は、部分的にはWLP加工で用いられる高いはんだリフロー温度(例えば、150℃〜400℃)及び大きいレジスト厚のため、WLP加工と適合しない。従って、WLP用の新しいフォトレジストが開発されたが、順次、フォトレジストストリッピング及び残渣除去のための新しい組成物と方法を必要としている。
メチル-2-ピロリドン(NMP)単独及びNMPとアルカノールアミンに基づいて調製されたフォトレジストストリッパー製品、例えばBaker製のPRS100、Clariant製のAZ400T及びEKCのEKC830は、処理条件がフォトレジストに影響を及ぼし、例えば、架橋及び殻形成(encrustation)のため、フォトレジストの除去を困難にし、このフォトレジストのストリッピングを予測不可能にするので、WLPには有効でない。従来の低密度PCB加工から移動するストリッパー、例えば、ジメチルスルホキシド(DMSO)とアルカリ性塩基(例えば、NaOH又はKOH)は、ICの金属イオンコンタミネーションの可能性があるため、WLPパッケージング及び高密度PCB用途には望ましくない。ウェーハレベル配線はダイの活性領域内に位置しなければならないので、非常に高い入力/出力(I/O)ICは、非常に密接したピッチで非常に小さいはんだボールを必要とする。例えば、図1は、100ミクロンピッチの30ミクロンのはんだボールの配列を示す。高密度PCBは、通常、高いI/OのIC要求に見合うように、密接したピッチで小型のはんだボールのための25ミクロンかそれより良いフォトリソグラフィーを用いる必要がある。はんだボールのサイズが減少するにつれて、はんだボールのところで信頼性のある電気接触を妨げるために必要な未除去フォトレジストの量も減少する。従って、完全にフォトレジストを除去して、より小型のはんだボールが、必要な性能品質を提供することがますます重要になってきている。さらに、ICレベルにおけるのと比較して、WLP及びPCB用途では、より厚いフォトレジスト層(例えば、10倍)のため、当業者にとって、ICで用いられるストリッパーがWLP及びPCB用途で働くのか、またどれが働くのか予測できない。さらに、溶解プロセスではなくリフトオフプロセスによってフォトレジストを除去することは、再沈着の可能性のため、あまり許容されない。従って、リフトオフに比し、溶解されるフォトレジストの量を増やす構成に対する要望が存在する。
PCBは、基板(例えば、ガラス、セラミック、プラスチックフィルム又はエポキシ-ガラス積層板)上に銅の薄層をメッキすることによって製造される。回路パターンは、フォトレジストマスキング及び銅エッチング溶液を用いて銅層内に形成される。或いは、パターン化されたフォトレジスト層上に銅をメッキして回路パターンを作製できる。どちらの場合も、露出している高度に架橋したフォトレジストをPCB基板から除去しなければならない。PCBからフォトレジストを除去するための組成物と方法は以下の米国特許に記載されている:第3,650,969号;第3,600,322号;第3,147,224号;第3,075,923号;第4,269,724号;第4,278,577号;第3,789,907号;第3,625,763号;第3,813,309号;第3,625,763号;第4,483,917号;及び第4,592,787号。開示されている多くのフォトレジストストリッパーは、極端に毒性かつ発癌物質である塩化メチレンを含む。
従来のフォトレジストストリッパーは溶媒とアルカリ性塩基を含む。ストリッピング用途で使うことで知られている溶媒/アルカリ性塩基混合物タイプのフォトレジストストリッパーの例として、米国特許第4,770,713号及び第4,403,029C号に記載されているようなジメチルアセトアミド又はジメチルホルムアルデヒドとアルカノールアミン;米国特許第4,428,871号、第4,401,747号、及び第4,395,479号に記載されているような2-ピロリドン、ジアルキルスルホンとアルカノールアミン;並びに米国特許第4,744,834号に記載されているような2-ピロリドンと水酸化テトラメチルアンモニウムが挙げられる。米国特許第5,962,197号は、水分含量が1%未満の、溶媒と、界面活性剤と0.1〜5%の水酸化カリウムの混合物を用いてフォトレジスト又ははんだマスクを除去するためのストリッパーを開示している。水酸化カリウムは、例えば、銅基板に望ましくない酸化作用を引き起こし、さらに1%未満の水は、ストリッピングする材料をストリッパーにあまり溶けないようにするか、又は不溶性にしてしまう。米国特許第5,091,103号は、N-アルキル-2-ピロリドン、1,2-プロパンジオール及び水酸化テトラアルキルアンモニウムを含むフォトレジストストリッパー組成物を開示している。米国特許第5,846,695号は、集積回路製作におけるフォトレジスト及びフォトレジスト残渣の除去のための、求核性アミンと糖及び/又は糖アルコールと組み合わせた、コリンのような四級アンモニウムヒドロキシドの水溶液を開示している。しかし、この特許は、腐食を防止するために糖及び/又は糖アルコールを必要としている。一方、本発明は、糖及び/又は糖アルコールを含まないにもかかわらず低率の腐食をもたらす。不運なことに、これらフォトレジストストリッパー、及び他の水性ストリッパーは、硬いベークドフォトレジストを完全には除去できず、かつ下層にある基板の冶金(特に配線材料として銅が用いられている)を腐食する。
PCB内の銅線間の距離が減少するにつれて(或いは、同義的に、PCB内のピッチ(線/距離)が増すにつれて)、従来のストリッパーは無効になり、かつ基板上に残存するフォトレジストの量が増える。メッキ分布を制御し、メッキ中ずっと精錬し、かつ高価な微細線PCB上のショートを回避するためには完全なレジストストリッピングが必要である。
WLP及びPCB製造におけるこれらの問題を取り扱うため、新世代のフォトレジストストリッパー組成物と方法が要求されている。
〔概要〕
本発明は、特に、IC、ウェーハ基板上のWLP回路、及びPCBから、ポリマー、エッチング後残渣、及び酸素アッシング後残渣を除去するための組成物と方法を提供することによって、上記限界及び欠点を克服する。
本発明の第1局面は、基板を、有効量の有機アンモニウム化合物;約2〜約20質量%のオキソアンモニウム化合物;及び水を含有する混合物と接触させる工程を含む方法に関する。前記有機アンモニウム化合物は下記一般構造を有する。
Figure 0005456973
式中:
Xは、ヒドロキシド;サルフェート;水素サルフェート(硫酸水素);ホスフェート;水素ホスフェート(リン酸水素);二水素ホスフェート(リン酸二水素);ニトレート;カルボキシレート(例えば、アセテート、ベンゾエート、カルバメート、ホルメート、ラクテート、オキサレート、水素オキサレート(シュウ酸水素)、シトレート、水素シトレート(クエン酸水素)、二水素シトレート(クエン酸二水素)、タートレート、水素タートレート(酒石酸水素)、ガレート(サブガレート)、シンナメート等);ハライド、例えばクロライド、フルオライド、ヨーダイド、ブロマイド等;カーボネート;水素カーボネート(ビカーボネート)(炭酸水素);ビフルオライド等でよく;
R1は、アルキル基(例えば、メチル、エチル、プロピル、ブチル等)又は三級アミンと有機エポキシの反応から誘導される基でよく;かつ
R2、R3、及びR4は水素でなく、かつ独立にアルキル、ベンジル、ヒドロキシアルキル、フェニル、又は三級アミンと有機エポキシの反応から誘導される基、又は三級アミンに含まれる別の基でよい。
前記オキソアンモニウム化合物は、下記構造の1つを有する。
Figure 0005456973
式中:
Xは、ヒドロキシド;サルフェート;水素サルフェート(硫酸水素);ホスフェート;水素ホスフェート(リン酸水素);二水素ホスフェート(リン酸二水素);ニトレート;カルボキシレート(例えば、アセテート、ベンゾエート、カルバメート、ホルメート、ラクテート、オキサレート、水素オキサレート(シュウ酸水素)、シトレート、水素シトレート(クエン酸水素)、二水素シトレート(クエン酸二水素)、タートレート、水素タートレート(酒石酸水素)、ガレート(サブガレート)、シンナメート等);ハライド、例えばクロライド、フルオライド、ヨーダイド、ブロマイド等;カーボネート;水素カーボネート(ビカーボネート)(炭酸水素);ビフルオライド等でよく;
各R5は、独立に水素、置換C1-C6直鎖、分岐、若しくは環式アルキル、アルケニル、又はアルキニル基、置換アシル基、直鎖若しくは分岐アルコキシ基、アミジル基、カルボキシル基、アルコキシアルキル基、アルキルアミノ基、アルキルスルホニル基、又はスルホン酸基、フェニル基、置換フェニル基、アリール基、置換アリール基、又はその塩若しくは誘導体でよく;かつ
各R6及びR7は、独立に水素、ヒドロキシル基、置換C1-C6直鎖、分岐、若しくは環式アルキル、アルケニル、又はアルキニル基、置換アシル基、直鎖若しくは分岐アルコキシ基、アミジル基、カルボキシル基、アルコキシアルキル基、アルキルアミノ基、アルキルスルホニル基、又はスルホン酸基、フェニル基、置換フェニル基、アリール基、置換アリール基、又はその塩若しくは誘導体でよい。
本発明の上記局面、並びにさらなる局面及びその実施態様のより良い理解のため、添付図面と共に以下の実施態様の説明を参照されたい。
〔実施態様の説明〕
特にIC、WLP、及びPCBにおけるポリマー及び残渣を除去するための組成物と方法について述べる。本発明の特定の実施態様に言及するが、その実施例は、添付の表及び図面に示されている。本発明を実施態様と関連して述べるが、これら特定の実施態様だけに本発明を限定する意図でないことは分かるだろう。反対に、本発明は、添付の特許請求の範囲によって定義されるとおりの本発明の精神及び範囲内にある代替、変更及び均等物も包含することを意図している。
さらに、以下の説明では、本発明の完全な理解を与えるため、いくつかの特有の詳細について述べる。しかし、当業者には、これらの特定の詳細がなくても本発明を実施できることが明かだろう。当業者に周知の他の例、方法及び手順については、本発明の局面を理解し難くすることを避けるため、詳述しない。
基板からポリマー、エッチング残渣、又は両方を除去する典型的な方法は、通常、上に回路又は回路の一部が存在する基板(例えば、WLP又はPCB基板)を本発明の組成物に接触させる工程を含む。前記組成物は、有効量の有機アンモニウム化合物、有効量の1又は2以上のオキソアンモニウム化合物、水を含み、任意に有機溶媒を含んでよい。
有利には、本発明の組成物は、基板と関係がある回路、又はその一部の動作性を維持しながら、基板からポリマー、エッチング残渣、又は両方を除去することができる。例えば、このことは、該除去工程が、使用後に該材料のFT-IRスペクトル、誘電率、屈折率(RI)、又は厚さを実質的に変えないときに、起こりうる。
前記有機アンモニウム化合物は下記一般構造を有する。
Figure 0005456973
式中:
Xは、ヒドロキシド;サルフェート;水素サルフェート(硫酸水素);ホスフェート;水素ホスフェート(リン酸水素);二水素ホスフェート(リン酸二水素);ニトレート;カルボキシレート(例えば、アセテート、ベンゾエート、カルバメート、ホルメート、ラクテート、オキサレート、水素オキサレート、シトレート、水素シトレート、二水素シトレート、タートレート、水素タートレート、ガレート(サブガレート)、シンナメート等);ハライド、例えばクロライド、フルオライド、ヨーダイド、ブロマイド等;カーボネート;水素カーボネート(ビカーボネート)(炭酸水素);ビフルオライド等でよく;
R1は、アルキル基(例えば、メチル、エチル、プロピル、ブチル等)又は三級アミンと有機エポキシの反応から誘導される基でよく;かつ
R2、R3、及びR4は水素でなく、かつ独立にアルキル、ベンジル、ヒドロキシアルキル、フェニル、又は三級アミンと有機エポキシの反応から誘導される基、又は三級アミンに含まれる別の基でよい。
有機アンモニウム化合物のさらなる例として、限定ではなく、以下のものが挙げられる:ベンジルトリメチルアンモニウムヒドロキシド、ジメチルジエチルアンモニウムヒドロキシド、エチルトリメチルアンモニウムヒドロキシド、メチルトリエチルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラメチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド等、及びその組合せ。
いくつかの実施態様では、有機アンモニウム化合物は、下記一般構造:
Figure 0005456973
(式中、R8は、水素又はアルキル、芳香族などでよい)
の有機エポキシと、下記三級アミン:
Figure 0005456973
との反応の生成物であり、これが有機アンモニウムヒドロキシド化合物を形成する。ヒドロキシド化合物を種々の酸と反応させて対応する有機アンモニウム塩を形成することによって、他の有機アンモニウム化合物を誘導できる。
有機エポキシの例として、限定ではなく、以下のものが挙げられる:エチレンオキシド、1,2 エポキシプロパン、1,2 エポキシブタン、1,2 エポキシシクロドデカン、1,2 エポキシシクロペンタン、1,2 エポキシデカン、1,2 エポキシ-9-デセン、1,2 エポキシエチルベンゼン、1,2 エポキシヘキサン、1,2 エポキシ-3-メチルブタン、1,2 エポキシノナン、1,2 エポキシオクタデカン、1,2 エポキシオクタン、1,2 エポキシペンタデカン、1,2 エポキシペンタン、1,2 エポキシ-3-フェノキシプロパン、1,2 エポキシテトラデカン、1,2 エポキシトリデカン、1,2 エポキシウンデカン等、及びその組合せ。
三級アミンの例として、限定ではなく、以下のものが挙げられる:トリメチルアミン、トリエチルアミン、トリベンジルアミン、トリブチルアミン、トリドデシルアミン、トリエタノールアミン、N,N,N'-トリエチルエチレンジアミン、トリヘキシルアミン、トリイソオクチルアミン、トリイソプロパノールアミン、N N 5 トリメチルフルフリルアミン、トリオクチルアミン、トリフェニルアミン、トリス(2-アミノエチル)アミン、トリス[2-(2 メトキシエトキシ)エチル]アミン、トリ-p-トリルアミン、トリチルアミン、N2-アルキル-ジエチレントリアミン、ヘキサメチレンテトラミン、N,N,N',N'-テトラメチルエチレンジアミン等、及びその組合せ。
好ましい実施態様では、有機アンモニウム化合物は、少なくとも1つの以下のものを含む:コリン塩(すなわち、R1〜R4の1つがヒドロキシエチル成分で、R1〜R4の他の3つがアルキル基、例えばメチルである)、ビス-コリン塩(すなわち、R1〜R4の2つがヒドロキシエチル成分で、R1〜R4の他の2つがアルキル基、例えばメチルである)、及びトリス-コリン塩(すなわち、R1〜R4の3つがヒドロキシエチル成分で、R1〜R4の他の1つがアルキル基、例えばメチルである)。好ましい塩の対イオンは変化しうるが、本発明の組成物で使うのに特に好ましい塩の対イオンは、ヒドロキシド対イオンであり、クロライド、カーボネート、及び水素カーボネート(炭酸水素)対イオンも好ましい。
前記オキソアンモニウム化合物は典型的には還元剤(すなわち、還元電位を有する)であり、水と混和性でなければならず、かつ下記構造の1つを有する。
Figure 0005456973
式中:
Xは、ヒドロキシド;サルフェート;水素サルフェート(硫酸水素);ホスフェート;水素ホスフェート(リン酸水素);二水素ホスフェート(リン酸二水素);ニトレート;カルボキシレート(例えば、アセテート、ベンゾエート、カルバメート、ホルメート、ラクテート、オキサレート、水素オキサレート、シトレート、水素シトレート、二水素シトレート、タートレート、水素タートレート、ガレート(サブガレート)、シンナメート等);ハライド、例えばクロライド、フルオライド、ヨーダイド、ブロマイド等;カーボネート;水素カーボネート(ビカーボネート)(炭酸水素);ビフルオライド等でよく;
各R5は、独立に水素、置換C1-C6直鎖、分岐、若しくは環式アルキル、アルケニル、又はアルキニル基、置換アシル基、直鎖若しくは分岐アルコキシ基、アミジル基、カルボキシル基、アルコキシアルキル基、アルキルアミノ基、アルキルスルホニル基、又はスルホン酸基、フェニル基、置換フェニル基、アリール基、置換アリール基、又はその塩若しくは誘導体でよく;かつ
各R6及びR7は、独立に水素、ヒドロキシル基、置換C1-C6直鎖、分岐、若しくは環式アルキル、アルケニル、又はアルキニル基、置換アシル基、直鎖若しくは分岐アルコキシ基、アミジル基、カルボキシル基、アルコキシアルキル基、アルキルアミノ基、アルキルスルホニル基、又はスルホン酸基、フェニル基、置換フェニル基、アリール基、置換アリール基、又はその塩若しくは誘導体でよい。
オキソアンモニウム化合物の例として、限定ではなく、以下のものが挙げられる:ヒドロキシルアミン、ヒドロキシルアミンサルフェート、ヒドロキシルアミンホスフェート、ヒドロキシルアミンクロライド、ヒドロキシルアミンニトレート、ヒドロキシルアミンシトレート、N,N-ジエチルヒドロキシルアミン、イソプロピルヒドロキシルアミン、及びその組合せ。
好ましい実施態様では、オキソアンモニウム化合物は、以下のものの少なくとも1つをを含む:ヒドロキシルアミン(すなわち、式Iで、R5〜R7がすべて水素の場合)、ヒドロキシルアミン塩(すなわち、式IIで、R5〜R7がすべて水素の場合)、及びヒドロキシルアミン誘導体(すなわち、式Iで、例えば、R5が水素で、R6とR7が独立にC1-C4アルキル基の場合)。式IIのオキソアンモニウム塩が存在する場合、本発明の組成物で使うのに特に好ましい塩の対イオンは、サルフェート、水素サルフェート(硫酸水素)、又はニトレート対イオンであるが、カルボキシレート、クロライド、ホスフェート、水素ホスフェート(リン酸水素)、及び二水素ホスフェート(リン酸二水素)も好ましい塩の対イオンである。
いくつかの実施態様では、水と混和しうる有機極性溶媒を含んでよい。好適な有機極性溶媒として、限定するものではないが、アルカノールアミンとその誘導体が挙げられる。さらに、ジメチルスルホキシド(DMSO)等の非-アミン溶媒も使用に適する。好適なアルカノールアミンとして、限定するものではないが、一級、二級、又は三級アミン、好ましくはモノアミン、ジアミン、又はトリアミンが挙げられる。アルカノールアミンのアルカノール基は、好ましくは約1〜約5個の炭素原子を有する。さらに、或いはこれとは別に、好適なアルカノールアミンは、化学式R10R11-N-CH2CH2-O-R12で表され、R10及びR11は、それぞれ独立にH、CH3、CH3CH2、CH2CH2OH、又はCH2CH2-N-R10R11でよく、かつR12はH又はCH2CH2OHである。好適なアルカノールアミンの例として、限定するものではないが、モノエタノールアミン(MEA)、ジエタノールアミン、トリエタノールアミン、アミノエチルエタノールアミン(AEEA)、三級ブチルジエタノールアミン、イソプロパノールアミン、2-アミノ-1-プロパノール、3-アミノ-1-プロパノール、イソブタノールアミン、2-アミノ-2-エトキシプロパノール、2-アミノ-2-エトキシ-エタノール(ジグリコールアミンとしても知られる)、及びその組合せが挙げられる。
使用に好適な有機極性溶媒のさらなる例として、限定するものではないが、N-メチル-2-ピロリジノン、N,N-ジメチルプロパンアミド、N,N-ジエチルホルムアミド、エチレングリコール、エチレングリコールアルキルエーテル、ジエチレングリコールアルキルエーテル、トリエチレングリコールアルキルエーテル、プロピレングリコール、プロピレングリコールアルキルエーテル、ジプロピレングリコールアルキルエーテル、トリプロピレングリコールアルキルエーテル、N-置換ピロリドン、エチレンジアミン、及びエチレントリアミン、ジメチルアセトアミド(DMAc)、プロピレングリコール(PG)、ジプロピレングリコールモノメチルエーテル(DPM)、N-メチルピロリドン(NMP)、又はシクロヘキシルピロリドン(CHP)、又はそれらの混合物が挙げられる。技術上周知の水と混和性のさらなる有機極性溶媒も使用できる。
本発明の組成物に存在する場合、好ましい有機極性溶媒として、必ずしも限定するものではないが、NMP、DMSO、DGA、MEA、プロピレングリコール、及びその混合物が挙げられる。本発明の組成物に存在する場合、使用するいずれの溶媒も通常有機的、極性、かつほとんど水に混和性でなければならない。
いくつかの実施態様では、キレート剤を含んでよく、これは、時には特定材料に対する腐食を阻止するためにも作用するだろう。キレート剤が存在する場合、キレート剤は、一般的に金属(例えば、銅)が腐食するのを保護するために機能し、種々の分類の化合物から選択することができ、当該技術を含む他の系で銅の腐食防止に使用されるいずれの化合物も含まれる。
さらに詳しくは、下記式で表される分類の化合物を利用しうる。
Figure 0005456973
ここで、X、Y、及びZは、C、N、O、S、P、及びその混合物から独立に選択される。この条件下で、ペンダントR基の原子価要件と存在を適宜設定することができる。ペンダントR基R1〜R5として、それぞれ独立に、限定ではなく、以下のものが挙げられる:H;置換C1-C6直鎖、分岐若しくは環式アルキル、アルケニル又はアルキニル基;直鎖若しくは分岐アルコキシ基;置換アシル基;直鎖若しくは分岐アルコキシ基;アミジル基;ヒドロキシル基;ハロゲン;カルボキシル基;アルコキシアルキル基;アルキルアミノ基;アルキルスルホニル基;スルホン酸基;このような化合物の塩;又はそれらの混合物。1つの好ましい実施態様では、X、Y、及びZがそれぞれ窒素、窒素、及び炭素であり、かつR1〜R5がそれぞれ水素である。別の好ましい実施態様では、X、Y、及びZがそれぞれ窒素で、R3が水素で、かつR4とR5が一緒にX及びYと結合して6-員芳香環構造を構成している。
存在する場合、好ましいキレート剤は、本発明の組成物によって除去される物質と錯体を形成でき、1又は2以上の以下のものが挙げられる:アミノカルボン酸、例えばN-ヒドロキシエチルイミノ二酢酸、ニトリロ三酢酸(NTA)、エチレンジアミン四酢酸(EDTA)、N-ヒドロキシエチレンジアミン三酢酸(HEDTA)、及びジエチレントリアミン五酢酸(DTPA)、環式カルボン酸、並びにアミノと環式カルボン酸、例えば1〜20、好ましくは2〜10、さらに好ましくは2〜6個の炭素原子を有する飽和及び不飽和脂肪族及び芳香族モノ-及びジカルボン酸、例えばギ酸、酢酸、プロピオン酸、酪酸、カプリル酸、カプリン酸、ラウリン酸、ミリスチン酸、パルミチン酸、ステアリン酸、アクリル酸、プロピオル酸、メタクリル酸、クロトン酸、イソクロトン酸及びオレイン酸など、シクロヘキサンカルボン酸、安息香酸、フェニル酢酸、o-、m-、p-トルイル酸、o-、p-クロロ安息香酸、o-、p-ニトロ安息香酸、サリチル酸、フタル酸、ナフトエ酸、ケイ皮酸、ニコチン酸、及び置換非環式及び環式カルボン酸、例えば、乳酸、リンゴ酸、マンデル酸、サリチル酸、アニス酸、バニリン酸、ベラトロン酸(veratroic acid)など、オキソカルボン酸、例えばグリオキシル酸、ピルビン酸、アセト酢酸、レブリン酸との塩;α-アミノカルボン酸、すなわちすべてのα-アミノカルボン酸、例えばアラニン、アルギニン、システイン、プロリン、トリプトファン、チロシン及びグルタミンのみならず他のアミノカルボン酸も、例えば馬尿酸、アントラニル酸、カルバミン酸、カルバジン酸、ヒダントイン酸、アミノヘキサン酸、及び3-及び4-アミノ安息香酸;2〜20個の炭素原子を有する飽和及び不飽和ジカルボン酸、例えばシュウ酸、マロン酸、コハク酸、グルタル酸、アジピン酸、ピメリン酸、スベリン酸、アゼライン酸、セバシン酸、マレイン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸及びソルビン酸など、並びに上記カルボン酸のエステル、中でも特にメチル、エチル及びエチルヘキシルエステルに言及すべきだろう。
銅腐食抑制剤として作用しうる別の分類のキレート剤、ヒドロキシベンゼンは、独立して、或いは本明細書で既に引用した分類のものと共に使用しうる。これは、下記式で表される一般的分類を含む:
Figure 0005456973
式中、n=1〜4、m=2〜5かつRは、独立に水素、置換C1-C6直鎖、分岐若しくは環式アルキル、アルケニル、又はアルキニル基;置換アシル基、直鎖若しくは分岐アルコキシ基、アミジル基、ハロゲン、カルボキシル基、アルコキシアルキル基、アルキルアミノ基、アルキルスルホニル基、又はスルホン酸基、又はこのような化合物の塩である。このようなキレート剤の好適な特定例として、限定するものではないが、カテーテル、t-ブチルカテーテル及びベンゾトリアゾールが挙げられる。
通常、本発明の組成物に存在する有機アンモニウム化合物の量は、除去すべきフォトレジスト/ポリマー及び/又は残渣の化学的及び/又は物理的性質に依存して変化しうる。一実施態様では、本発明の組成物は、約0.4質量%〜約50質量%、好ましくは約0.5質量%〜約45質量%、例えば約1質量%〜約30質量%又は約8質量%〜約25質量%、或いは約0.4質量%〜約10質量%、約15質量%〜約45質量%、又は約9質量%〜約23質量%の有機アンモニウム化合物を含むことができる。
典型的に、本発明の組成物に存在する1又は2以上のオキソアンモニウム化合物の量は、除去すべきフォトレジスト/ポリマー及び/又は残渣の化学的及び/又は物理的性質に依存して変化しうる。一実施態様では、本発明の組成物は、約0.1質量%〜約12質量%、好ましくは約0.4質量%〜約10質量%、例えば約0.5質量%〜約5質量%又は約2質量%〜約10質量%、或いは約0.3質量%〜約3質量%又は約3質量%〜約11質量%の1又は2以上のオキソアンモニウム化合物を含むことができる。
典型的に、本発明の組成物に存在する水の量は、除去すべきフォトレジスト/ポリマー及び/又は残渣の化学的及び/又は物理的性質に依存して変化しうる。一実施態様では、本発明の組成物は、約10質量%〜約95質量%、好ましくは約15質量%〜約94質量%、例えば約20質量%〜約90質量%、或いは約12質量%〜約30質量%、約48質量%〜約60質量%、又は約75質量%〜約90質量%の水を含むことができる。
本発明の組成物に存在する任意的な有機溶媒の量は、除去すべきフォトレジスト/ポリマー及び/又は残渣の化学的及び/又は物理的性質に依存して変化しうる。存在する場合、本発明の組成物は、有利には、約10質量%〜約95質量%、好ましくは約25質量%〜約85質量%、例えば約30質量%〜約80質量%又は約45質量%〜約75質量%、或いは約2質量%〜約40質量%、約5質量%〜約50質量%、又は約50質量%〜約90質量%の任意的な有機溶媒を含むことができる。
本発明の組成物に存在する任意的なキレート剤の量は、除去すべきフォトレジスト/ポリマー及び/又は残渣の化学的及び/又は物理的性質に依存して変化しうる。存在する場合、本発明の組成物は、有利には、約0.1質量%〜約10質量%、好ましくは約0.2質量%〜約8質量%、例えば約0.3質量%〜約4質量%又は約0.5質量%〜約5質量%、或いは約0.01質量%〜約1質量%、約0.1質量%〜約2質量%、又は約0.05質量%〜約0.5質量%の任意的なキレート剤を含むことができる。
任意に、また必要な場合だけ通常、pH調整剤を用いて、pHが、基板と関係がある回路、又は回路の一部の動作性を維持しながら、基板からポリマーを除去し、残渣を除去し、或いはその両方を除去するために有効な特定範囲内であるように、本発明の組成物のpHを維持及び/又は修正することができる。用途によっては、本組成物のpHをより酸性又はより塩基性に調整してよく、また、使用する個々のpH調整剤は、所望のpHシフト及びいくつかの他の因子によって決まる。この因子としては、限定するものではないが、組成物中での溶解度;有機アンモニウム化合物、オキソアンモニウム化合物、水、及びいずれの任意成分(例えば、有機溶媒など)との混和性;金属(例えば、銅、アルミニウム等)に対する腐食性;などが挙げられる。回路基材と適合性の酸性及び塩基性pH調整剤は技術上周知であり、それらにはpH緩衝液も含まれ、酸/塩基のみならず塩も含み、或いは単に酸性/塩基性化合物も含む。しかしながら、本発明の組成物にいずれかの任意的なpH調整剤を添加する場合、オキソアンモニウム及び/又は有機アンモニウム化合物の塩基性のため、pH調整剤は、通常、酸性pH調整剤のみだろう。典型的な酸性pH調整剤として、無機酸、例えば塩酸、硝酸、硫酸、リン酸など;有機酸、例えば炭酸、クエン酸など;及びその組合せが挙げられる。
本発明の組成物が集積回路基板、ウェーハレベルパッケージングにおける基板、又はプリント回路/ウェーハ板上のフォトレジスト/ポリマー及び/又は残渣除去のために使用される状況では、組成物の所望pHは通常塩基性である。特定の実施態様では、pHを約7より高く、例えば約8以上又は約9以上に維持及び/又は修正することが望ましい。特定の実施態様では、pHを約7〜約12、例えば約8〜約11.5又は約9〜約11の範囲に維持及び/又は修正することが望ましい。最も好ましい実施態様では、本発明の組成物のpHは少なくとも約12に維持及び/又は修正される。
一実施態様では、本発明の組成物は、1又は2以上のキレート剤、腐食抑制剤、糖アルコール、膜形成剤、界面活性剤、研磨粒子、アルカノールアミン、有機溶媒、フルオライド含有化合物、酸化剤、前記オキソアンモニウム化合物以外の還元剤、アルカリ金属含有pH調整剤、非官能化トリアルキルアンモニウム化合物(すなわち、純粋に、窒素に共有結合している炭化水素基を有する)、非官能化テトラアルキルアンモニウム化合物(すなわち、純粋に、窒素に共有結合している炭化水素基を有する)が実質的に無くてよい。本明細書では、組成物に関して、ある化合物について「実質的に含まない」という表現は、該組成物が約2質量%未満、好ましくは約1質量%未満、例えば約0.1質量%未満又は約0.01質量%未満の該化合物を含むことを意味するものと解釈すべきである。
有利には、本発明の組成物を用いて、基板(例えば、IC、WLP、及びPCB基板)からフォトレジスト/ポリマー及び/又は有機残渣をストリッピング/除去することができる。本発明の組成物を用いるときに保護すべき物質としては、限定するものではないが、以下のものが挙げられる:IC接続、はんだバンプ、バンプ下の物質(UBM)、導電性金属(特に銅及び銅合金)、耐火金属/金属合金、耐火金属オキシド/ニトリド/オキシニトリド、バリア層、エッチング停止層、リフトオフ層、誘電体(特にlow-k誘電体)、貴金属など、並びにその組合せ及び/又は層化アセンブリー。
本発明の組成物は、(正又は負の)フォトレジスト及び/又はポリマー残渣をIC、WLP、及びPCB基板からストリッピング/除去する用途で最も多く使用されるが、本発明の組成物の有用性はそれに限定されない。実際、理論に拘泥されるものではないが、本発明の組成物を用いて、種々多様な基板から、望ましくない物質、例えばポリマー(本明細書では、ホモポリマー、コポリマー、オリゴマー、ダイマー、モノマー、及びその組合せ又は反応生成物を含むものと解釈すべきである)、並びに他の有機及び/又は有機金属物質、特にその分子構造内のどこかに(かつ合理的に受け入れられる)カルボニル成分、カルボキシル成分、ニトリル成分、イミド成分、又はそれらの組合せを含む物質を除去できると考えられる。好ましい実施態様では、望ましくない物質は、特にカルボキシル成分を含む。
典型的には、より小さい外形サイズ(例えば、0.18ミクロン以下、又は約0.10ミクロン)のIC、WLP、及びPCB基板を製作する会社は、いわゆる“193”フォトレジストに移動している。“193”フォトレジストは、一般にフォトレジストをさらす(例えば、硬化のため)光/エネルギーの波長が193nmなので、このように呼ばれる。それにもかかわらず、標準的な193フォトレジストと共に、本発明の組成物は、深紫外(DUV)線に対して有意な透明性を有するポリマーをストリッピング/除去するのに特に役立ちうる。本明細書では、用語“深紫外”又は“DUV”は約250nm未満の波長を有する紫外線を意味する。従って、DUVに対して有意な透明性を有するポリマーは、193nmフォトレジストとして使用されるポリマーのみならず、248nmフォトレジスト及び157nmフォトレジストとして使用されるポリマーをも包含する。このようなDUV-透明ポリマーとして、限定するものではないが、ポリ(アクリレート)、ポリ(アルキルアクリレート)、ポリ(アルクアクリレート)、ポリ(アルキルアルクアクリレート)、ポリ(アクリル酸)又はその少なくとも部分的に中和されている塩、ポリ(アルキルアクリル酸)又はその少なくとも部分的に中和されている塩、ポリ(アルクアクリル酸)又はその少なくとも部分的に中和されている塩、ポリ(アルキルアルクアクリル酸)又はその少なくとも部分的に中和されている塩、ポリ(ビニルアセテート)、ポリイミド、ポリアミド、ポリエステル、カルボキシル化ポリマー、ポリ(エーテル-エーテル-ケトン)、ポリ(エーテル-ケトン-ケトン)、ポリケトン、ポリ(ビニルカルバメート)、ポリアルデヒド、ポリアンヒドリド、ポリカーボネート、ポリウレタン、ポリウレア、ポリ(ウレタンウレア)、ポリ(アクリロニトリル)等、並びにその混合物、組合せ、及びコポリマーが挙げられる。すべてではないとしても、多くの標準的な193フォトレジストは(実際、一般にほとんどのDUV-透明フォトレジストは)、少なくとも1つの(コ)ポリマー繰返し単位に、列挙した官能基(例えば、カルボキシル)を含む。多くはフッ素化コポリマーで構成されている、さらに新しい157フォトレジスト、及び他の“次世代”フォトレジスト、例えばHiroshi Itoの論文で開示されているもの(Adv. Polym. Sci., 172:121-139, 2005)(この論文の内容は、参照によって本明細書に取り込まれる)は、典型的には、その(コ)ポリマー繰返し単位の少なくとも1つに、列挙した1又は2以上の官能基を含む。
本発明の組成物で除去しうるフォトレジスト/ポリマーの他の例は、とりわけ、米国特許第6,610,456号;第6,670,095号;第6,683,202号;第6,692,887号;第6,727,032号;第6,730,452号;第6,737,215号;第6,749,989号;第6,794,110号;及び6,818,377号、並びに以下の刊行物で見つけられる:N.L. Brakensiek et al., “Wet-recess Process Optimization of a Bottom Antireflective Coating for the Via First Dual Damascene Scheme,” Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v. 5376 (J. Sturtevant, ed.), 2004; C.J. Neef et al., “New BARC Materials for the 65-nm Node in 193-nm Lithography,” Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v. 5376 (J. Sturtevant, ed.), 2004;L. He et al., “Bottom Anti-Reflective Coatings (BARCs) for 157-nm Lithography,” Proc. Soc. Photo-Optical Instrum. Eng., 2003;J.D. Meador et al., “193-nm Multilayer Imaging Systems,” Proc. Soc. Photo-Optical Instrum. Eng., 2003;J.D. Meador et al., “New Materials for 193-nm Trilayer Imaging,” Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v. 5376 (J. Sturtevant, ed.), 2004;M. Bhave et al., “Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process,” Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v. 5376 (J. Sturtevant, ed.), 2004;M. Weimer et al., “New Material for 193-nm Bottom Anti-Reflective Coatings,” Proc. Soc. Photo-Optical Instrum. Eng., 2003;R. Huang, “Via fill properties of organic BARCs in Dual Damascene application,” Proc. SPIE: Adv. in Resist Tech. & Proc. XII, v. 5376 (J. Sturtevant, ed.), 2004;及びK.A. Nowak, “Void Elimination Research in Bottom Anti-Reflective Coatings for Dual Damascene Lithography,” Proc. Soc. Photo-Optical Instrum. Eng., 2003(これらの各内容は、参照によってその全体が本明細書に取り込まれる)。
さらに、或いはこれとは別に、本発明の組成物は、ポリマー骨格上にカルボニル/カルボキシル基を含まず、或いはポリマー骨格にカルボニル/カルボキシル基がぶらさがっていないが、少なくとも1つの列挙した基(すなわち、カルボニル、カルボキシル、ニトリル、及びイミド)を有する化合物、典型的には少なくとも2つの列挙した基を有する化合物(例えば、グルタルアルデヒド、アジピン酸、コハク酸などのような架橋剤)で架橋されているポリマーをストリッピング/除去するのに役立ちうる。
“ビアファースト(via-first)”及び“トレンチファースト(trench-first)”設計原理を用いて創り出される特徴(例えば、ビア又は配線)を有するシングル又はデュアルダマシンアーキテクチャを含みうる基板から、ポリマーを除去し、フォトレジスト残渣を除去し、或いは両者を除去するための本発明の方法で本発明の組成物を使用できる。前記設計原理については、本出願と同日に提出され、共通に譲渡されている、表題“デュアルダマシンシステムのためのエッチング後洗浄及びストリッピング組成物”の同時係属特許出願でさらに詳細に議論されており、この出願は参照によってその全体が本明細書に取り込まれる。
本発明の別の実施態様は、デュアルダマシン加工から生じるフェンス及びクラウンといったビアの側壁及び上面の両方にある残渣を効率的に洗浄し、またエッチングプロセスでビアのエッチング後に使用するポリマー材料も除去する洗浄組成物及び洗浄方法を提供する。
本発明の別の実施態様は、ビア底部からエッチング後残渣を効率的に洗浄する洗浄組成物及び洗浄方法を提供する。
本発明の一局面により、デュアルダマシン構造を洗浄する伝統的な方法はこの組合せの材料に有効に適用できないことが分かった。“2工程エッチングプロセス”を用いてULSI製造の要求を達成することができる。
本発明の別の局面により、トレンチファーストプロセスのようなデュアルダマシン製作の問題を取り扱うため、新しい洗浄化学が提供される。この研究は、銅及びSiLK又はLow-k若しくは多孔性誘電体、すなわち本発明のこの局面で興味のある2つの主要材料に適合する可能性のある候補の広範なスクリーニングで始まり、結果として新しい化学の設計をもたらす。この新しい洗浄化学がダマシン構造について評価される。この研究は、銅を統合する特徴に関する走査型電子顕微鏡(SEM)、透過型電子顕微鏡(TEM)、及び飛行時間型二次イオン質量分析(TOF-SIMS)と、SiLKの統合のFT-IR及びC(V)測定によって支持される。
本発明のさらなる局面により、デュアルダマシン構造の底部のエッチング停止無機層が下層にある銅の配線を保護し、より良い洗浄工程に進められるようにする。本発明のこの局面では、集積回路製作プロセスは、集積回路内の銅伝導線上に第1シリコン化合物エッチング停止層を形成する工程を含む。この第1シリコン化合物エッチング停止層上に第2シリコン化合物バルク誘導体が形成される。この第2シリコン化合物バルク誘導体がエッチングされて前記エッチング停止層が露出される。集積回路から残渣が除去される。前記エッチング停止層がエッチングされて銅伝導線を露出する。残渣、フォトレジスト、ポリマー、及び/又は犠牲材料が本発明の組成物によって集積回路から除去される。
本発明の別の局面によれば、任意に、デュアルダマシン構造の底部で、下層にある銅の配線を保護する第1エッチング停止無機層(例えば、シリコンニトリド)を用いて銅を覆ってもよいが、本発明の化学を使用するときは必ずしも必要ない。low-k又は超low-k誘導体製の第1の層間誘導体が、前記任意の第1エッチング停止層上又は直接銅線を覆って形成される。任意に、第1の層間誘導体上に第2エッチング停止無機層(例えば、シリコンニトリド)を形成してもよい。low-k又は超low-k誘導体製の第2の層間誘導体が、前記任意の第2エッチング停止層上に形成される。この第2層がフォトレジストで被覆され、リソグラフィーによりパターン化され、かつその表面を、第2の層間誘導体内で、或いは任意に第2のエッチング停止層にトレンチを形成するのに十分な時間、異方性ドライエッチングが切り開く。エッチングされた溝及びエッチングされない第2の層間誘導体にポリマー材料が適用される。次に、該ビアエッチが該ポリマー材料を介してリソグラフィーでパターン化されたフォトレジスト又は硬いマスクを通じてエッチングされる。該ビアは、銅基板にタイムエッチングされ、或いは第1停止層にエッチングされる。妥当な場合、第1停止層は特殊なエッチングによって除去され、そのエッチング残渣とポリマー材料が本発明の組成物で除去される。
いくつかの実施態様では、フォトレジスト/ポリマーは、例えば、フロントエンド及び/又はバックエンドリソグラフィーのため、トポグラフィーをカバーするための等角製品及びデュアルダマシン(DD)技術でトレンチとビアを満たすための平坦化製品といった反射防止コーティング、例えばバリア若しくはボトム反射防止コーティング材料(BARC)又はギャップ充填コーティング材料として使用されうる。このようなコーティング材料の例として、限定するものではないが、とりわけ、Brewer Science, Inc.から商業的に入手可能な商標名DUV、ARC、i-CON、XHRi、XHRiC、及びIMBARCで販売されているもの;Honeywell Electronic Materialsから商業的に入手可能な商標名DUO、ACCUFILL、及びACCUFLOで販売されているもの;Rohm & Haas Electronic Materials Microelectronic Technologiesから入手可能な商標名ARで販売されているもの等が挙げられる。
さらに、いくつかの実施態様では、ストリッピング/除去の前にフォトレジスト/ポリマーが改変される。典型的な改変として、限定するものではないが、化学的増幅、化学的エッチング、深紫外線(DUV)処理、イオン注入、プラズマ処理、γ線又はX線照射、電子ビーム処理、レーザーアブレーション等、又はそれらの組合せが挙げられる。
理論に拘泥されるものではないが、本発明の組成物における成分の組合せは、すなわち、有機アンモニウム化合物、オキソアンモニウム化合物、水、及び任意的な有機溶媒が(並びにいずれの他の任意成分も)相乗的に作用してその所望の目標を達成すると考えられる。例えば、米国特許第5,091,103号は、非常に低いオキソアンモニウム含量を有する組成物を使用して、フレークオフ又はリフトオフプロセスによってプリント回路/配線基板からフォトレジストを除去することを開示している(この場合、フォトレジスト/残渣は、ストリッピング組成物に低い溶解度を有した)。反対に、本発明の組成物と方法は、フォトレジスト/ポリマーを基板から除去する際に、異なる成分の相対的寄与を最適化するためのイオン化溶解度という概念を利用する。この場合、基板から除去されるフォトレジスト/ポリマーは、先行技術の組成物におけるより本発明の組成物において測定可能に高い溶解度を有する。本明細書では、このイオン化溶解度の概念について、コリン塩、ヒドロキシルアミン化合物、水、及び任意的な有機溶媒を含有する典型的な組成物を用いて述べている。
一方で、コリン塩は相対的に強い塩基なので、カルボニル、イミド、及び/又はニトリル基、例えば、カルボン酸基を含有するフォトレジスト/ポリマーの存在下では、コリン塩は理論的にカルボン酸基のプロトンと相互作用してカルボン酸塩を生じさせるだろう。理想的に、このカルボン酸塩は、カルボン酸基自体に比べて本発明の組成物中で高い溶解度を有する。他方で、ヒドロキシルアミン化合物は、相対的に強い求核試薬なので、カルボニル、イミド、及び/又はニトリル基の存在下、例えば、この場合もやはり例としてカルボン酸基を使用して、カルボン酸基の存在下では、ヒドロキシルアミン化合物は理論的にそのカルボニル炭素(部分的に正に荷電している部位である)と相互作用して、通常カルボン酸/カルボキシレート基とまったく異なる成分を形成するだろう。理想的に、この成分はカルボン酸自体に比し、本発明の組成物中で高い溶解度を有する。さらに、水は極端に極性で、高い双極子モーメントを示すので、上述した有機アンモニウム化合物とオキソアンモニウム化合物の作用によって形成される成分(ひいてはそれに連結しているポリマー)を、本発明の組成物中で溶解する(或いは前記成分の溶解の機会を増やす)ために働きうる。さらに、任意的な有機溶媒は、一般的に、使用するフォトレジスト/ポリマーとできる限り適合性であるように選択され、従って、フォトレジスト/ポリマーの、上述した有機アンモニウム化合物とオキソアンモニウム化合物の作用によって変化しないままである部分を溶解する(或いは前記部分の溶解の機会を増やす)ために働きうる。
結果として、当業者は、本発明の組成物中のこれら各成分の相対含量を操作して、以下のものを供給することができる:相対的に低分子量及び/又は少ない架橋を有するフォトレジスト/ポリマー(例えば、有機アンモニウム含量を増やす);相対的に高分子量、高い架橋及び/又高含量の列挙した基を有するフォトレジスト/ポリマー(例えば、オキソアンモニウム含量を増やす);相対的に高いイオン化含量を有する残渣(例えば、水含量を増やす);相対的に低いイオン化含量を有する残渣及び/又は相対的に高含量の列挙した基を有する残渣(例えば、有機溶媒含量を増やすか又は何かを加える)等。従って、一実施態様では、本発明の組成物中のフォトレジスト/ポリマー残渣の溶解度は有利には:1)いかなるオキソアンモニウム化合物もない組成物中のフォトレジスト/ポリマー残渣の溶解度より高く;2)いかなる有機アンモニウム化合物もない組成物中のフォトレジスト/ポリマー残渣の溶解度より高く;又は3)好ましくは両方である。別の実施態様では、不溶性物質の除去後(例えば、ろ過によって)、本発明の組成物に溶解しているフォトレジス/ポリマー残渣の濃度は有利には:1)いかなるオキソアンモニウム化合物もない組成物に溶解するであろうフォトレジスト/ポリマー残渣の濃度より高く;2)いかなる有機アンモニウム化合物もない組成物に溶解するであろうフォトレジスト/ポリマー残渣の濃度より高く;又は3)好ましくは両方である。
基板上のどんなタイプのフォトレジスト/ポリマー/残渣でも、すなわち正又は負のフォトレジスト/ポリマー/残渣でも、本発明の組成物は、効率的かつ安全な除去のために広く仕立てられる。成分の上述した相乗作用及びイオン化溶解作用のため、本発明の組成物は、集積回路/電子チップ製造の典型的な厚さ(例えば、約0.5ミクロン〜約5ミクロンの厚さ)のフォトレジスト/ポリマー/残渣のみならず、ウェーハレベルパッケージング及びプリント回路/配線板製作で使用するような1桁又は2桁以上大きい厚さを有する(例えば、約10〜約150ミクロン、またさらに厚い)フォトレジスト/ポリマー/残渣を除去する際にも有効でありうる。一般的に、フォトレジスト/ポリマーが厚くなるほど、高い架橋度及び/又は高い分子量を示すので、より厚いフォトレジスト/ポリマー/残渣は、典型的に、高いオキソアンモニウム化合物含量に結びつき、一方、より薄いフォトレジスト/ポリマー/残渣は、より低いオキソアンモニウム化合物含量及び/又はより高い有機アンモニウム化合物含量に結びつく。
〔実施例〕
以下の実施例は本発明のいくつかの局面を説明することのみを意味しており、好ましい場合も好ましくない場合もある。これら実施例は、好ましい発明を要約することを意図しておらず、又はいかなる場合にも添付の特許請求の範囲を過度に制限することを意図していない。
表1〜7は、いくつかの典型的な組成物を要約する。右欄に列挙されるパーセンテージは、その成分自体のパーセンテージであることに注意されたい。例えば、溶液A9では、20%の45%コリンヒドロキシド水溶液;5%の50%ヒドロキシルアミン水溶液;及び70%のさらなる水がある。従って、溶液A9中のコリンヒドロキシドの実際の質量パーセンテージは約9%(すなわち、0.20×45%=9%)であり、溶液A9中のヒドロキシルアミンの実際のパーセンテージは約2.5%(すなわち、0.05×50%=2.5%)であり、かつ残りの約88.5%が水[すなわち、(0.20×55%)+(0.05×50%)+70%=88.5%]である。



























表1 組成物
Figure 0005456973



















表2 組成物
Figure 0005456973


表3 組成物
Figure 0005456973

















表4 組成物
Figure 0005456973


















表5 組成物
Figure 0005456973








Figure 0005456973
表6 組成物
Figure 0005456973
表7 組成物
Figure 0005456973
〔コリンヒドロキシド溶液とヒドロキシルアミン化合物における銅エッチング率〕
水中での種々のコリンヒドロキシド濃度について35℃にて2〜30分の範囲の時間銅エッチング率を調べた。時間によるブランケットサンプルのシート抵抗の変化の4-点プローブ測定によって銅厚損を決定した。プロセス時間に対する銅厚損を表2に列挙する。図5は、35℃での露出時間に対する銅厚損のプロットである。約11〜約16%の濃度のコリン溶液が最高の銅エッチング率を与えた。





表8−溶液B1〜B4についてCu厚損(Å)対時間
Figure 0005456973
コリン溶液へのヒドロキシルアミン又はその塩の添加は、実質的に銅エッチング率を下げる。例えば、表3及び図3に示されるように、0.35%のヒドロキシルアミンサルフェート(HAS)を有する溶液B5は、図2から外挿したデータに基づいて、HASのない比較できる溶液について推定されるCu厚の半分未満を失った。
表9−溶液B5のCu厚損(Å)対時間
Figure 0005456973
銅エッチングの同様の減少が20%までのヒドロキシルアミンフリー塩基(50%溶液)のコリンヒドロキシド溶液への添加でも観察された。表4は、5%のヒドロキシルアミンフリー塩基を種々の濃度のコリン溶液(溶液B6〜B9)に添加することによる銅損失の減少を示している。これら実施例では、銅厚損の減少は約50%である。
表10−溶液B6〜B9のCu厚損(Å)
Figure 0005456973
〔WLPウェーハについてドライ-フィルムフォトレジスト調製及びストリッピング〕
WB1000、WB2000、WB3000、及びWB5000は、ウェーハ上にWLPはんだバンプを形成するのに使うため、DuPont製のドライフィルムフォトレジストである。表11は、これら4タイプのフォトレジストについて該フォトレジストのストリッピング前に使用する処理パラメーターを要約する。この処理は以下のものを含む:(1)フォトレジストの積層、(2)ベーキング、(3)露光、(4)再びベーキング、(5)現像、(6)再びベーキング、(7)洗浄、(8)マイクロエッチング、(9)銅のメッキ、及び(10)スズのメッキ。


表11−ストリッピング前のドライフォトレジスト処理
Figure 0005456973

Figure 0005456973
表12−溶液のドライフォトレジストストリッピング結果
Figure 0005456973
劣≦95%除去、良=95〜98%、及び優≧98%除去。
溶液A8〜A10が最もよくレジストをストリッピングし、かつ金属を腐食しない。溶液A6及びA7もレジストをストリッピングするが、基板表面上に残渣が残る。溶液A4もフォトレジストをストリッピングする能力を示すが、より高い温度で使う必要があり、かつそのドデシルベンゼンスルホン酸由来の酸性のため、はんだ材料を腐食するだろう。
DuPont 9015ドライフォトレジストも溶液A8、A9、及びA10で試験した。表13は、このフォトレジストのストリッピング前の典型的な処理を示す。
表13−Dupont 9015レジストのストリッピング前の処理
Figure 0005456973
表14−ストリッピング前のDuPont 9015レジストの追加処理
Figure 0005456973
溶液A8、A9及びA10は、55℃のすべてのドライフィルム9015サンプルパネルA〜Lを45℃にて5分未満で効率的に除去した。
〔はんだバンプド(Bumped)PCB及びはんだバンプドウェーハからのドライフィルムフォトレジスト及びフラックスの除去〕
PCB及びウェーハ試験サンプルを約2.54cm平方(約6cm2)(1インチ平方(1in2))片に切断した。約300mlの溶液C1〜C8を600mlのガラスビーカーに注ぎ、温度制御されたホットプレート上に置いた。サンプルを約35〜60℃で約5〜30分処理した。
温度(℃) 時間(分) DIリンスサイクル
35〜60 5〜30 5
洗浄処理の間、手動で少し撹拌した。非-CO2-スパージド(sparged)脱イオン(DI)水のビーカー内で、ビーカーを空にして満たすことを5回繰り返してすべてのサンプルを直接リンスした。リンス後、窒素の加圧流で各サンプルを乾燥させた。最後に、各サンプルを光学顕微鏡で検査した後、走査型電子顕微鏡(SEM)で検査した。
表15. ドライフィルム及びフラックス除去の結果
Figure 0005456973
図4A及び4Bは、溶液C6で45℃にて5分間フォトレジストストリッピングのそれぞれ前と後のPCBの典型的なSEM画像である。図5A〜5D、6A〜6D、及び7A〜7Dは、溶液C1で45℃にて10〜20分間フォトレジストストリッピング前後のWLPウェーハの典型的なSEM画像である。PCBとWLPの両基板では、ドライフィルムフォトレジストは、溶液C1〜C8による浸漬洗浄で簡単に除去された。サンプルを何ら撹拌しない場合でさえ、ドライフィルムが素速く膨潤し、剥離してビーカーの底に落ちた。
これらPCB及びWLPのストリッピング処理は、低い処理温度で短い処理時間を提供する。この処理は銅及びはんだバンプと適合する。これらは金属表面をほとんど又は全く粗くしない。
〔はんだバンプドウェーハからのスピンオン(Spin-On)フォトレジスト及びフラックス除去〕
200nmのTiW上の100nmのCuから成る種冶金をシリコンウェーハ基板上に沈着させた。50ミクロンのJSR THB-151Nフォトレジスト(湿潤物質なし)を該種冶金の上面で回転させた。この被覆ウェーハを120℃で300秒間ソフトベークした。レジストを露光して非露光レジストを2.38% TMAH現像液で除去した。レジストパターンにわたってNiの薄層を電気メッキし、Sn/Agから成る鉛フリーはんだ材料を電気メッキで沈着させて、図9D〜9Eに示されるはんだバンプを作製した。
このウェーハを約3cm2のサンプルに切断し、ヒュームフード下、温度制御されたビーカー内で処理した。溶液D2〜D12について処理条件と結果を表16と図9A〜9C及び9F〜9Gに要約する。フォトレジストは溶液D8〜D12で完全に除去された。




表16−スピンオンレジストストリッピングの処理条件と結果
Figure 0005456973
〔エッチング後残渣の除去〕
ウェーハを約2.54センチ(1インチ)平方のサンプルに切断し、該サンプルを個々に処理した。温度制御されたホットプレート上、マグネチックスターラーのある200mlのパイレックス(登録商標)(Pyrex)ビーカー内で表17に列挙した処理条件にて溶液E1〜E15を加熱した。次に、サンプルを3-サイクルのDI水でリンスし、窒素でブロー乾燥させた。SEM画像を得て各組成物と処理の洗浄能力を評価した。結果を図8A〜8Nに要約する。コリンヒドロキシドとヒドロキシルアミンの種々の比率の溶液混合物は、エッチング残渣を除去する際に金属を腐食することなく、最も良く機能する。ヒドロキシルアミン溶液のみでは、エッチング残渣を完全には除去できない。


















表17−エッチング後残渣の除去
Figure 0005456973
〔Cuパターン化ウェーハから未硬化フォトレジストの除去〕
15-ミクロン-厚の銅線をウェーハ上にパターン化した。30-ミクロン-厚のポリイミドフォトレジスト(HD Microsystem製HD 4010フォトレジスト)を銅線の上面にパターン化したが、フォトレジストは硬化しなかった。
ウェーハを約2.54センチ(1-インチ)平方のサンプルに切断した。温度制御されたホットプレート上、マグネチックスターラーのある200mlのパイレックス(登録商標)ビーカー内で加熱した薬品内でサンプルを個々に処理した。表18は、表5中の溶液F1〜F6についての処理条件と結果を示す。F2中で処理したサンプルは、DI水でリンスする前にイソプロピルアルコール(IPA)でリンスした。残りのサンプルでは、ある溶液中で浸漬後、サンプルを3-サイクルのDI水でリンスして窒素でブロー乾燥させた。洗浄能力を評価するため、サンプルを光学顕微鏡で検査した。次に、“フェア”乃至“良い”洗浄効率及び溶解性を示すサンプルについて、SEM検査を行った;図10M〜10Rを参照されたい。









表18−未硬化フォトレジスト除去処理の条件と結果
Figure 0005456973
*悪い−処理後ポリイミドの大部分が付着している
*不十分−ポリイミドのいくらかが付着しており、いくらかが除去されている
*フェア−サンプル上のいくらかの領域に小量のポリイミドが未だ存在している
*良い−サンプル上に残存しているポリイミドが観察されない
最も効率的な処理は、溶液F4、55℃で30分間及び溶液F5、55℃で30分間だった。この2溶液は残渣を溶かし、基板を洗浄した。F4では、SEM画像が、処理中に露出している(すなわち、フォトレジスト内に前成形された開口によって露出された)銅に何らかの表面変化を示したが、F5では変化しなかった。従って、溶液F5が最も好ましい組成物だった。
〔ウェーハレベルパッケージングからフォトレジストの除去〕
下表19に示されるように、55℃で10分間露出後、組成物W1〜W30をそのDuPont WB3000ドライフィルムフォトレジストをWLP基板から除去する能力について評価した。


















表19−ウェーハレベルパッケージングからのフォトレジスト除去
Figure 0005456973




Figure 0005456973
WLPフォトレジストを除去するために最も有効な組成物は、少なくとも約12のpHを生じさせるような、有機アンモニウム化合物とオキソアンモニウム化合物の量のバランスを示す組成物だった。pHが約7〜約12の組成物は、約55℃及び約10分の接触時間ではフォトレジストの除去があまり完全でなかったが、より高温及び/又はより長い接触時間では、より良い性能を有しうる。
〔銅腐食/エッチング率の比較〕
WLP基板からDuPont WB3000ドライフィルムフォトレジストの除去後、下表20に示されるように、組成物W20及びW16をその金属銅の腐食率、又はエッチング率について評価した。

表20−銅の腐食率W20(トップ)対W16(ボトム)
Figure 0005456973
ウェーハレベルパッケージング基板と接触させると、糖アルコールであるソルビトールを含む組成物W20は、組成物W16より有意に高い銅腐食を示した。ウェーハレベルパッケージング操作では、銅の腐食率の低減が特に重要である。腐食のような表面についての欠点/課題は、ダマシン型アーキテクチャ(例えば、個々のチップ層構造製作)操作と後操作(例えば、WLP/PCB適用)の両方で問題であるが、このような欠点/課題の影響は、特にダマシン型アーキテクチャの初期層におけるより、多くの工程を行ってWLP/PCB段階に到達するので、後者においてずっと重大である。
腐食/エッチング率については、組成物W20が、アルミニウム金属基板層に非腐食性であるとして、Iwataに対する米国特許第5,846,695号で開示されている当該組成物に類似していることに留意すると興味深い。しかしながら、W20及びIwataの組成物のような糖アルコールを含有する組成物は、少なくともW16のような本発明の組成物と比較した場合、銅金属層と接触すると、腐食阻止の低減を示した。
前記述は、説明の目的のため、特定の実施態様を参照して記載した。しかし、上記説明的な議論は、網羅的であることを意図しておらず、或いは開示した詳細な形態に本発明を限定することを意図していない。上記教示に照らして多くの変更及び変動が可能である。本発明の原理及び本発明の実際の適用を最もよく説明することによって、当業者が本発明並びに考えられる特定用途に適するように種々変更した種々の実施態様を最もよく利用できるようにするために実施態様を選択して述べた。
100ミクロンのピッチの30ミクロンのはんだバンプの配列を示す。 異なるサイズのはんだバンプを示す。 種々のコリンヒドロキシド濃度について35℃における露出時間に対する失われた銅厚のプロットである。 HASのない比較溶液に対する溶液B5について35℃における露出時間に対する銅厚損(Å)のプロットである。 4A〜4Bは、ストリッピング前後の接触のSEMである。 5A〜5Dは、ストリッピング前後のはんだバンプのSEMである。 6A〜6Dは、リンス後のはんだバンプの拡大写真を示す。 7A〜7Dは、リンス後のはんだバンプの拡大写真を示す。 8A〜8Nは、ストリッピングの結果を示すSEMである。 9A〜9Gは、ストリッピングの結果を示すSEMである。 10A〜10Rは、ストリッピングの結果を示すSEMである。

Claims (15)

  1. 上に回路又は回路の一部が存在する、集積回路基板から、ウェーハレベルパッケージング基板から、又はプリント基板から、ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去するための組成物であって、前記組成物が、
    下記構造:
    Figure 0005456973
    (式中:
    Xは、ヒドロキシドであり
    は、アルキル基であり、かつ
    、R、及びRは、独立にアルキル又はヒドロキシアルキルである
    を有する有機アンモニウム化合物と、
    下記構造:
    Figure 0005456973
    (式中:
    Xは、サルフェート、水素サルフェート、ホスフェート、水素ホスフェート、二水素ホスフェート、ニトレート、カルボキシレート、ハライド、カーボネート、水素カーボネート、ビフルオライド、又はそれらの組合せであり、
    は、水素であり、かつ
    及びRは、水素である
    を有するオキソアンモニウム化合物と、
    水とを含み、
    前記組成物のpHが7より高く、
    前記組成物が、前記基板と関係がある回路、又はその一部の動作性を維持しながら、前記基板から、前記ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去することができる、組成物。
  2. 水と混和性の有機極性溶媒をさらに含む、請求項1に記載の組成物。
  3. 前記有機極性溶媒がN−メチル−ピロリドン、ジメチルスルホキシド、ジグリコールアミン、モノエタノールアミン、プロピレングリコール、又はそれらの混合物を含む、請求項2に記載の組成物。
  4. 前記有機アンモニウム化合物が1質量%〜30質量%の量で存在し、前記水が15質量%〜94質量%の量で存在し、かつ前記オキソアンモニウム化合物が0.4質量%〜10質量%の量で存在する、請求項1に記載の組成物。
  5. 上に回路又は回路の一部が存在する、集積回路基板から、ウェーハレベルパッケージング基板から、又はプリント基板から、ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去するための組成物であって、前記組成物が、
    ジメチルジエチルアンモニウムヒドロキシド、エチルトリメチルアンモニウムヒドロキシド、メチルトリエチルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラメチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド及びその組み合わせからなる群より選択される有機アンモニウム化合物と、
    ヒドロキシルアミンサルフェート、ヒドロキシルアミンホスフェート、ヒドロキシルアミンクロライド、ヒドロキシルアミンニトレート、ヒドロキシルアミンシトレート、及びその組み合わせからなる群より選択されるオキソアンモニウム化合物と、
    水とを含み、
    前記有機アンモニウム化合物が1質量%〜30質量%の量で存在し、かつ前記オキソアンモニウム化合物が0.4質量%〜10質量%の量で存在し、
    前記組成物のpHが7より高く、
    前記組成物が、前記基板と関係がある回路、又はその一部の動作性を維持しながら、前記基板から、前記ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去することができる、組成物。
  6. 上に回路又は回路の一部が存在する、集積回路基板から、ウェーハレベルパッケージング基板から、又はプリント基板から、ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去するための組成物であって、前記組成物が、
    有機アンモニウム化合物としてテトラメチルアンモニウムヒドロキシドと、
    オキソアンモニウム化合物としてヒドロキシルアミンサルフェートと、
    水とを含み、
    前記有機アンモニウム化合物が1質量%〜30質量%の量で存在し、かつ前記オキソアンモニウム化合物が0.5質量%〜5質量%で存在し、
    前記組成物のpHが7より高く、
    前記組成物が、前記基板と関係がある回路、又はその一部の動作性を維持しながら、前記基板から、前記ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去することができる、組成物。
  7. 前記組成物のpHが7〜12である請求項1から6のいずれか1項に記載の組成物。
  8. 上に回路又は回路の一部が存在する、集積回路基板から、ウェーハレベルパッケージング基板から、又はプリント基板から、ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去するための方法であって、前記集積回路基板、ウェーハレベルパッケージング基板、又はプリント基板と、請求項1〜7のいずれか一項に記載の組成物とを35℃〜100℃で、かつ10秒〜45分間接触させる工程を含み、それにより前記集積回路基板、ウェーハレベルパッケージング基板、又はプリント基板から、これら基板と関係がある回路、又はその一部の動作性を維持しながら、前記ポリマー、エッチング残渣、アッシング残渣、又はそれらの組合せを除去する、方法。
  9. 前記温度が45℃〜75℃であり、かつ前記時間が5分〜30分である、請求項8に記載の方法。
  10. 近接して回路又は回路の一部が配置されているポリマーを除去するための組成物であって、前記組成物が、
    下記構造:
    Figure 0005456973
    (式中:
    Xは、ヒドロキシドであり
    は、アルキル基であり、かつ
    、R、及びRは、独立にアルキル又はヒドロキシアルキルである
    を有する有機アンモニウム化合物と、
    下記構造:
    Figure 0005456973
    (式中:
    Xは、サルフェート、水素サルフェート、ホスフェート、水素ホスフェート、二水素ホスフェート、ニトレート、カルボキシレート、ハライド、カーボネート、水素カーボネート、ビフルオライド、又はそれらの組合せであり、
    は、水素であり、かつ
    及びRは、水素である
    を有するオキソアンモニウム化合物と、
    水とを含み、
    前記組成物のpHが7より高く
    前記ポリマーがカルボニル成分、カルボキシル成分、ニトリル成分、イミド成分、又はそれらの組合せを含み、かつ
    前記組成物が、前記ポリマーに近接している前記回路、又はその一部の動作性を維持しながら、前記カルボニル成分、カルボキシル成分、ニトリル成分、イミド成分、又はそれらの組合せとの相互作用によって前記ポリマーを除去することができる、組成物。
  11. 近接して回路又は回路の一部が配置されているポリマーであって、カルボニル成分、カルボキシル成分、ニトリル成分、イミド成分、又はそれらの組合せを含むポリマーを除去するための方法であって、前記ポリマーを、前記ポリマーに近接している前記回路、又はその一部の動作性を維持しながら、前記カルボニル成分、カルボキシル成分、ニトリル成分、イミド成分、又はそれらの組合せとの相互作用によって前記ポリマーを除去するために35℃〜100℃で、かつ10秒〜45分間、請求項10に記載の組成物と接触させる工程を含む、方法。
  12. 前記ポリマーが、深紫外線に対して有意に透明なフォトレジストである、請求項11に記載の方法。
  13. 前記フォトレジストが193nmフォトレジストである、請求項12に記載の方法。
  14. 前記ポリマーがアクリレート繰返し単位、メタクリレート繰返し単位、又は両繰返し単位を含む、請求項11〜13のいずれか一項に記載の方法。
  15. 前記ポリマーの少なくとも一部が除去前に改変され、前記改変が化学的増幅、化学的エッチング、深紫外線処理、イオン注入、プラズマ処理、γ線又はX線照射、電子ビーム処理、レーザーアブレーション、又はそれらの組合せを含む、請求項11〜14のいずれか一項に記載の方法。
JP2007539278A 2004-10-29 2005-10-28 ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法 Active JP5456973B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US62319004P 2004-10-29 2004-10-29
US60/623,190 2004-10-29
PCT/US2005/039381 WO2006050323A1 (en) 2004-10-29 2005-10-28 Compositions and processes for photoresist stripping and residue removal in wafer level packaging

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012039300A Division JP5540031B2 (ja) 2004-10-29 2012-02-24 ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法

Publications (2)

Publication Number Publication Date
JP2008519295A JP2008519295A (ja) 2008-06-05
JP5456973B2 true JP5456973B2 (ja) 2014-04-02

Family

ID=35735160

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007539278A Active JP5456973B2 (ja) 2004-10-29 2005-10-28 ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法
JP2012039300A Active JP5540031B2 (ja) 2004-10-29 2012-02-24 ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012039300A Active JP5540031B2 (ja) 2004-10-29 2012-02-24 ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法

Country Status (8)

Country Link
US (1) US20060094613A1 (ja)
EP (1) EP1810323B1 (ja)
JP (2) JP5456973B2 (ja)
KR (2) KR101435736B1 (ja)
CN (1) CN101116178B (ja)
DE (1) DE602005018248D1 (ja)
TW (1) TWI469220B (ja)
WO (1) WO2006050323A1 (ja)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3994992B2 (ja) * 2004-08-13 2007-10-24 三菱瓦斯化学株式会社 シリコン微細加工に用いる異方性エッチング剤組成物及びエッチング方法
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US8759268B2 (en) * 2006-08-24 2014-06-24 Daikin Industries, Ltd. Solution for removing residue after semiconductor dry process and method of removing the residue using the same
US8586269B2 (en) * 2007-03-22 2013-11-19 Globalfoundries Inc. Method for forming a high resolution resist pattern on a semiconductor wafer
TWI443191B (zh) * 2007-08-08 2014-07-01 Arakawa Chem Ind Lead-free solder flux removal detergent composition and lead-free solder flux removal method
KR101488265B1 (ko) * 2007-09-28 2015-02-02 삼성디스플레이 주식회사 박리 조성물 및 박리 방법
US8357646B2 (en) * 2008-03-07 2013-01-22 Air Products And Chemicals, Inc. Stripper for dry film removal
TWI591158B (zh) * 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
EP2376421A4 (en) * 2008-12-18 2012-12-12 Invista Tech Sarl BY-PRODUCTS DERIVATIVES FROM A CYCLOHEXANOXIDATION PROCESS AND METHOD OF USE THEREOF
US9005367B2 (en) 2009-05-07 2015-04-14 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
TWI405317B (zh) * 2010-03-04 2013-08-11 Unimicron Technology Corp 封裝基板及其製法
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
US8058221B2 (en) * 2010-04-06 2011-11-15 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
CN101838111B (zh) * 2010-05-20 2012-06-27 合肥茂丰电子科技有限公司 玻璃基板蚀刻液及其制备方法
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9256128B2 (en) 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
WO2014168166A1 (ja) * 2013-04-10 2014-10-16 和光純薬工業株式会社 金属配線用基板洗浄剤および半導体基板の洗浄方法
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
JP6313311B2 (ja) * 2013-08-31 2018-04-18 Hoya株式会社 磁気ディスク用ガラス基板の製造方法及び磁気ディスクの製造方法
US20150104952A1 (en) * 2013-10-11 2015-04-16 Ekc Technology, Inc. Method and composition for selectively removing metal hardmask and other residues from semiconductor device substrates comprising low-k dielectric material and copper
JP5977727B2 (ja) * 2013-11-13 2016-08-24 東京エレクトロン株式会社 基板洗浄方法、基板洗浄システムおよび記憶媒体
KR101964901B1 (ko) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
US9401336B2 (en) 2014-11-04 2016-07-26 International Business Machines Corporation Dual layer stack for contact formation
JP6808714B2 (ja) * 2015-08-03 2021-01-06 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
KR20170072701A (ko) * 2015-12-17 2017-06-27 동우 화인켐 주식회사 컬러 레지스트 박리액 조성물
WO2017119350A1 (ja) * 2016-01-05 2017-07-13 富士フイルム株式会社 処理液、基板の洗浄方法、及び、半導体デバイスの製造方法
US10073352B2 (en) * 2016-04-12 2018-09-11 Versum Materials Us, Llc Aqueous solution and process for removing substances from substrates
TWI745420B (zh) * 2017-08-25 2021-11-11 聯華電子股份有限公司 半導體結構
EP3721297B1 (en) * 2017-12-08 2024-02-07 Henkel AG & Co. KGaA Photoresist stripper compostion
US11353794B2 (en) * 2017-12-22 2022-06-07 Versum Materials Us, Llc Photoresist stripper
JP6862027B2 (ja) * 2018-01-08 2021-04-21 エムティーアイ カンパニー,リミテッドMti Co.,Ltd. ウェハ加工用保護コーティング剤組成物、及びそれを含む保護コーティング剤
IL301529A (en) 2018-03-28 2023-05-01 Fujifilm Electronic Mat Usa Inc cleaning products
US11460778B2 (en) * 2018-04-12 2022-10-04 Versum Materials Us, Llc Photoresist stripper
US10636696B1 (en) 2019-01-18 2020-04-28 Applied Materials, Inc. Methods for forming vias in polymer layers
JP2020155721A (ja) * 2019-03-22 2020-09-24 株式会社Screenホールディングス 基板処理方法
KR20220058069A (ko) * 2020-10-30 2022-05-09 주식회사 이엔에프테크놀로지 세정제 조성물 및 이를 이용한 세정방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205265B2 (en) * 1990-11-05 2007-04-17 Ekc Technology, Inc. Cleaning compositions and methods of use thereof
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US6546939B1 (en) * 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
DE69333877T2 (de) * 1992-07-09 2006-06-14 Ekc Technology Inc Reinigungsmittelzusammensetzung, das einem Redox Aminverbindung enthält
KR970007328B1 (ko) * 1992-07-09 1997-05-07 이이케이시이 테크놀로지 인코포레이팃드 내식막 및 부식 잔여물 제거 조성물 및 제거 방법
JP3614242B2 (ja) * 1996-04-12 2005-01-26 三菱瓦斯化学株式会社 フォトレジスト剥離剤及び半導体集積回路の製造方法
US6245155B1 (en) * 1996-09-06 2001-06-12 Arch Specialty Chemicals, Inc. Method for removing photoresist and plasma etch residues
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6348239B1 (en) * 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
US6440326B1 (en) * 1998-08-13 2002-08-27 Mitsubishi Gas Chemical Company, Inc. Photoresist removing composition
KR100520181B1 (ko) * 1999-10-11 2005-10-10 주식회사 하이닉스반도체 신규한 포토레지스트 단량체, 그의 중합체 및 이를 함유하는 포토레지스트 조성물
US7543592B2 (en) * 2001-12-04 2009-06-09 Ekc Technology, Inc. Compositions and processes for photoresist stripping and residue removal in wafer level packaging
JP4267359B2 (ja) * 2002-04-26 2009-05-27 花王株式会社 レジスト用剥離剤組成物
KR100539225B1 (ko) * 2002-06-20 2005-12-27 삼성전자주식회사 히드록시기로 치환된 베이스 폴리머와 에폭시 링을포함하는 실리콘 함유 가교제로 이루어지는 네가티브형레지스트 조성물 및 이를 이용한 반도체 소자의 패턴 형성방법
JP2004302271A (ja) * 2003-03-31 2004-10-28 Nippon Zeon Co Ltd レジスト用剥離液及び剥離方法
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof

Also Published As

Publication number Publication date
JP5540031B2 (ja) 2014-07-02
EP1810323A1 (en) 2007-07-25
CN101116178B (zh) 2011-06-15
JP2008519295A (ja) 2008-06-05
TW200641561A (en) 2006-12-01
WO2006050323A1 (en) 2006-05-11
KR20070072622A (ko) 2007-07-04
DE602005018248D1 (de) 2010-01-21
JP2012142588A (ja) 2012-07-26
EP1810323B1 (en) 2009-12-09
KR101435736B1 (ko) 2014-08-28
KR20130014610A (ko) 2013-02-07
CN101116178A (zh) 2008-01-30
TWI469220B (zh) 2015-01-11
US20060094613A1 (en) 2006-05-04

Similar Documents

Publication Publication Date Title
JP5540031B2 (ja) ウェーハレベルパッケージングにおけるフォトレジストストリッピングと残渣除去のための組成物及び方法
US7543592B2 (en) Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US7579308B2 (en) Compositions and processes for photoresist stripping and residue removal in wafer level packaging
EP1470207B1 (en) Aqueous stripping and cleaning composition
US20090291565A1 (en) Method for stripping photoresist
US20060110690A1 (en) Treating liquid for photoresist removal, and method for treating substrate
KR20070003772A (ko) 석영 코팅된 폴리실리콘 및 기타 물질을 세정하기 위한비스-콜린 및 트리스-콜린의 사용법
EP1407326A1 (en) Sulfoxide pyrrolid(in)one alkanolamine stripping and cleaning composition
JP2004133153A (ja) ホトリソグラフィー用洗浄液および基板の処理方法
JP2002523546A (ja) 非腐食性のストリッピングおよびクリーニング組成物
WO2009058181A2 (en) Compounds for photoresist stripping
JP3514435B2 (ja) ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP3449651B2 (ja) レジスト剥離液組成物
JP3449650B2 (ja) レジスト用剥離液組成物
JP2007132996A (ja) 洗浄除去剤

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110222

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110301

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110422

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110523

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110829

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120224

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20120612

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131029

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140109

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R157 Certificate of patent or utility model (correction)

Free format text: JAPANESE INTERMEDIATE CODE: R157

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

RVTR Cancellation due to determination of trial for invalidation