KR101178663B1 - 패시배이팅된 금속층을 형성하기 위한 방법 - Google Patents

패시배이팅된 금속층을 형성하기 위한 방법 Download PDF

Info

Publication number
KR101178663B1
KR101178663B1 KR1020077005723A KR20077005723A KR101178663B1 KR 101178663 B1 KR101178663 B1 KR 101178663B1 KR 1020077005723 A KR1020077005723 A KR 1020077005723A KR 20077005723 A KR20077005723 A KR 20077005723A KR 101178663 B1 KR101178663 B1 KR 101178663B1
Authority
KR
South Korea
Prior art keywords
layer
metal
metal layer
passivation layer
rhenium
Prior art date
Application number
KR1020077005723A
Other languages
English (en)
Other versions
KR20070058497A (ko
Inventor
히데아키 야마사키
가즈히토 나카무라
유미코 가와노
게릿 제이 루진크
펜튼 알 맥필리
폴 제미슨
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션, 도쿄엘렉트론가부시키가이샤 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20070058497A publication Critical patent/KR20070058497A/ko
Application granted granted Critical
Publication of KR101178663B1 publication Critical patent/KR101178663B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

본 발명은 산소-함유 분위기로의 후속 노출 동안 하지 금속층의 특성 및 모폴로지를 보존하는 패시배이팅된 금속층을 형성하기 위한 방법에 관한 것이다. 본 방법은 프로세스 챔버(1)에 기판(50, 302, 403, 510)을 설치하는 단계, 화학적 기상 증착 프로세스로 상기 기판(50, 302, 403, 510) 상에 레늄 금속층(304, 408, 508)을 적층하기 위하여, 상기 기판(50, 302, 403, 510)을 레늄-카르보닐 전구체를 포함하는 프로세스 가스에 노출시키는 단계; 및 상기 레늄 금속 표면 상의 레늄-함유 노듈들(306)의 산소 유발된 성장을 금지하기 위하여, 상기 레늄 금속층(304, 408, 580) 상에 패시배이션층(414, 590)을 형성하는 단계를 포함한다.

Description

패시배이팅된 금속층을 형성하기 위한 방법{A METHOD FOR FORMING A PASSIVATED METAL LAYER}
본 발명은 반도체 프로세싱 동안 적층된 레늄(Re) 금속층들을 패시배이팅하는 것에 관한 것이며, 보다 자세하게는 레늄 금속 표면 상의 레늄-함유 노듈들의 산소-유발 성장을 금지하기 위하여 적층된 레늄 금속층 상에 패시배이션층을 형성하는 것에 관한 것이다.
마이크로전자공학 장치의 최소의 형상 크기(feature size)는 딥 서브-미크론(deep sub-micron) 정책에 접근하고 있어, 보다 빠르고, 보다 낮은 전력 마이크로프로세서들과 디지털 회로들에 대한 요구를 만족하고 있다. 집적 회로를 제조하기 위한 구리(Cu) 금속의 다층 금속화 스킴으로의 도입은, Cu 층들의 부착 및 성장을 촉진시키기 위하여 그리고 유전체 재료들로의 Cu의 확산을 방지하기 위하여, 확산 배리어들/라이너들의 사용을 필요로할 수 있다. 유전체 재료들 상에 적층된 배리어들/라이너들은, 비-반응성이고, 실질적으로 Cu와 혼합할 수 없으며, 저 전기 저항성을 제공할 수 있는, 텅스텐(W), 레늄(Re), 루테늄(Ru), 몰리브덴(Mo) 및 탄탈륨(Ta)과 같은 내화(refractory) 재료들을 포함할 수 있다. Cu 금속화 및 유전체 재료들을 집적화하는 현 집적 스킴은 낮은 기판 온도에서 수행될 수 있는 배리어/라이너 적층 프로세스들을 요구할 수 있다. 최근 제작되고 있는 마이크로전자공학 장치에서의 내화 재료들의 또다른 적용은 고-유전율 유전체 재료들(또한, 여기서 "하이-k(high-k)" 재료들로서 칭함)과 함께 금속 게이트 전극들을 포함한다. 금속 게이트들은 폴리-실리콘 공핍(depletion) 효과를 제거하는 것과 같은 게이트-스택 스케일링에 대한 이점 범위를 제공하도록 예측된다. 반도체 장치들에서 금속 게이트들로서의 금속층들과 금속 배리어들/라이너들의 성공적인 집적화는, 낮은 또는 중간 기판 온도에서의 충분히 높은 적층률, 저 전기 저항성, 적층된 금속층들의 저 응력, 하지(underlying) 및 위에 덮는 재료들로의 금속층들의 양호한 부착성, 양호한 두께 균일성, 저 오염물 레벨, 및 저 표면 조도를 포함하는 양호한 층 모폴로지(morphology)를 요구한다.
Re 금속층은 Re-카르보닐 전구체로부터 저온 열적 화학적 기상 증착에 의하여 적층될 수 있다. 그러나, Re-카르보닐 전구체는 불완전 분해되어, Re 금속층으로 또는 Re 금속층의 표면 상에서 흡수될 수 있는 반응 부산물(by-products)이 유발된다. Re 금속층의 주위 대기로의 후속 노출 동안, Re-산화물 노듈들이 Re 금속층의 표면 상에 형성되며, 이 Re 금속층에서, 노듈들의 형성은 대기 중의 산소로 금속층의 표면에 존재하는 부산물에 의하여 촉진된다. 이들 노듈들은 Re 금속층의 특성 및 모폴로지에 악영향을 미칠 수도 있다.
따라서, 금속층의 산소로의 노출시 Re 금속층의 표면 상의 노듈 형성을 방지할 필요가 있다.
본 발명은, 산소-함유 분위기로의 후속 노출 동안 Re 금속층의 특성 및 모폴로지를 보존하는 패시배이팅된 금속층을 형성하는 방법을 제공한다. 본 방법은, 프로세스 챔버에 기판을 설치하는 단계, 화학적 기상 증착 프로세스에서 상기 기판 상에 Re 금속층을 적층하기 위하여 상기 기판을 Re-카르보닐 전구체를 함유하는 프로세스 가스에 노출시키는 단계, 및 상기 Re 금속 표면 상의 Re-함유 노듈들의 산소-유발 성장을 금지하기 위하여 상기 Re 금속층 상에 패시배이션층을 형성하는 단계를 포함한다.
본 발명은, 화학적 기상 증착 프로세스에서 Re-카르보닐 전구체로부터 기판 상에 Re 금속층을 적층하는 단계, 및 상기 금속 표면 상에 Re-함유 노듈들의 산소-유발 성장을 금지하기 위하여 Re 금속층의 표면 상에 패시배이션층을 형성하는 단계를 수행하기 위하여 프로세서 상에서의 실행을 위한 프로그램 명령들을 포함하는 컴퓨터 판독가능 매체를 더 제공한다.
도 1은 본 발명의 실시예에 따라 패시배이팅된 금속층을 형성하기 위한 프로세싱 시스템의 간략화된 블록도이다.
도 2는 본 발명의 실시예에 따라 패시배이팅된 금속층을 형성하기 위한 프로세싱 툴의 간략화된 블록도이다.
도 3A ~ 도 3B는 기판 상에 형성된 금속층의 개략적 단면도이다.
도 4A ~ 도 4C는 본 발명의 실시예에 따라 패시배이팅된 금속층을 함유하는 게이트 스택을 형성하기 위한 프로세스 시퀀스의 개략 단면도이다.
도 5는 본 발명의 실시예에 따라 패시배이팅된 금속층을 함유하는 패턴드 게이트 전극 스택의 개략 단면도이다.
도 6은 본 발명의 실시예들을 실행하기 위하여 사용될 수도 있는 범용 컴퓨터를 도시한다.
(본 발명의 실시예들의 상세한 설명)
도 1은 본 발명의 실시예에 따라 패시배이팅된 금속층을 형성하기 위한 프로세싱 시스템의 간략화된 블록도이다. 프로세싱 시스템(100)은, 상부 챔버부(1a), 하부 챔버부(1b), 및 배기 챔버(23)를 포함하는 프로세스 챔버(1)를 포함한다. 원형 개구부(22)가 하부 챔버부(1b)의 중간에 형성되고, 여기서 바닥부(1b)가 배기 챔버(23)에 접속된다
프로세스 챔버(1) 내부에는 처리될 기판(웨이퍼)(50)을 수평으로 유지하기 위한 기판 홀더(2)가 설치되어 있다. 기판 홀더(2)는 원통형 지지 부재(3)에 의하여 지지되며, 이 원통형 지지 부재(3)는 배기 챔버(23)의 하부의 중앙으로부터 상향으로 연장한다. 기판 홀더(2) 상에 기판(50)을 포지셔닝하기 위한 가이드 링(4)이 기판 홀더(2)의 에지에 설치된다. 또한, 기판 홀더(2)는, 전원(6)에 의하여 제어되며 기판(50)을 가열하기 위하여 사용되는 히터(5)를 포함한다. 히터(5)는 저항성 히터일 수 있다. 또는, 히터(5)는 램프 히터 또는 임의의 다른 형태의 히터일 수도 있다.
가열된 기판(50)은 금속-카르보닐 가스를 열적으로 분해하여 기판(50) 상에 금속층을 적층할 수 있다. 기판 홀더(2)는 기판(50) 상에 소망의 금속층을 적층시키는 데 적합한 미리 결정된 온도로 가열된다. 히터(미도시)는 프로세스 챔버(1)의 벽에 삽입되어(embed), 챔버 벽을 미리 결정된 온도로 가열시킨다. 히터는 프로세스 챔버(1)의 벽의 온도를 약 40℃ 내지 약 200℃로 유지할 수 있다.
샤워헤드(showerhead)(10)가 프로세스 챔버(1)의 상부 챔버부(1a)에 위치된다. 샤워헤드(10)의 바닥부의 샤워헤드 플레이트(10a)는 기판(50) 위에 위치된 프로세싱 존(60)으로 금속-카르보닐 전구체 가스를 포함하는 프로세스 가스를 전달하기 위한 다수의 가스 전달 홀들(10b)을 포함한다.
가스 라인(12)으로부터 가스 분배 구획(gas distribution compartment)(10d)으로 프로세스 가스를 도입하기 위하여 상부 챔버부(1b)에 개구부(10c)가 설치된다. 동심원 냉각재 흐름 채널들(10e)이 샤워헤드(10)의 온도를 제어하기 위하여 설치되어, 샤워헤드(10) 내부에서 금속-카르보닐 전구체 가스의 분해를 방지한다. 물과 같은 냉각재 유체가 냉각재 유체원(10f)으로부터 냉각재 흐름 채널들(10e)로 공급되어, 샤워헤드(10)의 온도를 약 20℃ 내지 약 200℃로 제어할 수 있다.
가스 라인(12)은 전구체 전달 시스템(120)을 프로세스 챔버(1)와 연결시킨다. 전구체 용기(13)는 금속-카르보닐 전구체(55)를 가지며, 전구체 히터(13a)는 전구체 용기(13)를 가열하기 위하여 제공되어, 금속-카르보닐 전구체(55)를 금속-카르보닐 전구체의 소망의 기상 압력을 생성하는 온도에서 유지시킨다.
본 발명의 일 실시예에서, 캐리어 가스(예컨대, 아르곤(Ar) 또는 헬륨(He))가 프로세스 챔버(1)로의 금속-카르보닐 전구체의 전달을 강화하기 위하여 사용될 수 있다. 또는, 금속-카르보닐 전구체가 캐리어 가스를 사용하지 않고 프로세스 챔버(1)에 전달될 수 있다. 가스 라인(14)은 캐리어 가스를 가스원(15)으로부터 전구체 용기(13)로 제공할 수 있고, MFC(질량 유량계, Mass Flow Controller)(16) 및 밸브들(17)이 캐리어 가스 유속을 제어하기 위하여 사용될 수 있다. 캐리어 가스가 사용될 때, 캐리어 가스가 전구체 용기(13)의 하부로 도입되어 금속-카르보닐 전구체(55)를 통하여 흐르게 할 수도 있다. 또는, 캐리어 가스가 전구체 용기(13)로 도입되어, 금속-카르보닐 전구체(55)의 정상부를 가로질러 분배될 수도 있다.
전구체 용기(13)로부터의 총 가스 유속을 측정하기 위하여 센서(45)가 설치된다. 센서(45)는, 예컨대 MFC를 구비할 수 있고, 프로세스 챔버(1)에 전달된 금속-카르보닐 전구체 가스량은 센서(45)와 MFC(16)를 사용하여 결정되고 제어될 수 있다. 또는, 센서(45)는 광 흡수 센서를 구비하여, 프로세스 챔버(1)로의 가스 흐름에서의 금속-카르보닐 전구체의 농도를 측정할 수 있다. 본 발명의 다른 실시예에서, 액체 전달 시스템은 금속-카르보닐 전구체 가스를 프로세스 챔버(1)로 전달하는 데 사용될 수 있다.
센서(45)로부터 하류에 바이패스 라인(41)이 위치되어, 가스 라인(12)을 배기 라인(24)에 연결시킨다. 바이패스 라인(41)은 가스 라인(12)을 비우기 위하여, 그리고 프로세스 챔버(1)로의 금속-카르보닐 전구체 가스의 공급을 안정화하기 위하여 설치된다. 부가하여, 가스 라인(12)의 가지로부터 하류에 위치된 밸브(42)가 바이패스 라인(41) 상에 설치된다.
히터들(미도시)이 가스 라인들(12, 14 및 41)을 독립적으로 가열하기 위하여 설치되며, 이 가스 라인들의 온도는 가스 라인들에서의 금속-카르보닐 전구체의 응결을 회피하기 위하여 제어될 수 있다. 가스 라인들의 온도는 약 20℃ 내지 약 200℃로, 또는 약 25℃ 내지 약 150℃로 제어될 수 있다.
희석 가스(예컨대, Ar 또는 He)가 가스 라인(18)을 이용하여 가스원(19)으로부터 가스 라인(12)으로 공급될 수 있다. 희석 가스는 프로세스 가스를 희석하거나 프로세스 가스 분압(들)을 조정하기 위하여 사용될 수 있다. 가스 라인(18)은 MFC(20) 및 밸브(21)를 포함한다. MFC(16, 20) 및 밸브(17, 21 및 42)가 컨트롤러(40)에 의하여 제어되고, 이 컨트롤러는 캐리어 가스, 금속-카르보닐 전구체 가스, 및 희석 가스의 공급, 차단, 및 흐름을 제어한다. 센서(45)가 또한 컨트롤러(40)에 연결되어, 센서(45)의 출력에 기초하여, 컨트롤러(40)는 MCF(16)를 통하여 캐리어 가스 유속을 제어하여, 프로세스 챔버(1)로의 소망의 금속-카르보닐 전구체 가스 유속을 획득할 수 있다.
또한, 환원 가스(예컨대, 수소(H2))가 가스원(61)으로부터 가스 라인(64)에 공급될 수 있고, 적층된 금속층 상에 패시배이션층을 형성하기 위한 반응 가스가 가스원들(65)로부터 가스 라인(64)으로 공급될 수 있다. MFC들(63, 67) 및 밸브들(66, 62)이 컨트롤러(40)에 의하여 제어되며, 이 컨트롤러는 가스원들(61, 65)로부터의 가스의 공급, 차단 및 흐름을 제어한다.
배기 라인(24)은 배기 챔버(23)를 진공 펌핑 시스템(130)과 연결시킨다. 진공 펌프(25)는 프로세스 챔버(1)를 소망의 진공 정도로 배기하고, 프로세싱 동안 프로세스 챔버(1)로부터 가스 종들을 제거하기 위하여 사용된다. 자동 압력 컨트롤러(APC)(59) 및 탭(57)이 진공 펌프(25)와 직렬로 사용될 수 있다. 진공 펌프(25)는 터보-분자(turbo-molecular) 펌프를 포함할 수 있다. 또는, 진공 펌프(25)는 드라이 펌프를 포함할 수 있다. 프로세싱 동안, 프로세스 가스가 프로세스 챔버(1)로 도입될 수 있고, 챔버 압력은 APC(59)에 의하여 조정될 수 있다. APC(59)는 버터플라이형 밸브 또는 게이트 밸브를 구비할 수 있다. 트랩(57)은 프로세스 챔버(1)로부터 미반응 전구체 물질 및 부산물을 수집할 수 있다.
프로세스 챔버(1)에서, 3개의 기판 리프트 핀들(26)(2개만이 도시)이 기판(50)을 지지하고 상승시키고 하강시키기 위하여 설치된다. 기판 리프트 핀들(26)은 플레이트(27)에 부착되고, 기판 홀더(2)의 상부 표면 밑으로 하강될 수 있다. 예컨대, 에어 실린더를 사용하는 드라이브 메카니즘(28)이 플레이트(27)를 상승시키고 하강시키기 위한 수단을 제공한다. 기판(50)은 로보틱 전달 시스템(미도시)을 경유하여 게이트 밸브(30)와 챔버 피드-스루 통로(29)를 통하여 프로세스 챔버(1)의 내로 또는 이 챔버 밖으로 전달될 수 있고, 기판 리프트 핀들(26)에 의하여 수용된다. 기판(50)이 이 전달 시스템으로부터 수용되면, 기판 리프트 핀들(26)을 하강시킴으로써 기판 홀더(2)의 상부 표면으로 하강될 수 있다.
프로세싱 시스템 컨트롤러(140)는, 프로세싱 시스템(100)으로부터의 출력들을 모니터링하는 것은 물론, 프로세싱 시스템(100)의 입력들과 통신하고 이 입력들을 활성화하는 데 충분한 제어 전압들을 발생할 수 있는 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함한다. 또한, 프로세싱 시스템 컨트롤러(140)는, 프로세스 챔버(1), 컨트롤러(40) 및 전구체 히터(13a)를 포함하는 전구체 전달 시스템(120), 진공 펌핑 시스템(130), 전원(6), 및 냉각재 유체원(10f)과 연결하여 이들과 정보를 교환한다. 진공 펌핑 시스템(130)에서, 프로세싱 시스템 컨트롤러(140)는 프로세스 챔버(1)에서의 압력을 제어하기 위하여 자동 압력 컨트롤러(59)와 연결되어 이것과 정보를 교환한다. 메모리에 기억된 프로그램은 기억된 프로세스 레시피에 따라 프로세싱 시스템(100)의 상술된 구성 요소들을 제어하기 위하여 사용된다. 프로세싱 시스템 컨트롤러(140)의 일 예는, Dell Corporation, Austin, Texas로부터 사용 가능한 DELL PRECISION WORKSTATION 610TM이다.
도 2는 본 발명의 실시예에 따른 프로세싱 툴의 간략화된 블록도이다. 프로세싱 툴(200)은 프로세싱 시스템들(220, 230), 프로세싱 툴(200) 내로 기판들을 전달하도록 구성된 (로보틱) 전달 시스템(210), 및 프로세싱 툴(200)의 구성 요소들을 제어하도록 구성된 컨트롤러(240)를 포함한다. 본 발명의 실시예에서, 프로세싱 툴(200)은 도 1에 도시된 예시적인 프로세싱 시스템(100)과 같은 단일 프로세싱 시스템을 구비할 수 있고, 또는 프로세싱 툴(200)은 2개 보다 많은 프로세싱 시스템들을 구비할 수 있다. 도 2에서, 프로세싱 시스템들(220, 230)은, 예컨대 화학적 기상 증착 프로세스로 금속-카르보닐 전구체 가스로부터 기판 상에 금속층을 적층시키고, 금속층 상에 패시배이션층을 형성하는 프로세스들 중 적어도 하나를 수행할 수 있다. 패시배이션층은, 예컨대 열적 화학적 기상 증착 프로세스, 원자층 적층 프로세스, 플라즈마-강화된 화학적 기상 증착 프로세스, 또는 물리적 기상 증 착 프로세스로 형성될 수 있다. 도 1에서의 컨트롤러(140)와 같이, 컨트롤러(240)는 DELL PRECISION WORKSTATION 610TM으로서 실행될 수도 있다. 또한, 도 1 또는 도 2의 컨트롤러는 도 6과 관련하여 이하에 설명된 바와 같은 범용 컴퓨터 시스템으로서 실행될 수도 있다.
특정 하드웨어와 소프트웨어의 많은 변형들이 본 발명의 방법이 실행될 수도 있는 시스템들을 실행하는 데 사용될 수 있으므로, 도 1의 프로세싱 시스템 및 도 2의 프로세싱 툴은 예시적인 목적만을 위한 것이며, 이들 변형들은 당업자에게 용이하게 명백할 것이 이해되어야 한다.
일반적으로, 다양한 금속층들이 대응하는 금속-카르보닐 전구체들로부터 적층될 수 있다. 이것은, 각각 W(CO)6, Ru3(CO)12, Ni(CO)4, Mo(CO)6, Co2(CO)8, Rh4(CO)12, Re2(CO)10, Os3(CO)12 및 Cr(CO)6 전구체들로부터 W, Ru, Ni, Mo, Co, Rh, Re, Os 및 Cr 금속층의 적층을 포함한다. 금속-카르보닐 전구체들로부터 저-저항성 금속층들의 저온 적층은, 저 기판 온도를 요하는 백-엔드-오프-라인(Back-End-Of-Line, BEOL) 프로세싱 스킴으로의 금속층들의 통합을 허용한다.
금속-카르보닐 전구체들의 열적 분해 및 후속 금속 적층은 지배적으로 기판으로부터 CO 제거 및 CO 부산물의 탈착(desorption)에 의하여 진행되는 것으로 생각된다. 금속층들로의 CO 부산물의 통합은 금속-카르보닐 전구체의 불완전 분해, 금속층으로부터의 CO 부산물의 불완전 제거, 프로세싱 존으로부터 금속층으로의 CO 부산물의 재-흡수, 및 백그라운드 가스로부터 적층된 금속층으로의 금속-카르보닐 전구체의 흡수로부터 유발될 수 있다. 프로세스 압력의 하강은 기판 위의 프로세싱 존에서의 가스 종들(예컨대, 금속-카르보닐 전구체, 반응 부산물, 캐리어 가스, 및 희석 가스)의 거주 시간을 보다 짧게 하며, 그 후 기판에 적층된 금속층들에서의 CO 불순물 레벨들을 더 하강시킬 수 있다. 부가하여, 프로세싱 존에서의 금속-카르보닐 전구체의 부분압의 하강은 금속층의 보다 낮은 적층률을 유발할 수 있다. 보다 낮은 적층률은, 부산물을 금속층으로부터 탈착하기 위한 더 많은 시간을 허용함으로써 금속층에 통합될(가둠(trap)) 수 있는 반응 부산물의 양을 감소시킬 수 있다. 그럼에도 불구하고, Re의 경우에서, 미반응된 전구체가 Re 금속층의 표면에 가둬지고, 미반응된 전구체와 Re 금속층이 후속하여 표면 상의 Re 산화물 노듈들을 형성하기 위하여 대기의 산소와 반응할 수도 있다. Re 금속의 산화 용이성과 결합하여, Re 금속층의 표면에서의 미반응 전구체의 존재는 표면 상의 Re 산화물 노듈들의 형성을 촉진시키는 것으로 여겨진다.
도 3A는 기판 상의 금속층의 개략적 단면도를 도시한다. Re 금속층(304)은 Re-카르보닐 전구체로부터 적층된다. 일 예에서, Re 금속층(304)은 CVD 프로세스에서 Re2(CO)10 전구체를 열적으로 분해함으로써 도 1에 개략적으로 도시된 프로세싱 시스템에서 기판(302)에 적층되었다. 적층 조건은 500℃의 기판 온도, 50 mTorr의 프로세스 챔버 압력, 50℃의 전구체 용기 온도, 200 sccm(standard cubic centimeters per minute)의 Ar 캐리어 가스 흐름, 및 20 sccm의 Ar 희석 가스 흐름을 포함했다. 적층된 Re 금속층은 약 150 옹스트롱(Å) 두께였으며, Re 적층률은 약 22 Å/min였다. 적층된 바와 같은 Re 금속층은 저 표면 조도와 약 61 마이크로옴-cm의 전기 저항성을 갖는 양호한 모폴로지를 보여주었다.
다른 예에서, Re 금속층(304)은 420℃의 기판 온도, 50 mTorr의 프로세스 챔버 압력, 60℃의 전구체 용기 온도, 200 sccm의 Ar 캐리어 가스 흐름 및 20 sccm의 Ar 희석 가스에서 적층되었다. 적층된 Re 금속층(304)은 저 표면 조도를 갖는 양호한 모폴로지를 보여주었다. Re 금속층은 약 150 Å 두께였으며, Re 적층률은 약 53 Å/min이었다.
도 3A의 Re 금속층(304)의 모폴로지는 주위 대기에서 층(304)의 산소로의 노출동안 열화하는 것이 관찰되었다. 도 3B는 Re 금속층(304)과 이 Re 금속층(304)의 표면 상의 Re-함유 노듈들(306)을 포함하는 기판(302)의 개략적인 단면도를 도시한다. 노듈들(306)이 층(304)의 주위 대기로의 추가적인 노출 후 주사 전자 현미경(scanning electron microscopy, SEM)에 의하여 관찰되었다. 일 예에서, 노듈들(306)은 직경이 수백 나노미터이고 두께가 수십 나노미터였다. 요소 분석은, 노듈들이 Re 및 O를 함유한다는 것을 밝혀냈다. 요소 분석의 결과에 기초하여, 노듈들의 형성은 주위 대기의 산소로 Re 금속 표면 상에 부분적으로 분해된 Re2(CO)12 전구체의 반응에 의하여 촉진되었다고 여겨진다.
본 발명의 실시예들은 적층된 Re 금속층 상의 금속-함유 노듈들의 성장을 금지하기 위하여 패시배이팅된 금속층을 형성하기 위한 방법을 제공한다. 본 방법은, 프로세스 챔버에 기판을 설치하는 단계, 화학적 기상 증착 프로세스로 기판 상 에 Re 금속층을 적층하기 위하여 금속-카르보닐 전구체를 포함하는 프로세스 가스에 상기 기판을 노출하는 단계, 및 상기 Re 금속층의 표면 상에 금속-함유 노듈들의 산소-유발 성장을 금지하기 위하여 상기 금속층 상에 패시배이션층을 형성하는 단계를 포함한다. 패시배이션층의 제 위치(in-situ)(대기로의 노출없이)의 형성은, 산소-함유 분위기로의 금속층의 임의의 후속 노출이 하지의 Re 금속층의 특성과 모폴로지에 악영향을 미치지 않을 것임을 보증한다. 당업자에게 이해될 것인 바와 같이, 본 발명의 범위 및 사상을 벗어나지 않고, 다양한 Re-카르보닐 전구체들이 다양한 조합으로 Re 금속층을 적층하기 위하여 채용될 수도 있다.
도 4A 내지 도 4C는 본 발명의 실시예에 따라 패시배이팅된 금속층을 포함하는 게이트 스택을 형성하기 위한 프로세스 시퀀스의 개략적 단면도를 도시한다. 도 4A에서, 게이트 스택(400)은 기판(403), 인터페이스층(404), 하이-k 층(406)을 포함한다. 기판(403)은, 예컨대 Si 기판, Ge-함유 Si 기판, Ge 기판 또는 복합 반도체 기판과 같은 반도체 기판일 수 있고, 다수의 액티브 디바이스 및/또는 절연(isolation) 영역(미도시)을 포함할 수 있다. 기판(403)은 형성되는 디바이스의 형태에 따라 n- 또는 p-형일 수 있다. 인터페이스층(404)은, 예컨대, 약 10Å 내지 약 30Å 두께인 산화물층(예컨대, SiOx), 질화물층(SiNx), 또는 산질화물(oxynitride)층(예컨대, SiOxNy)일 수 있다. 하이-k 층(406)은, 예컨대 Ta2O5, TiO2, ZrO2, Al2O3, Y2O3, HfSiOx, HfO2, ZrSiOx, TaSiOx, TaSiOx, SrOx, SrSiOx, LaOx, LaSiOx, YOx 및 YSiOx 의 예컨대 금속-산화물층 또는 금속-실리케이트층일 수 있다. 하이-k 층(406)은, 예컨대 약 30Å 두께일 수 있다. 도 4A에서 게이트 스택(400)을 형성하기 위한 방법은 반도체 프로세싱의 당업자들에게 공지되어 있다. 당업자에 의하여 이해되는 바와 같이, 본 발명의 범위 및 사상으로부터 벗어나지 않고, 다른 재료들이 다양한 조합으로 채용될 수도 있다.
도 4B는 하이-k 층(406) 상에 금속 게이트 전극층(408)을 포함하는 게이트 스택(401)을 도시한다. 금속 게이트 전극층(408)은 Re을 포함할 수 있다. 금속 게이트 전극층(408)은 Re-카르보닐 전구체로부터 화학적 기상 증착 프로세스에서 적층될 수 있다.
열적 화학적 기상 증착 프로세스에서 금속 게이트 전극층(408)을 적층하기 위한 프로세스 파라미터 공간은, 예컨대 약 300 mTorr보다 작은 챔버 압력을 사용할 수 있다. 또는, 이 압력은 약 100 mTorr 보다 작을 수 있다. Re-카르보닐 전구체 유속은 약 0.1 sccm 내지 약 200 sccm일 수 있고, 캐리어 가스 유속은 약 500 sccm보다 작을 수 있고, 희석 가스 유속은 약 2000 sccm보다 작을 수 있다. 캐리어 가스 및 희석 가스는 불활성 가스 또는 H2 가스 중 적어도 하나를 포함할 수 있다. 불활성 가스는 Ar, He, Ne, Kr, Xe 또는 N2, 또는 이들의 2 이상의 조합일 수 있다. 금속층들은, 예컨대 약 300℃ 내지 약 600℃, 또는 더 낮은 기판 온도에서 적층될 수 있다.
도 4C는 본 발명의 실시예에 따라, 금속 게이트 전극층(408) 상의 패시배이션층(414), 즉 패시배이팅된 금속 게이트 전극층을 포함하는 게이트 스택(402)을 도시한다. 패시배이션층(414)은 수 옹스트롱 두께 내지 수십 옹스트롱 두께일 수 있다. 본 발명의 일 실시예에서, 패시배이션층(414)은 플라즈마-강화된 화학적 기상 증착 프로세스, 원자층 적층 프로세스, 또는 물리적 기상 증착 프로세스에 의하여 적층된, W, Ru, Ti, Ta, Ni, Mo, Co, Rh, Re, 또는 Cr, 또는 그의 2 이상의 조합을 포함하는 금속 패시배이션층일 수 있다. 다른 실시예에서, 패시배이션층(414)은, 예컨대 열적 화학적 기상 증착 프로세스에서, W(CO)6과 같은, 대응하는 금속-카르보닐 전구체 가스에 상기 금속 게이트 전극층(408)을 노출시킴으로써 금속 게이트 전극층(408) 상에 적층된 W를 함유하는 금속 패시배이션층일 수 있다. 상기 설명된 바와 같이, Re-카르보닐 전구체들로부터 열적 화학적 기상 증착에 의하여 형성된 Re 금속층들은 주위 대기(ambients)의 존재시 노듈이 형성되는 반면, 대응하는 금속-카르보닐 전구체들로부터 형성된 다른 금속층들은 노듈 형성을 발현하지 않는다. 텅스텐이, 노듈 형성을 발현하지 않고 그 금속-카르보닐 전구체로부터 열적 화학적 기상 증착에 의하여 적층될 수도 있는 금속층의 일 예이다. 따라서, 열적 화학적 기상 증착에 의하여 적층된 다른 천이 금속들 또한, 그들의 금속 카르보닐 전구체들이, 후속 노듈 형성을 방지하기 위하여 열적 프로세스 동안 실질적으로 또는 완전하게 분해되는 패시배이션층으로서 사용될 수도 있다는 것이 이해될 수도 있다.
본 발명의 다른 실시예에서, 패시배이션층(414)은 금속 게이트 전극층(408) 상에 형성된 규소-함유층 또는 탄소-함유층일 수 있다. 규소-함유층은 SiH4, Si2H6, SiCl2H2 또는 Si2Cl6, 또는 그 2 이상의 조합을 포함하는 규소-함유 가스에 금속 게이트 전극층(408)을 노출시킴으로써 형성될 수 있다. 탄소-함유층은 CH4, C2H6, C2H4, C2H2, C3H6, C2H5OH, CH3CH2CH2OH, CH3COCH3, 또는 C4H8O, 또는 그 2 이상의 조합을 포함하는 탄소-함유 가스에 금속 게이트 전극층(408)을 노출시킴으로써 형성될 수 있다. 당업자에게 이해되는 바와 같이, 본 발명의 범위를 벗어나지 않고, 다른 규소-함유 가스와 탄소-함유 가스가 채용될 수도 있다. 용어 "규소-함유층(silicon-containing layer)"은, 프로세스로부터의 불순물 또는 하지 금속층으로부터 규소로 확산하거나 이주한 금속을 갖는 규소는 물론, 순수 규소층도 예상하는 것이 또한 이해될 수도 있다. 유사하게는, 용어 "탄소-함유층(carbon-containing layer)"은 프로세스로부터의 불순물 또는 하지 금속층으로부터 탄소로 확산하거나 이주한 금속을 갖는 탄소는 물론, 순수 탄소층도 예상하는 것이 또한 이해될 것이다.
본 발명의 또다른 실시예에서, 패시배이션층(414)은, 패시배이션층(414)이 금속 게이트 전극층(408) 상에 적층되는, 금속 규화물층, 금속 탄화물층, 금속 질화물층, 금속 산화물층, 또는 금속 붕소화물층, 또는 그 2 이상의 조합을 포함하는 금속-함유층일 수 있다. 금속-함유층은 W, Ru, Ti, Ta, Ni, Mo, Co, Rh, Re, Os 또는 Cr, 또는 그 2 이상의 조합의 규화물, 탄화물, 질화물, 산화물 또는 붕소화물일 수 있다. 패시배이션층(414)은 규소-함유 가스, 탄소-함유 가스, 붕소-함유 가스, 질소-함유 가스, 또는 산소-함유 가스 또는 그 2 이상의 조합으로 혼합된 금속-함유 가스(예컨대, 금속 카르보닐 전구체)에 금속 게이트 전극층(408)을 노출시킴으로써 형성될 수 있다. 규소-함유 가스는 SiH4, Si2H6, SiCl2H2, Si2Cl6 또는 그 2 이상의 조합을 포함할 수 있다. 탄소-함유 가스는 CH4, C2H6, C2H4, C2H2, C3H6, C2H5OH, CH3CH2CH2OH, CH3COCH3 또는 C4H8O(테트라히이드로푸란), 또는 그 2 이상의 조합을 포함할 수 있다. 질소-함유 가스는 N2, NH3, NO, NO2, 또는 N2O, 또는 그 2 이상의 조합을 포함할 수 있다. 산소-함유 가스는 O2를 포함할 수 있고, 붕소-함유 가스는 BH4 또는 B2H6 또는 모두를 포함할 수 있다. 당업자에게 이해되는 바와 같이, 본 발명의 범위를 벗어나지 않고, 다른 규소-함유 가스, 탄소-함유 가스, 질소-함유 가스, 산소-함유 가스 및 붕소-함유 가스가 채용될 수도 있다.
또는, 패시배이션층(414)은, 금속 게이트 전극층(408) 상에 얇은 제2 금속층을 적층하는 제1 적층 단계, 및 후속하여 상기 얇은 제2 금속층을 규소-함유 가스, 탄소-함유 가스, 붕소-함유 가스, 질소-함유 가스 또는 산소-함유 가스, 또는 그 2 이상의 조합에 노출시키는 단계에 의하여 형성될 수 있다. 상기 가스 노출 후, 고온 프로세스(예컨대, 어닐링)이 후속하여, 규소, 탄소, 질소, 산소 또는 붕소 원자를 금속 게이트 전극층(408) 상에 형성된 얇은 제2 금속층으로 확산시킴으로써 패시배이션층(414)을 형성하여, 상기 얇은 제2 금속층을 금속 규화물, 금속 탄화물, 금속 붕소화물, 금속 질화물 및/또는 금속 산화물을 포함하는 금속-함유 패시배이션층(414)으로 변환시킬 수 있다. 예시적인 실시예에서, 얇은 제2 금속층은 주위 대기로의 제1 노출없이 이것을 변환시키기 위하여 어닐링된다.
본 발명의 일 실시예에서, 패시배이션층(414)은 규소, 탄소, 질소, 산소 또는 붕소, 또는 그 2 이상의 조합을, 금속 게이트 전극층(408)을 규소-함유 가스, 탄소-함유 가스, 질소-함유 가스, 산소-함유 가스 또는 붕소-함유 가스, 또는 그 2 이상의 조합에 노출시킴으로써, 표면부로와 같이 금속 게이트 전극층(408)으로 통합함으로써 형성될 수 있다. 또는, Si 층 또는 C 층은 금속 게이트 전극층(408)을 규소-함유 가스 또는 탄소-함유 가스로 노출함으로써 금속 게이트 전극층(408) 상에 적층될 수 있다. 이것은 Si 또는 C 원자를 금속 게이트 전극층(408)으로 통합하기 위하여 고온 프로세스(예컨대, 어닐링)가 후속될 수 있어, 금속 규화물 또는 금속 탄화물 패시배이션층(414)을 형성한다.
본 발명의 일 실시예에 따르면, 적층된 금속 게이트 전극층(408) 및 패시배이션층(414) 모두는 동일한 프로세싱 시스템에서 형성될 수 있다. 이것은 프로세싱 툴의 처리량을 증가시킬 수 있다. 본 발명의 다른 실시예에서, 금속층 및 패시배이션층은 프로세싱 툴의 상이한 프로세싱 시스템에서 형성될 수 있다. 따라서, 도 2를 참조하여, 일 예에서, 금속층은 프로세싱 시스템(220)에 적층될 수 있고, 패시배이션층은 프로세싱 시스템(230)에서 형성될 수 있다.
본 발명의 일 예에서, Re 금속층은 제1 프로세싱 시스템에서 Re2(CO)10 전구체 가스를 사용하여 열적 화학적 기상 증착 프로세스에서 기판 상에 적층되었다. 후속하여, 기판은 W(CO)6 전구체 가스로부터 Re 금속층 상에 W 패시배이션층을 적층하기 위하여 구성된 제2 프로세싱 시스템으로 제 위치에(주위 대기로 노출없이) 전달되었다. W 패시배이션층의 적층은 500℃의 기판(웨이퍼) 온도, 150 mTorr의 프로세스 챔버 압력, 35℃의 W(CO)6 전구체 용기 온도, 200 sccm의 Ar 캐리어 가스 흐름, 및 700 sccm의 Ar 희석 가스 흐름에서 수행되었다. Re 금속층 상에 형성된 W 패시배이션층은 자신이 모듈 형성을 발현하지 않고, 주위 대기로의 게이트 스택의 후속 노출 시에 Re 금속층 상의 노듈들의 형성을 방지하였다는 것이 관찰되었다.
본 발명의 다른 예에서, Re 금속층이 제1 프로세싱 시스템에서 Re2(CO)10 전구체 가스를 사용하여 열적 화학적 기상 증착으로 기판에 적층되었다. 후속하여, 기판은 SiH4 가스를 사용하여 Re 금속층 상에 규소-함유 패시배이션층을 적층하도록 구성된 제2 프로세싱 시스템으로 전달되었다. Re 금속층 상에 형성된 규소-함유 패시배이션층이 주위 대기로의 게이트 스택의 노출시 Re 금속층 상의 노듈들의 형성을 방지하였다는 것이 관찰되었다.
본 발명의 또다른 예에서, Re 금속층이 프로세싱 시스템에서 Re2(CO)10 전구체 가스로부터 기판 상에 적층되었다. 후속하여, Re-질화물 패시배이션층은, Re 금속층을 Re2(CO)10 전구체 가스와 NH3 가스의 혼합물에 노출시킴으로써 Re 금속층 상에 형성되었다. 그 후, 규소-함유 패시배이션층이, Re-질화물 패시배이션층을 SiH4 가스와 H2 가스의 혼합물에 노출시킴으로써 Re-질화물 패시배이션층 상에 적층되었다. 모든 가스 노출은 주위 대기로 기판을 노출하지 않고 수행되었다. Re-질화물층 상에 형성된 규소-함유층을 포함하는 패시배이션층은 주위 대기로의 게이트 스택의 노출시 Re 금속층 상의 노듈들 형성을 방지하였다는 것이 관찰되었다.
도 5는 본 발명의 실시예에 따라 패시배이팅된 금속층을 함유하는 패턴드 게이트 전극 구조의 개략적인 단면도를 도시한다. 게이트 전극 구조(500)는, 기판(510), 소스 및 드레인 영역들(520, 530), 유전체 측벽 스페이서들(540, 570), 계면층(550), 하이-k 층(560), 금속 게이트층(580), 및 패시배이션층(590)을 포함한다. 패시배이션층(590)은 금속 게이트층(580)의 적층 후 형성될 수 있고, 게이트 전극 구조(500)를 포함하는 반도체 장치를 제조하도록 수행된 후속 프로세싱 단계들 동안 금속 게이트층(580)을 보호한다.
도 6은 본 발명의 실시예가 실행될 수도 있는 컴퓨터 시스템(1201)을 도시한다. 컴퓨터 시스템(1201)은 상술된 기능들 중 임의의 것 또는 모두를 수행하기 위하여, 도 1 및 도 2의 제어기(140, 240)로서 사용될 수도 있다. 컴퓨터 시스템(1201)은 버스(1202) 또는 정보를 통신하기 위한 다른 통신 메카니즘, 및 이 정보를 처리하기 위하여 버스(1202)에 연결된 프로세서(1203)를 포함한다. 컴퓨터 시스템(1201)은 또한, 프로세서(1203)에 의하여 실행될 명령들과 정보를 기억하기 위하여, 버스(1202)에 연결된, 랜덤 액세스 메모리(RAM) 또는 다른 동적 기억 장치(예컨대, 동적 RAM(DRAM), 정적 RAM(SRAM), 및 동기 DRAM(SDRAM))와 같은 메인 메모리(1204)를 포함한다. 또한, 메인 메모리(1204)는 프로세서(1203)에 의한 명령들의 실행 동안, 일시적 변수들 또는 다른 중간 정보를 기억하기 위하여 사용될 수도 있다. 컴퓨터 시스템(1201)은 프로세서(1203)에 대한 명령들과 정적 정보를 기억하기 위하여 버스(1202)에 연결된 리드 온리 메모리(ROM)(1205) 또는 다른 정적 기억 장치(예컨대, 프로그램가능한 ROM(PROM), 소거가능한 PROM(EPROM), 및 전기적으로 소거가능한 PROM(EEPROM))를 더 포함한다.
컴퓨터 시스템(1201)은 또한, 자기 하드 디스크(1207)와 같은, 정보와 명령들을 기억하기 위하여 하나 이상의 기억 장치들을 제어하도록 버스(1202)에 연결된 디스크 제어기(1206), 및 착탈가능한 미디어 드라이브(1208)(예컨대, 플로피 디스크 드라이브, 리드-온리 컴팩트 디스크 드라이브, 판독/기록 컴팩트 디스크 드라이브, 테이프 드라이브, 및 착탈가능한 광자기(magneto-optical) 드라이브)를 포함한다. 기억 장치는 적절한 장치 인터페이스(예컨대, 소형 컴퓨터 시스템 인터페이스(small computer system interface, SCSI), 집적된 장치 전자공학(integrated device electronics, IDE), 향상된-IDE(E-IDE), 직접 메모리 액세스(direct memory access, DMA), 또는 울트라-DMA)를 사용하여 컴퓨터 시스템(1201)에 부가될 수도 있다.
컴퓨터 시스템(1201)은 또한, 특별 용도의 논리 장치들(예컨대, 주문형 반도체(application specific integrated circuits(ASICs)) 또는 구성가능한(configurable) 논리 장치(예컨대, SPLD(simple programmable logic devices)), CPLD(complex programmable logic devices), 및 FPGA(field programmable gate arrays)(미도시)를 포함할 수도 있다. 컴퓨터 시스템은 또한, Texas Instruments로부터의 칩 TMS320 시리즈, Motorola로부터의 칩 DSP56000, DSP56100, DSP56300, DSP56600, 및 DSP96000 시리즈, Lucent Technologies로부터의 DSP1600 및 DSP3200 시리즈, 또는 Anaolog Devices로부터의 ADSP2100 및 ADSP21000 시리즈와 같은 하나 이상의 디지털 신호 프로세서들(DSPs)(미도시)을 포함할 수도 있다. 디지털 도메인으로 변환했던 아날로그 신호들을 처리하도록 특별히 설계된 다른 프로세서들 또한 사용될 수도 있다.
컴퓨터 시스템(1201)은 또한, 컴퓨터 사용자에게 정보를 디스플레이하기 위 하여, 디스플레이(1210)를 제어하도록 버스(1202)에 연결된 디스플레이 제어기(1209)를 포함할 수도 있다. 컴퓨터 시스템은 컴퓨터 사용자와 상호작용하고 정보를 프로세서(1203)에 제공하기 위하여, 키보드(1211)와 포인팅 장치(1212)와 같은 입력 장치들을 포함한다. 포인팅 장치(1212)는, 예컨대 지시 정보와 커맨드 선택을 프로세서(1203)와 통신하고, 디스플레이(1210) 상의 커서 이동을 제어하기 위하여, 마우스, 트랙볼, 또는 포인팅 스틱일 수도 있다. 부가하여, 프린터(미도시)가 컴퓨터 시스템(1201)에 의하여 기억된 및/또는 발생된 프린트된 데이터 리스팅을 제공할 수도 있다.
컴퓨터 시스템(1201)은, 메인 메모리(1204)와 같은 메모리에 포함된 하나 이상의 명령어들의 하나 이상의 시퀀스를 실행하는 프로세서(1203)에 응답하여 본 발명의 프로세싱 단계들의 일부 또는 전부를 수행한다. 이러한 명령들은, 하드 디스크(1207) 또는 착탈가능한 미디어 드라이브(1208)와 같은 다른 컴퓨터 판독가능 매체로부터 메인 메모리(1204)로 판독될 수도 있다. 멀티-프로세싱 장치에서의 하나 이상의 프로세서들이 메인 메모리(1204)에 포함된 명령들의 시퀀스를 수행하도록 채용될 수도 있다. 다른 실시예들에서, 하드-배선 회로가 소프트웨어 명령들 대신에 또는 이와 조합하여 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로 및 소프트웨어의 임의의 특정 조합에 제한되지 않는다.
상술된 바와 같이, 컴퓨터 시스템(1201)은, 본 발명의 교훈에 따라 프로그램된 명령들을 기억하고, 또한 데이터 구조, 테이블, 레코드, 또는 여기서 설명된 다른 데이터를 포함하기 위하여, 적어도 하나의 컴퓨터 판독가능 매체 또는 메모리를 포함한다. 컴퓨터 판독가능 매체의 예들은, 컴팩트 디스크, 하드 디스크, 플로피 디스크, 테이프, 광자기 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 컴팩트 디스크(예컨대, CD-ROM) 또는 임의의 다른 광 매체, 펀치 카드, 페이퍼 테이프, 또는 홀의 패턴을 갖는 다른 물리적 매체, 반송파(후술), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체이다.
본 발명은, 컴퓨터 판독가능 매체의 임의의 하나에 또는 그 조합에 기억되며, 본 발명을 실행하기 위한 장치 또는 장치들을 구동하기 위하여, 그리고 컴퓨터 시스템(1201)이 사람 사용자(예컨대, 프로세싱 시스템 직원)와의 상호작용을 가능하게 하기 위하여, 컴퓨터 시스템(1201)을 제어하기 위한 소프트웨어를 포함한다. 이러한 소프트웨어는, 장치 드라이버들, 연산 시스템들, 개발 툴들 및 애플리케이션 소프트웨어를 포함할 수도 있으나, 이에 제한되지 않는다. 이러한 컴퓨터 판독가능 매체는 본 발명을 실행할 때 수행되는 프로세싱의 전부 또는 일부(프로세싱이 분배된 경우)을 수행하기 위하여 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 장치들은, 스크립트, 해석가능한(interpretable) 프로그램들, 동적 링크 라이브러리(DLL), 자바 클래스, 및 완전 실행가능 프로그램(complete executable program)을 포함(그러나, 이들에 한정되지 않음)하는 임의의 해석가능한 또는 실행가능한 코드 메카니즘일 수도 있다. 또한, 본 발명의 프로세싱의 일부는 보다 양호한 성능, 신뢰성 및/또는 비용을 위하여 분배될 수도 있다.
여기서 사용되는 용어 "컴퓨터 판독가능 매체(computer readable medium)"는 실행을 위하여 프로세서(1203)에 명령들을 제공할 때 참가하는 임의의 매체에 관련된다. 컴퓨터 판독가능 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하는(그러나, 여기에 제한하지 않는) 다양한 형태들을 취할 수도 있다. 비휘발성 매체는, 예컨대 하드 디스크(1207) 또는 착탈가능한 미디어 드라이브(1208)과 같은 옵티컬, 자기 디스크, 및 광자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(1204)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(1202)를 형성하는 배선을 포함하는 동축 케이블, 구리 배선 및 섬유 옵틱스(fiber optics)를 포함한다. 전송 매체는 또한, 무선파 및 적외선 데이터 통신 동안 생성된 것과 같은 음향 또는 광 파의 형태를 취할 수도 있다.
다양한 형태의 컴퓨터 판독가능 매체가 실행을 위하여 프로세서(1203)에 하나 이상의 명령들의 하나 이상의 시퀀스를 실행할 때 개입될 수도 있다. 예컨대, 명령들은 초기에 원격 컴퓨터의 자기 디스크 상에서 실행될 수도 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부를 실행하기 위한 명령들을 동적 메모리로 원격으로 로딩할 수 있고, 이 명령들을 모뎀을 이용하여 전화선을 통하여 보낼 수 있다. 컴퓨터 시스템(1201)에 대하여 로컬인 모뎀은 전화선 상의 데이터를 수신하여, 이 데이터를 적외선 신호로 변환하기 이하여 적외선 송신기를 사용할 수도 있다. 버스(1202)에 결합된 적외선 검출기는 적외선 신호에 운반된 데이터를 수신하여, 이 데이터를 버스(1202)에 위치시킬 수 있다. 버스(1202)는 메인 메모리(1204)로 데이터를 운반하고, 이 메인 메모리로부터 프로세서(1203)가 명령들을 검색하고 실행한다. 메인 메모리(1204)에 의하여 수신된 명령들은 프로세서(1203)에 의한 실행 이전 또는 이후에 기억 장치(1207 또는 1208)에 선택적으로 기억될 수도 있다.
컴퓨터 시스템(1201)은 또한 버스(1202)에 연결된 통신 인터페이스(1213)를 포함한다. 통신 인터페이스(1213)는, 예컨대 LAN(local area network)(1215)에 또는 인터넷과 같은 다른 통신 네트워크(1216)에 접속된 네트워크 링크(1214)에 연결하는 양방향 데이터 통신을 제공한다. 예컨대, 통신 인터페이스(1213)는 임의의 패킷 스위칭된 LAN에 부착하기 위한 네트워크 인터페이스일 수도 있다. 다른 예로서, 통신 인터페이스(1213)는 대응하는 형태의 통신선에의 데이터 통신 접속을 제공하기 위하여 ADSL(asymmetrical digital subscriber line) 카드, ISDN(integrated services digital network) 카드 또는 모뎀일 수도 있다. 무선 링크가 또한 실행될 수도 있다. 이러한 임의 실행에서, 통신 인터페이스(1213)는 다양한 형태의 정보를 나타내는 디지털 데이터를 운반하는 전기, 전자기 또는 광 신호들을 송신하고 수신한다.
네트워크 링크(1214)는 통상적으로 하나 이상의 네트워크를 통하여 다른 데이터 장치들로 데이터 통신을 제공한다. 예컨대, 네트워크 링크(1214)는, 통신 네트워크(1216)를 통하여 통신 서비스를 제공하는 로컬 네트워크(1215)(예컨대, LAN)를 통하여 또는 서비스 프로바이더에 의하여 동작되는 장치를 통하여 다른 컴퓨터에의 접속을 제공할 수도 있다. 로컬 네트워크(1214) 및 통신 네트워크(1216)는, 예컨대 디지털 데이터 스트림을 운반하는 전기, 전자기 또는 광 신호들, 및 연관된 물리적 필름(예컨대, CAT 5 케이블, 동축 케이블, 광섬유 등)을 사용한다. 다양한 네트워크를 통한 신호들과, 컴퓨터 시스템(1201)으로 그리고 이로부터 디지털 데이 터를 운반하는 네트워크 링크(1214) 상의 및 통신 인터페이스(1213)를 통한 신호들은 기저대 신호들 또는 반송파 기반 신호들에서 실행될 수도 있다. 기저대 신호들은 디지털 신호를, 디지털 데이터 비트의 스트림을 기술하는 비변조 전기 펄스로서 전달하며, 여기서 "비트(bits)"라는 용어는 심볼을 의미하는 것으로 광범위하게 해석되어야 하며, 여기서 각 심볼은 적어도 하나 이상의 정보 비트를 전달한다. 디지털 데이터는 또한, 진폭, 위상 및/또는 도전 매체를 통하여 진행하거나, 전파 매체를 통하여 전자기파로서 송신되는 주파수 편이 변조된(frequency shift keyed) 신호들로와 같이 반송파를 변조하도록 사용될 수도 있다. 따라서, 디지털 데이터는 "유선의(wired)" 통신 채널을 통하여 비변조된 기저대 데이터로서 송신될 수도 있고, 및/또는 반송파를 변조함으로써 기저대와는 상이한 미리 결정된 주파수 대역 내에서 송신될 수도 있다. 컴퓨터 시스템(1201)은 네트워크(들)(1215 및 1216), 네트워크 링크(1214) 및 통신 인터페이스(1213)를 통하여, 프로그램 코드를 포함하여 데이터를 송수신할 수 있다. 또한, 네트워크 링크(1214)는 PDA(personal digital assistant) 랩탑 컴퓨터 또는 셀룰러 전화와 같은 이동 장치(1217)에 LAN(1215)을 통하여 접속을 제공할 수도 있다.
컴퓨터 시스템(1201)은 프로세싱 툴로 패시배이팅된 금속층을 형성하기 위하여 본 발명의 방법을 수행하도록 구성될 수도 있다. 컴퓨터 시스템(1201)은, 기판을 금속-카르보닐 전구체를 포함하는 프로세스 가스에 노출시켜, 금속층 상에 패시배이션층을 형성함으로써, 열적 화학적 기상 증착 프로세스로 기판 상의 금속을 적층하도록 더 구성될 수도 있다.
본 발명의 특정 실시예들만이 상세하게 설명되었지만, 본 발명의 신규한 교시 및 이점으로부터 실질적으로 벗어나지 않고 예시적인 실시예에서 많은 변형이 가능함을 당업자는 용이하게 이해할 것이다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되고자 한다.

Claims (20)

  1. 집적 회로의 게이트 스택에서 패시배이팅된 금속층을 형성하는 방법으로서,
    프로세싱 시스템의 프로세스 챔버에 반도체 기판을 설치하는 단계;
    열적 화학적 기상 증착 프로세스로 하이(high)-k 유전체층 상에 레늄(rhenium) 금속층을 적층하기 위하여, 상기 기판을 레늄-카르보닐 전구체를 함유하는 프로세스 가스에 노출시키는 단계; 및
    상기 레늄 금속층 상에 패시배이션층을 형성하는 단계로서, 상기 패시배이션층은 상기 레늄 금속층 표면에서의 Re-함유 노듈들의 산소-유발 성장을 금지하는 데 효과적인 것인 패시배이션층 형성 단계
    를 포함하는 패시배이팅된 금속층의 형성 방법.
  2. 제1항에 있어서, 상기 패시배이션층은 규소-함유 패시베이션층 또는 탄소-함유 패시배이션층을 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  3. 제1항에 있어서, 상기 레늄 금속층 및 상기 패시배이션층은 동일한 프로세싱 시스템에서 형성되는 것인 패시배이팅된 금속층의 형성 방법.
  4. 제1항에 있어서, 상기 레늄 금속층 및 상기 패시배이션층은 상이한 프로세싱 시스템에서 형성되는 것인 패시배이팅된 금속층의 형성 방법.
  5. 제1항에 있어서, 상기 패시배이션층 형성 단계는,
    규소, 탄소, 산소 또는 붕소, 또는 그 2 이상의 조합을 함유하는 가스에 상기 레늄 금속층을 노출하고, 상기 각 규소, 탄소, 산소 또는 붕소를 상기 레늄 금속층의 적어도 표면부에 확산시켜, 상기 표면부를 각 레듐 규화물, 레듐 탄화물, 레듐 산화물 또는 레듐 붕소화물 패시배이션층으로 변환시키기 위해, 상기 기판을 어닐링하는 단계
    를 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  6. 제5항에 있어서, 상기 가스는, SiH4, Si2H6, SiCl2H2, Si2Cl6, CH4, C2H6, C2H4, C2H2, C3H6, C2H5OH, CH3CH2CH2OH, CH3COCH3, C4H8O, O2, BH4 또는 B2H6, 또는 그 2 이상의 조합을 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  7. 제1항에 있어서, 상기 패시배이션층 형성 단계는,
    금속-카르보닐 전구체 가스 및 규소-함유 가스, 탄소-함유 가스, 산소-함유 가스 또는 붕소-함유 가스, 또는 그 2 이상의 조합에 상기 레늄 금속층을 노출함으로써, 상기 패시배이션층은 금속 규화물층, 금속 탄화물층, 금속 산화물층 또는 금속 붕소화물층, 또는 그 조합 중 적어도 하나가 되는 것인 레늄 금속층 노출 단계
    를 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  8. 제7항에 있어서, 상기 금속-카르보닐 전구체는 W(CO)6, Ru3(CO)12, Ni(CO)4, Mo(CO)6, Co2(CO)8, Rh4(CO)12, Re2(CO)10, Os3(CO)12 또는 Cr(CO)6, 또는 그 2 이상의 조합을 포함하고, 상기 규소-함유 가스는 SiH4, Si2H6, SiCl2H2, Si2Cl6, 또는 그 2 이상의 조합을 포함하며, 상기 탄소-함유 가스는 CH4, C2H6, C2H4, C2H2, C3H6, C2H5OH, CH3CH2CH2OH, CH3COCH3 또는 C4H8O, 또는 그 2 이상의 조합을 포함하고, 상기 산소-함유 가스는 O2를 포함하고, 상기 붕소-함유 가스는 BH4 또는 B2H6 또는 모두를 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  9. 제1항에 있어서, 상기 패시배이션층 형성 단계는,
    상기 레늄 금속층 상에 텅스텐 패시배이션층을 형성하는 단계; 및
    상기 텅스텐 패시배이션층 상에 규소 패시배이션층을 형성하는 단계
    를 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  10. 제9항에 있어서, 상기 텅스텐 패시배이션층은 상기 레듐 금속층을 W(CO)6에 노출시킴으로써 화학적 기상 증착 프로세스로 형성되는 것인 패시배이팅된 금속층의 형성 방법.
  11. 제9항에 있어서, 상기 규소 패시배이션층은 상기 텅스텐 패시배이션층을 SiH4, Si2H6, SiCl2H2 또는 Si2Cl6, 또는 그 2 이상의 조합에 노출시킴으로써 화학적 기상 증착 프로세스로 형성되는 것인 패시배이팅된 금속층의 형성 방법.
  12. 제9항에 있어서, 상기 레듐 금속층과, 상기 텅스텐 패시배이션층 및 상기 규소 패시배이션층은 동일한 프로세싱 시스템에서 형성되는 것인 패시배이팅된 금속층의 형성 방법.
  13. 제9항에 있어서, 상기 레듐 금속층과, 상기 텅스텐 패시배이션층 및 상기 규소 패시배이션층은 상이한 프로세싱 시스템에서 형성되는 것인 패시배이팅된 금속층의 형성 방법.
  14. 제9항에 있어서, 상기 레늄-카르보닐 전구체는 Re2(CO)10을 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  15. 제9항에 있어서, 상기 텅스텐 패시배이션층 및 상기 규소 패시배이션층의 적어도 일부를 텅스텐 규화물 패시배이션층으로 변환하기 위하여 상기 기판을 어닐링하는 단계를 더 포함하는 패시배이팅된 금속층의 형성 방법.
  16. 제1항에 있어서, 상기 패시배이션층 형성 단계는,
    첫째, 상기 레늄 금속층상에 금속층을 형성하고,
    둘째, 규소-함유 가스, 탄소-함유 가스, 질소-함유 가스, 산소-함유 가스 또는 붕소-함유 가스, 또는 그 2 이상의 조합에 상기 금속층을 노출시키며,
    셋째, 상기 금속층이 금속 규화물, 금속 탄화물, 금속 질화물, 금속 산화물 또는 금속 붕소화물 또는 그 2 이상의 조합으로 변환되도록 상기 규소, 탄소, 질소, 산소 또는 붕소 또는 그 2 이상의 조합을 상기 금속층에 확산시킴으로써, 상기 레늄 금속층 상에 패시배이션층을 형성하는 단계
    를 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  17. 제2항에 있어서, 규소 또는 탄소를 상기 레늄 금속층의 적어도 표면부에 확산시켜, 상기 표면부를 레늄 규화물 또는 레늄 탄화물 패시배이션층으로 변환시키기 위해서, 상기 규소-함유 패시배이션층 또는 상기 탄소-함유 패시배이션층을 어닐링하는 단계를 더 포함하는 패시배이팅된 금속층의 형성 방법.
  18. 제1항에 있어서, 상기 기판은 산화물, 질화물 또는 산질화물 인터페이스층 상에 형성된 하이-k 유전체층을 포함하는 것인 패시배이팅된 금속층의 형성 방법.
  19. 삭제
  20. 삭제
KR1020077005723A 2004-09-30 2005-09-21 패시배이팅된 금속층을 형성하기 위한 방법 KR101178663B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/711,717 2004-09-30
US10/711,717 US7189431B2 (en) 2004-09-30 2004-09-30 Method for forming a passivated metal layer
PCT/US2005/034286 WO2006039219A1 (en) 2004-09-30 2005-09-21 A method and system for forming a passivated metal layer

Publications (2)

Publication Number Publication Date
KR20070058497A KR20070058497A (ko) 2007-06-08
KR101178663B1 true KR101178663B1 (ko) 2012-08-30

Family

ID=35520930

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077005723A KR101178663B1 (ko) 2004-09-30 2005-09-21 패시배이팅된 금속층을 형성하기 위한 방법

Country Status (6)

Country Link
US (1) US7189431B2 (ko)
JP (1) JP4959568B2 (ko)
KR (1) KR101178663B1 (ko)
CN (1) CN100481334C (ko)
TW (1) TWI326311B (ko)
WO (1) WO2006039219A1 (ko)

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070249149A1 (en) * 2006-04-21 2007-10-25 International Business Machines Corporation Improved thermal budget using nickel based silicides for enhanced semiconductor device performance
US7674710B2 (en) * 2006-11-20 2010-03-09 Tokyo Electron Limited Method of integrating metal-containing films into semiconductor devices
US8431102B2 (en) * 2008-04-16 2013-04-30 The Regents Of The University Of California Rhenium boride compounds and uses thereof
US8120117B2 (en) * 2009-05-01 2012-02-21 Kabushiki Kaisha Toshiba Semiconductor device with metal gate
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103757624B (zh) * 2013-12-26 2016-02-17 佛山市三水雄鹰铝表面技术创新中心有限公司 铝合金无铬钝化剂及铝合金无铬钝化处理系统
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105826262B (zh) * 2015-01-08 2019-01-22 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10451540B2 (en) 2015-01-19 2019-10-22 Entegris, Inc. Multi-pass gas cell with mirrors in openings of cylindrical wall for IR and UV monitoring
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017137660A1 (en) * 2016-02-10 2017-08-17 Beneq Oy An apparatus for atomic layer deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109628909B (zh) * 2019-01-29 2020-09-01 西南科技大学 一种制备Co-Re合金涂层的化学气相沉积方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030098489A1 (en) * 2001-11-29 2003-05-29 International Business Machines Corporation High temperature processing compatible metal gate electrode for pFETS and methods for fabrication

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5169685A (en) * 1989-06-12 1992-12-08 General Electric Company Method for forming non-columnar deposits by chemical vapor deposition
US5209388A (en) * 1991-09-26 1993-05-11 Allied-Signal Inc. Process for bonding carbonaceous bodies
US5407855A (en) 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
US5780157A (en) * 1994-06-06 1998-07-14 Ultramet Composite structure
US5577263A (en) * 1995-03-22 1996-11-19 Alliedsignal Inc. Chemical vapor deposition of fine grained rhenium on carbon based substrates
US5952421A (en) * 1995-12-27 1999-09-14 Exxon Research And Engineering Co. Synthesis of preceramic polymer-stabilized metal colloids and their conversion to microporous ceramics
DE10080457T1 (de) * 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6238737B1 (en) 1999-06-22 2001-05-29 International Business Machines Corporation Method for protecting refractory metal thin film requiring high temperature processing in an oxidizing atmosphere and structure formed thereby
CA2327634A1 (en) * 1999-12-07 2001-06-07 Powdermet, Inc. Abrasive particles with metallurgically bonded metal coatings
JP2002222934A (ja) * 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
KR100805843B1 (ko) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6921469B2 (en) * 2002-03-26 2005-07-26 Lattice Energy Llc Electrode constructs, and related cells and methods
US20030186805A1 (en) 2002-03-28 2003-10-02 Vanderspurt Thomas Henry Ceria-based mixed-metal oxide structure, including method of making and use
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US6989321B2 (en) 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030098489A1 (en) * 2001-11-29 2003-05-29 International Business Machines Corporation High temperature processing compatible metal gate electrode for pFETS and methods for fabrication

Also Published As

Publication number Publication date
JP4959568B2 (ja) 2012-06-27
CN101032000A (zh) 2007-09-05
US20060068097A1 (en) 2006-03-30
WO2006039219A1 (en) 2006-04-13
KR20070058497A (ko) 2007-06-08
JP2008515234A (ja) 2008-05-08
US7189431B2 (en) 2007-03-13
CN100481334C (zh) 2009-04-22
TW200622022A (en) 2006-07-01
TWI326311B (en) 2010-06-21

Similar Documents

Publication Publication Date Title
KR101178663B1 (ko) 패시배이팅된 금속층을 형성하기 위한 방법
US20210057223A1 (en) Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR100990012B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물및 옥시나이트라이드 증착 방법
US7205187B2 (en) Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
WO2005104197A1 (en) A method of forming a tantalum-containing gate electrode structure
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
EP1422752B1 (en) Forming method and forming system for insulation film
KR20170017779A (ko) 알루미늄 및 질소 함유 물질의 선택적 퇴적
US8728935B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US20050056219A1 (en) Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2011082557A (ja) ヘキサクロロシランからのシリコン含有膜の堆積
US7674710B2 (en) Method of integrating metal-containing films into semiconductor devices
JP2011146711A (ja) ダマシンインターコネクトのエレクトロマイグレーション抵抗を向上させる界面層
US20220013365A1 (en) Molybdenum templates for tungsten
KR20080073336A (ko) 금속계막의 탈탄소 처리 방법, 성막 방법 및 반도체 장치의제조 방법
US20150179743A1 (en) Graphene as a Ge Surface Passivation Layer to Control Metal-Semiconductor Junction Resistivity
KR101548129B1 (ko) 증착 챔버 내에서 산화로부터의 도전체 보호
US6726955B1 (en) Method of controlling the crystal structure of polycrystalline silicon
WO2005096352A2 (en) Silicon-germanium thin layer semiconductor structure with variable silicon-germanium composition and method of fabrication
KR20220087543A (ko) 저 저항률 금속 함유 필름들을 성장시키기 위한 방법들
Consiglio Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications
JPH05102080A (ja) 半導体装置の製造方法
JPH05102081A (ja) 半導体装置の製造方法
JP2005197446A (ja) 成膜装置及び成膜方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee