KR101160101B1 - 자체-세정 드라이 에칭용 시스템, 방법 및 장치 - Google Patents

자체-세정 드라이 에칭용 시스템, 방법 및 장치 Download PDF

Info

Publication number
KR101160101B1
KR101160101B1 KR1020067019112A KR20067019112A KR101160101B1 KR 101160101 B1 KR101160101 B1 KR 101160101B1 KR 1020067019112 A KR1020067019112 A KR 1020067019112A KR 20067019112 A KR20067019112 A KR 20067019112A KR 101160101 B1 KR101160101 B1 KR 101160101B1
Authority
KR
South Korea
Prior art keywords
species
processing chamber
temperature
substrate
cleaning
Prior art date
Application number
KR1020067019112A
Other languages
English (en)
Other versions
KR20060132730A (ko
Inventor
앤드류 디 3세 베일리
시리칸트 피 로호카레
아서 엠 하월드
윤상 김
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060132730A publication Critical patent/KR20060132730A/ko
Application granted granted Critical
Publication of KR101160101B1 publication Critical patent/KR101160101B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0064Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
    • B08B7/0071Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by heating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

프로세싱 챔버의 내면을 제 1 온도까지 가열하는 단계를 포함하는 프로세싱 챔버를 세정하기 위한 방법. 제 1 온도는 제 1 종이 휘발성이 되기에 충분히 높을 수 있다. 제 1 종은 내면 상에 증착된 여러 종 중 하나일 수 있다. 세정 화학물은 프로세싱 챔버로 주입된다. 세정 화학물은 상기 종 중의 하나인 제 2 종과 반응하여 제 2 종을 제 1 종으로 변환된다. 휘발성 제 1 종은 프로세싱 챔버로부터 배출될 수도 있다. 또한, 프로세스 챔버를 세정하기 위한 시스템을 설명한다.
드라이 에칭, 챔버, 세정, 플라즈마

Description

자체-세정 드라이 에칭용 시스템, 방법 및 장치{SYSTEM, METHOD AND APPARATUS FOR SELF-CLEANING DRY ETCH}
발명자:
베일리 앤드류 디 3세, 로호카레 시리칸트 피, 하월드 아서 엠, 김 윤상
발명의 배경기술
1. 발명의 분야
본 발명은 일반적으로 반도체 기판을 에칭하는 것에 관한 것이고, 보다 상세하게는 반도체 기판을 드라이 에칭하고 에칭 프로세스 챔버를 세정하기 위한 시스템 및 방법에 관한 것이다.
2. 관련된 종래 기술의 설명
일반적으로, (반도체 기판 및 웨이퍼의 형태로) 집적 회로 디바이스를 제조하는 것은 플라즈마 에칭 챔버의 사용을 포함한다. 플라즈마 에칭 챔버는 마스크 또는 패턴에 의해 규정된, 기판 상에서 선택된 층을 에칭할 수 있다. 플라즈마 에칭 챔버는, 무선 주파수 (RF) 파워가 플라즈마 에칭 챔버의 하나 이상의 전극에 인가되는 동안 프로세싱 가스 (즉, 에칭 화학물) 를 수용하도록 구성된다. 또한, 플라즈마 에칭 챔버 내부의 압력은 특정 압력으로 제어된다. 원하는 RF 파워를 전극(들) 에 인가하면, 챔버 내의 프로세스 가스가 활성화되어 플라즈마가 생성된다. 따라서, 플라즈마는 반도체 웨이퍼의 선택된 층에 원하는 에칭을 수행하도록 구성된다.
저휘발성 부산물은 종래 기술의 몇몇 플라즈마 에칭 프로세스에서 생성된다. 예를 들면, 염소 함유 가스 (예를 들면, Cl2 및 HCl) 를 사용하는 구리 에칭 프로세스에서, 부산물은 CuClx 이다. CuClx 는 실온에서 비휘발성이다. 통상적으로, 저휘발성 부산물은 챔버벽 상에 응집된다. 각 플라즈마 에칭 사이클 동안, 부산물이 챔버벽 상에 축적된다. 결국, 부산물이 일정 두께까지 축적된다. 그 후, 부산물 축적은 챔버벽으로부터 "플레이크 (flake)" 하기 시작하고, 상당한 입자원 (particle source) 이 된다. 입자는 챔버 내에서 에칭되는 기판을 오염시킬 수 있다.
또한 도전성 부산물 증착물은 플라즈마 동작을 방해할 수 있다. 예를 들면, 도전성 증착물은 플라즈마를 숏아웃 (short out) 하고 심지어는 소멸시킬 수 있다. 또한, 도전성 증착물은 유도성 커플링된 플라즈마 챔버내에서 프로세스에 크게 영향을 미칠 수 있는 플라즈마 밀도를 변경시킬 수 있다. 비도전성 증착물은 용량성 커플링된 플라즈마 챔버내에서 전극 영역 조건을 변경시킬 수 있다. 이러한 영향과 다른 영향들은 다층 박막 스택의 에칭 효율에 영향을 줄 수 있다.
플라즈마 에칭 챔버의 내면이 플라즈마에 노출되면, 챔버는 디스크, 링 및 실린더와 같은 단순한 라이닝부를 사용하도록 종종 설계된다. 이 라이닝부가 프로세싱될 기판 상부로 플라즈마를 한정하도록 구성되기 때문에, 이 라이닝 부는 프로세싱 플라즈마 에너지에 의해 끊임없이 노출되어 공격당한다. 이 노출로 인해, 이 라이닝부가 결국 침식되거나 부산물 생성을 축적시켜 교체 또는 철저한 세정을 필요로 한다. 그러나, 이 라이닝부의 세정 및/또는 교체 비용은 세정과 교체에 요구된 실제 비용과 제조 시간 손실 모두에 대해 매우 많은 비용이 들 수 있다.
또한, 프로세스 챔버는 내면을 덮고 있는 부산물에 걸쳐 가변하는 RF 접속으로 인한 플라즈마 조건에서의 입자 오염 또는 변화량을 줄이기 위해서 자주 세정되어야만 한다. 빈번한 세정 요건은 프로세스 챔버가 에칭 프로세스용으로 이용할 수 있는 시간을 감소시켜 프로세스 챔버의 기판 스루풋을 감소시킨다.
앞서 말한 관점에서, 프로세싱 챔버 세정 요건을 감소시키기 위한 시스템과 방법에 대한 요구가 있고, 그것에 의해 프로세스 챔버가 에칭 프로세스를 이용할 수 있는 시간을 증가시킨다.
발명의 요약
대체로 말하면, 본 발명은 프로세스 챔버 세정 요건을 감소시키기 위한 시스템과 방법을 제공하여 이러한 요구를 만족시킨다. 본 발명은 프로세스, 장치, 시스템, 컴퓨터 판독 매체, 또는 디바이스를 포함하는 수많은 방법으로 실현될 수 있다. 본 발명의 신규한 몇몇 실시형태를 하기에서 설명한다.
일실시형태는 프로세싱 챔버를 세정하기 위한 방법을 제공한다. 이 방법은 프로세싱 챔버의 내면을 제 1 온도까지 가열하는 단계를 포함한다. 제 1 온도는 제 1 종이 휘발성이 되기에 충분히 높다. 제 1 종은 내면 상에 증착된 몇몇 종 중 하나일 수 있다. 세정 화학물은 프로세싱 챔버로 주입된다. 세정 화학물은 이들 종 중의 하나인 제 2 종과 반응하여 제 2 종을 제 1 종으로 변환시킨다. 또한, 휘발성인 제 1 종은 프로세싱 챔버로부터 배출될 수 있다.
또한, 프로세싱 챔버는 프로세싱될 기판을 포함할 수 있다. 또한, 기판의 표면은 프로세싱 챔버가 세정되는 시간과 실질적으로 동일한 시간에 에칭될 수 있다. 기판 표면을 에칭하는 단계는 단일 챔버 내에서 기판 상의 다층 박막 스택을 에칭하는 것을 포함할 수 있다.
또한, 이 방법은 기판을 가열하는 단계를 포함할 수 있다. 기판은 척에 의해 지지될 수 있다. 척은 가열될 수 있다. 기판은 실질적으로 제 1 온도까지 가열될 수 있다. 또한, 바이어스 전압이 기판에 접속될 수 있다.
휘발성인 제 1 종을 프로세싱 챔버로부터 배출하는 단계는 제 1 온도보다 낮은 온도를 갖는 제 2 표면상의 휘발성인 제 1 종의 적어도 일부분을 응집시키는 것을 포함한다.
세정 화학물을 프로세싱 챔버로 주입시키는 단계는 종 중 제 2 종을 환원시키는 것을 포함한다. 제 1 종은 금속 할로겐 화합물 및 산화 금속 화합물 중 하나 이상이고, 제 2 종은 비휘발성 금속 및 금속 함유 화합물 중 하나 이상이다. 금속은 구리, 탄탈, 텅스텐, 백금, 이리듐, 루테늄, 하프늄, 지르코늄 및 알루미늄으로 구성된 그룹 중 하나 이상을 포함할 수 있다.
내면은 약 200 ℃ 내지 약 400 ℃ 의 범위로 가열된다. 내면은 프로세싱 챔버 내에 형성된 플라즈마에 의해 가열될 수 있다. 세정 화학물은 할로겐 가스, 비활성 가스 및 수소 함유 가스로 구성된 그룹 중 하나 이상을 포함할 수 있다.
다른 실시형태는 기판을 에칭하기 위한 방법을 제공한다. 이 방법은 휘발성 종을 배출하는 동시에 프로세싱 챔버의 내면 상에 비휘발성 종을 증착시키면서, 프로세싱 챔버내의 제 1 기판의 제 1 표면을 에칭하는 단계를 포함한다. 제 1 기판의 제 1 표면은, 상기 내면 상에서 증착된 비휘발성 종의 적어도 일부분을 환원시키는 동시에 휘발성 종으로 변환시키면서, 패시베이팅된다. 제 1 기판은 프로세싱 챔버로부터 제거된다.
또한, 이 방법은 제 2 기판을 프로세싱 챔버로 로딩하는 단계를 포함한다. 제 2 기판의 제 2 표면은 휘발성 종을 배출하는 동시에 프로세싱 챔버의 내면 상에 비휘발성 종을 증착시키면서, 에칭된다. 제 2 기판의 제 2 표면은 내면 상에 증착된 비휘발성 종의 적어도 일부분을 환원시키는 동시에 휘발성 종으로 변환시키면서, 패시베이팅될 수 있다.
다른 실시형태는 다수의 증착물을 갖는 다수의 내부 면, 가스 입구, 출구 및 열원을 포함하는 프로세싱 챔버를 제공한다. 열원은 하나 이상의 내면을, 약 200 ℃ 내지 약 400 ℃ 범위의 제 1 온도까지 가열할 수 있다.
열원은 플라즈마일 수 있다. 또한, 프로세싱 챔버는 기판을 지지하기 위해 가열된 척과 이 척에 커플링된 바이어스 소스를 포함할 수 있다.
또한, 프로세싱 챔버는 제 1 온도보다 낮은 온도를 갖는 응집면을 포함할 수 있다. 응집면은 출구에 근접할 수 있다.
본 발명은 감소되거나 또는 실질적으로 제거된 프로세스 챔버 세정 요건의 이점을 제공하여 기판 스루풋을 증가시킨다. 또한 본 발명은, 이전에 이용됐던 것보다 더 간략화된 세정 프로세스 및 세정 화학물을 제공한다. 또한, 간략화된 세정 화학물은 프로세스 챔버를 세정하는 재정 비용을 줄일 수 있다.
또한 본 발명은 플라즈마 챔버의 내면 상의 증착물에 의해 야기된 플라즈마 밀도 변경에 의해 유도된 프로세스 변화성을 실질적으로 감소시킬 수 있다. 결과적으로 기판으로부터 기판으로의 변화성은 플라즈마 조건에 대한 상이한 감도를 갖는 다층 박막 스택의 프로세싱을 통합할 수 있을 뿐만 아니라 상당히 감소시킬 수 있다. 예를 들면, 구리 상호연결 스택은 Ta/TaN 및 내부-금속 절연체와 같은 구리 장벽 재료를 갖는다. 이것의 이점은 MRAM 스택 에칭과 같은 다른 애플리케이션으로 확장될 수 있다.
본 발명의 다른 양태 및 이점들은 발명의 원리의 예로서 도시된 첨부한 도면과 함께 하기의 상세한 설명으로부터 명백해질 것이다.
도면의 간단한 설명
본 발명은 첨부한 도면과 함께 하기 상세한 설명에 의해 쉽게 이해될 것이며, 동일한 부호는 동일한 구성요소를 지정한다.
도 1 은 본 발명의 실시형태에 따라 기판을 프로세싱하기 위한 방법 동작의 플로우차트이다.
도 2 는 본 발명의 일실시형태에 따른, 프로세스 챔버의 개략도이다.
도 3a 및 도 3b 는 본 발명의 일실시형태에 따른, 프로세스 챔버 내부에서 발생한 방법 동작에 대한 보다 상세화된 도이다.
예시적인 실시형태의 상세한 설명
이제 개선된 챔버 세정 프로세스를 위한 몇몇의 예시적인 실시형태를 설명할 것이다. 본 발명은 본 명세서에서 설명한 구체적인 상세한 설명의 일부 또는 전부가 없이도 실행될 수도 있다는 것을 당업자들은 명백하게 알 수 있을 것이다.
할로겐 가스 (예를 들면, 염소, 불소, 브롬 등) 계 프로세스는 상호연결 애플리케이션용 구리를 드라이 에칭하기 위해 사용될 수 있다. 할로겐 드라이 에칭 프로세스는 2003년 3월 14일 출원된 명칭 "System, Method and Apparatus For Improved Global Dual Damascene Planarization" 인 미국 특허출원 제 10/390,117호에 설명된 바와 같이 구리 상호연결 패터닝 또는 다마신 구리의 평준화 또는 무응력 연마를 위한 구리 에치백에 대해 제공될 수 있다. 또한, 할로겐 드라이 에칭 프로세스는 2003년 3월 14일 출원된 명칭 "System, Method and Apparatus For Improved Local Dual Damascene Planarization" 인 미국 특허출원 제 10/390,520호에 설명된다.
그러나, 구리 드라이 에칭 프로세스에서 통상적인, 할로겐 구리 드라이 에칭 프로세스는 프로세스 챔버의 내면 상에 에칭 부산물 증착물을 포함하는 구리를 형성할 수 있다. 결국, 이 증착물은 내면으로부터 분리되어, 에칭될 기판의 표면의 입자 오염을 야기할 수 있다. 또한, 이 증착물은 플라즈마 조건을 변경할 수 있어서 프로세스에 영향을 미칠 수 있다. 따라서 프로세스 챔버는 입자 오염을 줄이기 위해 자주 세정되어야만 한다. 빈번한 세정의 요건은 프로세스 챔버가 에칭 프로세스를 이용할 수 있는 시간을 감소시켜서, 프로세스 챔버의 기판 스루풋을 감소시킨다.
또한, 구리 함유 에칭 부산물 증착은 프로세스 챔버의 내면으로부터 세정하는 것을 매우 어렵게 할 수 있다. 다양한 세정 화학물은 프로세스 챔버의 내면 상에 형성된 증착물을 세정하기 위해 사용된다. 이러한 많은 프로세싱 화학물은 매우 복잡하고 고가이다. 예를 들면, 명칭이 "Method of Cleaning a Semiconductor Device Processing Chamber After a Copper Etch Process" 인 미국 특허 제 6,352,081호는 1,1,1,5,5,5-헥사플루오로-2,4-펜탄디온을 사용하는 프로세스 챔버 세정 방법을 설명한다.
본 발명은 구리 에칭 프로세스가 기판에 적용되는 시간과 실질적으로 동일한 시간에, 프로세스 챔버의 내면 상에 증착되는 구리-함유 종을 제거하기 위해 사용될 수 있는 세정 프로세스와 구리 에칭 프로세스를 결합한다. 결과적으로, 별개의 프로세스 챔버 세정 프로세스에 대한 요구가 실질적으로 제거되며, 따라서, 프로세스 챔버가 에칭 프로세스를 이용하는 시간을 증가시키고, 그럼으로써, 프로세스 챔버의 기판 스루풋을 증가시킨다.
구리-할로겐 종은 휘발성의 특정 온도 이상에서 휘발된다. 상이한 각각의 구리-할로겐 종은 각각의 휘발성 온도를 갖는다. 휘발성의 적용가능한 온도에서 또는 그 온도 이상에서 프로세스 챔버의 내면을 가열하는 것은, 프로세스 챔버의 내면 상의 구리-할로겐 종의 증착과 기판으로부터의 구리의 에칭 사이에서의 평형을 실질적으로 달성할 수 있다.
도 1 은 본 발명의 실시형태에 따른 기판을 프로세싱하기 위한 방법 동작의 플로우챠트 (100) 이다. 동작 (105) 에서, 프로세스는 프로세스 챔버에 적용된다. 프로세스는 에칭 프로세스 (예를 들면, 기판으로부터의 구리 또는 다른 재료를 에칭) 를 포함할 수 있다. 에칭 프로세스에서, 에칭 부산물 (예를 들면, 구리-할로겐 종) 은 기판으로부터 떨어져 나온다. 또한, 프로세스는 세정 동작 (예를 들면, 기판이 프로세스 챔버에서 프로세싱되면서 또는 프로세싱되지 않으면서 챔버의 내면으로부터 증착물을 감소 및/또는 제거) 을 포함할 수 있다. 세정 프로세스에서, 이전에 프로세스 챔버의 내면 상에 증착되었던 에칭 부산물이 내면으로부터 떨어져 나온다.
프로세스는 프로세스 챔버로 입력될 수도 있는 수소-함유 화학물과 혼합된 할로겐-계 에칭 화학물을 사용할 수 있다. 예를 들면, 염소 함유 에칭 화학물 (예를 들면, Cl2, HCH, BCl3 등) 은 프로세스 챔버로 입력될 수 있다. 염소 함유 에칭 화학물은 희가스 또는 질소와 같은 비활성 캐리어 가스와 함께 입력될 수도 있다. 또한, 수소 가스 또는 수소 함유 가스 (예를 들면, H2, NH3, CH4, HCl, HBr 등) 는 염소 함유 에칭 화학물과 함께 입력될 수 있다. 예를 들면, 약 5 내지 약 500 sccm 의 가스 혼합물의 총 플로우 레이트가 프로세스 챔버로 입력될 수 있다. 일실시형태에서, 염소 분자 대 수소 분자의 비율은 약 1:1 비로 유지되지만, 더 적은 비율 (예를 들면, 약 1:2) 또는 더 큰 비율 (예를 들면, 약 2:1) 을 사용할 수 있다.
동작 110 에서, 에칭 부산물 (예를 들면, CuCl2, CuCl 또는 원소 구리) 의 제 1 부분이 프로세스 챔버의 내면 상에 증착될 수 있다. 동작 115 에서, 휘발성인 에칭 부산물의 나머지가 퍼지 또는 진공에 의해 프로세스 챔버로부터 배출될 수 있다.
예를 들면, 염소 함유 에칭 화학물은 Cu3Cl3, CuCl2, CuCl 및 원소 구리를 포함하는 에칭 부산물을 형성하는, 기판 상의 구리층을 에칭할 수 있다. 약 200 ℃ 이상의 프로세스 온도에서, CuCl2, CuCl 및 원소 구리가 비휘발성인 동안, Cu3Cl3 는 휘발성이다. 에칭 부산물 (예를 들면, CuCl2, CuCl 또는 원소 구리) 인 비휘발성 제 1 부분은 200 ℃ 보다 더 높은 온도 (예를 들면, 약 400 ℃ 보다 더 높은 온도) 에서도 프로세스 챔버의 내면 상에 증착될 수 있다. 에칭 부산물 (예를 들면, Cu3Cl3) 인 휘발성 제 2 부분은 프로세스 챔버로부터 배출된다.
그러나, (예를 들면, Cu3Cl3 는 프로세스 챔버의 내면과 같은 냉각제 표면을 접촉함에 따라) Cu3Cl3 냉각제의 제 2 부분으로서, 그 제 2 부분은 프로세스 온도에서 비휘발성인 CuCl2 로 변환된다. 따라서, Cu3Cl3 가 에칭 프로세스 동안 형성되면서, CuCl2 층이 프로세스 챔버의 내면 상에 형성될 수 있다.
동작 120 에서, 프로세스 챔버의 내면은 프로세스 온도까지 가열된다. 동작 125 에서, 할로겐계 에칭 화학물과 함께 입력된 수소는 프로세스 챔버의 내면 상에 형성된 에칭 부산물 (예를 들면, CuCl2) 층과 반응할 수 있다. 비휘발성 염화 구리는 원소 구리로 환원되고, 염소는 프로세스 온도에서 휘발성인 HCl 을 형성하기 위해 수소와 결합한다.
동작 130 에서, 원소 구리는 동작 135 에서 프로세스 챔버로부터 출구를 통해 제거될 수 있는 하나 이상의 휘발성 종이 되기 위해서 할로겐계 플라즈마와 반응할 수 있다. 그 후, 이 방법 동작은 종료할 수 있다. 동작 105-135 이 설명된 순서로 제공되도록 요구되지는 않는다. 몇몇 동작은 실질적으로 동시에 또는 설명된 바와는 다른 순서로 발생될 수 있다.
도 2 는 본 발명의 일실시형태에 따른, 프로세스 챔버 (200) 의 개략도이다. 프로세스 챔버 (200) 는 프로세싱용 기판 (202) 을 포함할 수 있다. 기판 지지대 (예를 들면, 척; 204) 는 프로세싱용 기판을 지지할 수 있다. 또한 유지 링 (205) 은 척 (204) 상에 기판을 보다 안정적으로 지지하고 위치시키기 위해 포함될 수도 있다. 가스 입구 (206) 는 프로세스 가스와 다른 화학물이 프로세스 챔버 (200) 로 입력될 수 있도록 제공된다. 다수의 주입 가스원 (208A-208-N) 은 입구 (206) 에 커플링될 수 있다.
다수의 주입 가스원 (208A-208-N) 은 각각의 가스로 하여금 프로세스 챔버내에서 수행될 프로세스에 의해 요구될 수도 있는 상이한 플로우 레이트, 농도 및 압력으로 혼합 및 조합되도록 허용한다. 예를 들면, 주입 가스원1 (208A) 은 비활성 캐리어 가스 (예를 들면, 하나 이상의 희가스 또는 질소) 를 공급할 수 있다. 주입 가스원2 (208B) 는 할로겐계 프로세싱 화학물을 공급할 수 있고, 주입 가스원N (208-N) 은 수소를 공급할 수 있다. 상이한 주입 가스원 (208A, 208B 및 208-N) 의 각 플로우 레이트와 압력은 캐리어 가스에 의해 프로세스 챔버 (200) 로 이송되어지는 할로겐계 프로세싱 화학물과 수소의 혼합물 및 원하는 농도를 제공하도록 제어될 수 있다.
또한, 프로세스 챔버 (200) 는 출구 (210) 를 포함한다. 출구는 프로세스 챔버로부터 프로세스 부산물을 끌어내도록 진공 펌프 (미도시) 에 커플링될 수 있다. 프로세스 챔버 (200) 가 단일 입구 (206) 와 단일 출구 (210) 를 갖도록 도시된 반면, 다수의 입구와 출구도 포함할 수 있다.
냉각기 표면 (212) 은 비교적 출구 (212) 가까이 또는 그 내부에 제공될 수 있다. 위에서 설명된 바와 같이, 냉각기 표면 (212) 은 그 냉각기 표면 상에 증착될 수도 있는 많은 부산물을 수집하기 위해 프로세스 온도보다 더 낮은 온도를 갖는다. 냉각기 표면 (212) 은 액티브 또는 패시브 냉각 방식에 의해 프로세스 온도보다 더 낮은 온도 (예를 들면, 프로세스 온도보다 약 50 ℃ 이상 더 낮음) 로 유지될 수 있다. 출구 (210) 및/또는 냉각기 표면 (212) 은 기판 (202) 으로부터 출구 (210) 를 적어도 부분적으로, 물리적으로 분리하기 위해 플로우 전환 디바이스 (213) 를 포함할 수 있다.
플라즈마 (214) 는 유도적 또는 용량적으로 생성될 수 있다. 프로세스 챔버 (200), 기판 (202) 및 척 (204) 은 플라즈마 (214) 에 의해 프로세싱 온도까지 가열될 수 있다. 대안적으로, 프로세스 챔버 (200) 및 척 (204) 은 각 열원 (218A, 218B, 218C 및 216) 에 의해 가열될 수 있다. 플라즈마 (214) 및 각 열원 (218A, 218B, 218C 및 216) 은 프로세스 챔버 (200) 와 척 (204) 을 가열하기 위해 조합하여 사용될 수도 있다. 또한, 가열된 척은 프로세스 온도까지 기판 (202) 을 가열할 수 있다.
바이어스 전압원 (220) 은 척 (204) 에 커플링될 수도 있다. 척 (204) 에 인가된 바이어스 전압이 기판 (202) 으로 인가되어, 기판의 프로세싱을 강화할 수 있다.
도 3a 및 도 3b 는 본 발명의 일실시형태에 따른, 프로세스 챔버 (200) 내에서 일어나는 방법 동작 (100) 의 보다 상세한 도를 보여준다. 도 3a 및 도 3b 는 다수의 피처 (302) 를 가진 기판 (202) 을 보여준다. 확산 장벽층 (예를 들면, 탄탈; 304) 을 포함할 수 있다. 구리층 (306) 은 피처 (302) 를 채우고, 과잉부는 피처 (302) 상부에 형성되어 있다.
도 3a 를 참조하면, 할로겐 플라즈마 (214) 는 프로세스 챔버 내부에 형성된다. 기판 (202) 의 내면 (310) 과 상부층 (306) 이 할로겐 플라즈마 (214) 에 노출된다. 할로겐 플라즈마 (214) 는 원소 구리 및/또는 구리층 (306) 의 산화 구리와 반응하고, 구리 및/또는 산화 구리 (314) 는 내면 (310) 상에 형성될 수도 있다. 할로겐 플라즈마 (214) 는 휘발성 구리-할로겐 화합물 (308; 예를 들면 Cu3Cl3) 을 형성하기 위해 구리 (306, 314) 와 반응한다. 휘발성 구리-할로겐 화합물 (316) 의 제 1 부분은 프로세스 챔버로부터 배출될 수 있다. 비휘발성 구리-할로겐 화합물 (312; 예를 들면, CuCl2) 층은 내면 (310) 상에 형성될 수 있다. 비휘발성 구리-할로겐 화합물 (312) 은 휘발성 구리-할로겐 화합물 (308) 이 내면 상에 응집하는 것과 같이 형성될 수 있다. 비휘발성 구리-할로겐 화합물 (312) 은 할로겐 플라즈마 (214) 와 구리 (306, 314) 사이의 반응 생성물로서 형성될 수도 있다.
도 3b 를 참조하면, 수소 함유 가스 (320) 는 프로세스 챔버 (200) 로 부가된다. 수소 함유 가스 (320) 는 할로겐 플라즈마 (214) 가 프로세스 챔버 (200) 내에 형성되는 동시에 제공될 수 있다. 수소 (320) 는 할로겐 컴포넌트를 제거하여 비휘발성 구리 할로겐 화합물 (312) 을 환원시킨다. 예를 들면, 염소가 할로겐 컴포넌트인 경우, 수소와 염소는 HCl 을 형성한다. HCl 은 프로세스 온도에서 휘발성이고 프로세스 챔버 (200) 의 출구 (210) 로부터 배출된다. 비휘발성 구리-할로겐 화합물 (312) 을 환원시키기 위해 내면 (310) 상에 원소 구리 또는 산화 구리 (312') 를 남겨둔다. 할로겐 플라즈마 (214) 는 내면 (310) 상에 원소 구리 또는 산화 구리 (312') 를 휘발시키기 위해 반응할 수 있다. 이 방식에서, 프로세스 챔버 (200) 의 내면 상에 형성될 수도 있는 증착물 (312, 312' 및 314) 은 (예를 들면, 에칭 프로세스 동안) 그러한 증착물의 형성과 동시에 실질적으로 제거된다.
상기 서술된 동작이 (예를 들면, 다양한 에칭 및 세정 프로세스에서) 구리 제거에 관하여 설명되었지만, 유사한 프로세스가 탄탈, TaN, Pt, Ir, Al, AlOx, HfOx, 및 ZrOx (여기서, "x" 는 전체 수) 및 유사한 재료를 제거하기 위해 적용될 수 있다. 또한, 수소가 상기 예에서 환원종으로서 설명되지만, 다른 환원종도 유사한 애플리케이션에서 사용될 수도 있다. 예를 들면, 환원이 화학적 화합물 또는 산화 상태를 변경하는 것을 포함할 수 있기 때문에, 증착물은 산소와 할로겐과 같은 다른 종에 의해 환원될 수 있다. 즉, 증착물인 수소 함유 화학물에서 휘발되면, 증착물은 비휘발성 종으로부터 휘발성 종으로 환원된다. 따라서, O2, Cl2, HX (여기서, X=Cl, Br, F), SF6, BCl3 가 환원제로서 사용될 수 있다.
여기에서 설명된 본 발명의 부분을 형성하는 임의의 동작은 기계 동작으로 유용하다. 또한 본 발명은 이러한 동작을 제공하기 위한 디바이스 또는 장치에 관한 것이다. 이 장치는 요구된 목적을 위해 특별하게 구성되거나 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 구성된 범용 컴퓨터일 수 있다. 특히, 다양한 범용기계가 여기의 교시에 따라 기록된 컴퓨터 프로그램으로 사용되거나, 요구된 동작을 제공하기 위해 더 상세화된 장치를 구성하는데 더 편리할 수도 있다.
또한 본 발명은 컴퓨터 판독가능 매체 상에 컴퓨터 판독가능 코드로서 장착될 수도 있다. 컴퓨터 판독가능 매체는 데이터를 저장하고 이후 컴퓨터 시스템에 의해 판독될 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예는 하드 드라이브, NAS (Network Attached Storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프, 및 다른 광학/비광학 데이터 저장 디바이스를 포함한다. 또한, 컴퓨터 판독가능 매체는 네트워크 커플링된 컴퓨터 시스템을 통해 배포될 수 있어서, 컴퓨터 판독가능 코드는 배포된 방식으로 저장되고 실행된다.
또한, 상술한 임의의 도면에서 동작에 의해 보여진 지시는 설명된 순서로 제공되도록 요구되지 않고, 동작에 의해 보여진 모든 프로세싱은 본 발명을 실행하는데 불필요할 수도 있다. 또한, 임의의 상기 도면에서 설명된 프로세스는 RAM, ROM 또는 하드 디스크 드라이브 중 어느 하나 또는 그 조합으로 저장된 소프트웨어 에서 실현될 수 있다.
상술한 발명은 이해의 명확화를 위한 목적으로 다소 상세하게 설명하였지만, 첨부한 청구범위의 범위 내에서 특정한 변경 및 개조가 수행될 수도 있다는 것이 명백하다. 따라서, 본 실시형태는 예시로서 이해되어야 하고 한정으로 이해해서는 안 되며, 본 발명은 본 명세서에 주어진 상세한 설명에 한정되지 않으며, 첨부한 청구범위의 범위 및 균등물 내에서 변경될 수도 있다.

Claims (22)

  1. 프로세싱 챔버를 세정하는 방법으로서,
    제 1 온도까지 상기 프로세싱 챔버의 내면을 가열하는 단계로서, 상기 제 1 온도는 제 1 종이 휘발성이 되게 하기에 충분하고, 상기 제 1 종은 플라즈마 에칭 프로세스의 복수의 부산물들 중 하나이며, 상기 복수의 부산물들은 또한 상기 내면 상에 증착된 복수의 종을 포함하는, 상기 프로세싱 챔버의 내면을 가열하는 단계,
    상기 프로세싱 챔버에 세정 화학물 (chemistry) 을 주입하는 단계로서, 상기 세정 화학물은, 상기 복수의 종 중 제 2 종과 반응하여, 상기 제 2 종을 상기 제 1 종으로 변환시키는, 상기 세정 화학물을 주입하는 단계, 및
    상기 제 1 온도보다 낮은 온도를 갖는 상기 프로세싱 챔버 내에 포함되는 응집 표면상에서 상기 휘발된 제 1 종 중 적어도 일부분을 응집하는 단계를 포함하는, 상기 프로세싱 챔버로부터 상기 휘발된 제 1 종을 배출하는 단계를 포함하는, 프로세싱 챔버 세정 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱 챔버는 프로세싱될 기판을 포함하는, 프로세싱 챔버 세정 방법.
  3. 제 2 항에 있어서,
    상기 프로세싱 챔버가 세정되는 시간과 실질적으로 동일한 시간에, 상기 기판의 표면을 에칭하는 단계를 더 포함하는, 프로세싱 챔버 세정 방법.
  4. 제 3 항에 있어서,
    상기 기판의 표면을 에칭하는 단계는, 단일 챔버 내에서의 상기 기판 상의 다층 박막 스택을 에칭하는 단계를 포함하는, 프로세싱 챔버 세정 방법.
  5. 제 2 항에 있어서,
    상기 기판을 가열하는 단계를 더 포함하는, 프로세싱 챔버 세정 방법.
  6. 제 2 항에 있어서,
    상기 기판은 척에 의해 지지되는, 프로세싱 챔버 세정 방법.
  7. 제 6 항에 있어서,
    상기 척이 가열되는, 프로세싱 챔버 세정 방법.
  8. 제 2 항에 있어서,
    상기 기판을 실질적으로 상기 제 1 온도까지 가열하는 단계를 더 포함하는, 프로세싱 챔버 세정 방법.
  9. 제 2 항에 있어서,
    상기 기판에 바이어스 전압을 인가하는 단계를 더 포함하는, 프로세싱 챔버 세정 방법.
  10. 제 1 항에 있어서,
    상기 프로세싱 챔버에 세정 화학물을 주입하는 단계는, 상기 복수의 종 중 상기 제 2 종을 환원시키는 단계를 포함하는, 프로세싱 챔버 세정 방법.
  11. 제 1 항에 있어서,
    상기 제 1 종은 금속과 할로겐 화합물 및 금속과 산소 화합물 중 적어도 하나이고, 상기 제 2 종은 비휘발성 금속 및 금속 함유 화합물 중 적어도 하나인, 프로세싱 챔버 세정 방법.
  12. 제 11 항에 있어서,
    상기 금속은 구리, 탄탈, 텅스텐, 백금, 이리듐, 루테늄, 하프늄, 지르코늄 및 알루미늄으로 구성된 그룹 중 적어도 하나를 포함하는, 프로세싱 챔버 세정 방법.
  13. 제 1 항에 있어서,
    상기 내면은 200 ℃ 내지 400 ℃ 의 범위까지 가열되는, 프로세싱 챔버 세정 방법.
  14. 제 1 항에 있어서,
    상기 내면은 상기 프로세싱 챔버 내부에 형성된 플라즈마에 의해 가열되는, 프로세싱 챔버 세정 방법.
  15. 제 1 항에 있어서,
    상기 세정 화학물은 할로겐 가스, 비활성 가스 및 수소 함유 가스로 구성된 그룹 중 적어도 하나를 포함하는, 프로세싱 챔버 세정 방법.
  16. 프로세싱 챔버를 세정하는 방법으로서,
    제 1 온도까지 상기 프로세싱 챔버의 내면을 가열하는 단계로서, 상기 제 1 온도는 제 1 종이 휘발성이 되게 하기에 충분하고, 상기 제 1 종은 플라즈마 에칭 프로세스의 복수의 부산물들 중 하나이며, 상기 복수의 부산물들은 또한 상기 내면 상에 증착된 복수의 종을 포함하는, 상기 프로세싱 챔버의 내면을 가열하는 단계,
    상기 프로세싱 챔버에 세정 화학물을 주입하는 단계로서, 상기 세정 화학물은, 상기 복수의 종 중 제 2 종과 반응하여, 상기 제 2 종을 상기 제 1 종으로 변환시키며, 상기 제 1 종은 금속과 할로겐 화합물 및 금속과 산소 화합물 중 적어도 하나이고, 상기 제 2 종은 비휘발성 금속 및 금속 함유 화합물 중 적어도 하나인, 상기 세정 화학물을 주입하는 단계, 및
    상기 프로세싱 챔버로부터 상기 휘발된 제 1 종을 배출하는 단계를 포함하는, 프로세싱 챔버 세정 방법.
  17. 프로세싱 챔버를 세정하는 방법으로서,
    제 1 온도까지 상기 프로세싱 챔버의 내면을 가열하는 단계로서, 상기 제 1 온도는 제 1 종이 휘발성이 되게 하기에 충분하고, 상기 제 1 종은 플라즈마 에칭 프로세스의 복수의 부산물들 중 하나이고, 상기 복수의 부산물들은 또한 상기 내면 상에 증착된 복수의 종을 포함하며, 상기 프로세싱 챔버는 프로세싱될 기판을 포함하는, 상기 프로세싱 챔버의 내면을 가열하는 단계,
    상기 프로세싱 챔버에 세정 화학물을 주입하는 단계로서, 상기 세정 화학물은, 상기 복수의 종 중 제 2 종과 반응하여, 상기 제 2 종을 상기 제 1 종으로 변환시키는, 상기 세정 화학물을 주입하는 단계,
    상기 프로세싱 챔버로부터 상기 휘발된 제 1 종을 배출하는 단계, 및
    상기 기판을 가열하는 단계를 포함하는, 프로세싱 챔버 세정 방법.
  18. 복수의 증착물들이 증착되는 복수의 내면;
    가스 입구;
    출구;
    프로세싱 챔버의 상기 출구에 커플링되고 근접하며, 상기 프로세싱 챔버 내에 포함되는 응집 표면;
    상기 복수의 내면 중 적어도 하나의 내면을 제 1 온도까지 가열할 수 있는 열원으로서, 상기 제 1 온도는 제 1 종이 휘발성이 되게 하기에 충분하고, 상기 제 1 종은 플라즈마 에칭 프로세스의 복수의 부산물들 중 하나이며, 상기 복수의 부산물들은 또한 상기 내면 상에 증착된 복수의 종을 포함하는, 상기 열원; 및
    상기 프로세싱 챔버에 커플링된 세정 화학물의 소스를 포함하며,
    상기 세정 화학물은, 상기 복수의 종 중 제 2 종과 반응하여, 상기 제 2 종을 상기 제 1 종으로 변환시키며, 상기 응집 표면은 상기 제 1 온도보다 낮은 제 2 온도를 갖는, 프로세싱 챔버.
  19. 제 18 항에 있어서,
    상기 열원은 플라즈마인, 프로세싱 챔버.
  20. 제 19 항에 있어서,
    기판을 지지하기 위한 척; 및
    상기 척에 커플링된 바이어스 소스를 더 포함하는, 프로세싱 챔버.
  21. 제 18 항에 있어서,
    상기 제 1 온도는 200 ℃ 내지 400 ℃ 의 범위에 존재하는, 프로세싱 챔버.
  22. 제 18 항에 있어서,
    상기 제 2 온도는 상기 제 1 온도보다 50 ℃ 이상 더 낮은, 프로세싱 챔버.
KR1020067019112A 2004-03-16 2004-12-30 자체-세정 드라이 에칭용 시스템, 방법 및 장치 KR101160101B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/802,460 2004-03-16
US10/802,460 US7140374B2 (en) 2003-03-14 2004-03-16 System, method and apparatus for self-cleaning dry etch
PCT/US2004/043911 WO2005094244A2 (en) 2004-03-16 2004-12-30 System, method and apparatus for self-cleaning dry etch

Publications (2)

Publication Number Publication Date
KR20060132730A KR20060132730A (ko) 2006-12-21
KR101160101B1 true KR101160101B1 (ko) 2012-06-26

Family

ID=35064229

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067019112A KR101160101B1 (ko) 2004-03-16 2004-12-30 자체-세정 드라이 에칭용 시스템, 방법 및 장치

Country Status (8)

Country Link
US (2) US7140374B2 (ko)
EP (1) EP1725344A2 (ko)
JP (1) JP2007529895A (ko)
KR (1) KR101160101B1 (ko)
CN (1) CN101421056B (ko)
IL (1) IL178053A (ko)
SG (2) SG166790A1 (ko)
WO (1) WO2005094244A2 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
JP4828456B2 (ja) * 2007-03-08 2011-11-30 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
JP2009021584A (ja) 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
EP2025775A1 (en) * 2007-07-05 2009-02-18 Interuniversitair Microelektronica Centrum Vzw Photon induced cleaning of a reaction chamber
JP5218214B2 (ja) * 2009-03-31 2013-06-26 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2011054873A (ja) * 2009-09-04 2011-03-17 Sony Corp 不揮発性メモリ素子の製造方法
CN102468118B (zh) * 2010-11-12 2015-04-22 北大方正集团有限公司 治具及清洗机
CN102915949A (zh) * 2011-08-01 2013-02-06 中国科学院微电子研究所 在基板中嵌入金属材料的方法
US9252023B2 (en) * 2011-09-16 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etching method and apparatus
JP5977727B2 (ja) * 2013-11-13 2016-08-24 東京エレクトロン株式会社 基板洗浄方法、基板洗浄システムおよび記憶媒体
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
CN104078399B (zh) * 2014-07-25 2017-11-10 上海华力微电子有限公司 用于SiConi蚀刻的反应腔及方法
US20170017146A1 (en) * 2015-07-13 2017-01-19 Applied Materials, Inc. Process for removing contamination on ruthenium surface
US10766057B2 (en) 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
JP6956660B2 (ja) * 2018-03-19 2021-11-02 東京エレクトロン株式会社 クリーニング方法及び成膜装置
US11239060B2 (en) * 2018-05-29 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ion beam etching chamber with etching by-product redistributor
JP2022525518A (ja) 2019-03-30 2022-05-17 ダウ シリコーンズ コーポレーション ナノ粒子を製造する方法
EP3947279A1 (en) * 2019-03-31 2022-02-09 Dow Silicones Corporation Method of producing nanoparticles
CN110491757A (zh) * 2019-08-13 2019-11-22 上海华力集成电路制造有限公司 刻蚀设备腔体清洁方法及其清洁系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6221775B1 (en) 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6234870B1 (en) 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01234578A (ja) 1988-03-16 1989-09-19 Hitachi Ltd 銅薄膜のドライエツチング方法
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
JP2646811B2 (ja) 1990-07-13 1997-08-27 ソニー株式会社 ドライエッチング方法
US5098516A (en) 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
JPH04316327A (ja) 1991-04-15 1992-11-06 Toshiba Corp ドライエッチング装置及びチャンバの洗浄方法
US5154730A (en) * 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
JPH056876A (ja) 1991-06-27 1993-01-14 Hitachi Ltd エツチング方法および装置
JP3045259B2 (ja) * 1992-03-02 2000-05-29 東京エレクトロン株式会社 プラズマ装置
US5387315A (en) 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
JPH1167766A (ja) 1997-08-19 1999-03-09 Sony Corp 半導体装置の製造方法
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6096230A (en) 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US5968847A (en) 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
JP3554219B2 (ja) * 1998-03-31 2004-08-18 キヤノン株式会社 排気装置と排気方法、および堆積膜形成装置と堆積膜形成方法
JP3926033B2 (ja) * 1998-05-28 2007-06-06 三井化学株式会社 ドライエッチング装置およびその運転方法
US6051496A (en) 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6056864A (en) 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
JP2000150481A (ja) * 1998-11-12 2000-05-30 Sony Corp エッチング装置及びこれを用いた半導体装置の製造方法
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
SG90747A1 (en) 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
US6350664B1 (en) 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
US6350364B1 (en) 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
TW580735B (en) 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP2001244240A (ja) 2000-02-25 2001-09-07 Speedfam Co Ltd 半導体ウエハの製造方法
JP4663059B2 (ja) * 2000-03-10 2011-03-30 東京エレクトロン株式会社 処理装置のクリーニング方法
JP2001267310A (ja) 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6967177B1 (en) * 2000-09-27 2005-11-22 Lsi Logic Corporation Temperature control system
US6383935B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6417093B1 (en) 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
JP2004513516A (ja) 2000-11-01 2004-04-30 アプライド マテリアルズ インコーポレイテッド 拡張されたプロセスウィンドウを有する誘電体エッチングチャンバ
US6482755B1 (en) 2000-11-02 2002-11-19 Advanced Micro Devices, Inc. HDP deposition hillock suppression method in integrated circuits
JP4602540B2 (ja) * 2000-12-12 2010-12-22 オメガセミコン電子株式会社 基板処理装置
US6696358B2 (en) 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US6810886B2 (en) 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
CN1205652C (zh) * 2001-06-01 2005-06-08 S.E.S.株式会社 基板清洗系统
JP2003086569A (ja) 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
KR100442580B1 (ko) * 2001-10-09 2004-08-02 주성엔지니어링(주) 반도체 제조용 챔버의 배기시스템
EP1320128B1 (en) 2001-12-17 2006-05-03 AMI Semiconductor Belgium BVBA Method for making interconnect structures
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US6440840B1 (en) 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
EP1579481B1 (en) * 2002-06-26 2013-12-04 Semequip, Inc. A method of semiconductor manufacturing by the implantation of boron hydride cluster ions
JP3897165B2 (ja) * 2002-07-02 2007-03-22 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6221775B1 (en) 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6234870B1 (en) 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor

Also Published As

Publication number Publication date
IL178053A (en) 2010-06-30
SG166790A1 (en) 2010-12-29
KR20060132730A (ko) 2006-12-21
WO2005094244A3 (en) 2006-03-30
IL178053A0 (en) 2006-12-31
US8211238B2 (en) 2012-07-03
SG132675A1 (en) 2007-06-28
US7140374B2 (en) 2006-11-28
US20050093012A1 (en) 2005-05-05
CN101421056A (zh) 2009-04-29
WO2005094244A2 (en) 2005-10-13
CN101421056B (zh) 2012-05-23
US20060219267A1 (en) 2006-10-05
JP2007529895A (ja) 2007-10-25
EP1725344A2 (en) 2006-11-29

Similar Documents

Publication Publication Date Title
US8211238B2 (en) System, method and apparatus for self-cleaning dry etch
US10573527B2 (en) Gas-phase selective etching systems and methods
US10096487B2 (en) Atomic layer etching of tungsten and other metals
US7009281B2 (en) Small volume process chamber with hot inner surfaces
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6899109B1 (en) Method and apparatus for reducing He backside faults during wafer processing
US7387968B2 (en) Batch photoresist dry strip and ash system and process
TW201739951A (zh) 連續電漿中之原子層蝕刻
US20210143001A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-transitory Computer-readable Recording Medium
JPH09186143A (ja) プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置
WO2001004936A1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
JP2005109492A (ja) 処理室の清掃方法
TW202318535A (zh) 用於基板處理的塗佈的基板支撐組件
KR101127778B1 (ko) 구리 표면의 표면 환원, 패시베이션, 부식 방지 및 활성화 시스템과 방법
TW202022157A (zh) 處理腔室部件之清潔方法
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US20230086917A1 (en) Integrated cleaning process for substrate etching
KR20080013004A (ko) 챔버 조건에 대한 공정 민감도를 감소시키는 방법
TW202025301A (zh) 將半導體元件上的矽化鎳層圖案化之方法
JP2005327804A (ja) 半導体製造装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150605

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee