TW202318535A - 用於基板處理的塗佈的基板支撐組件 - Google Patents

用於基板處理的塗佈的基板支撐組件 Download PDF

Info

Publication number
TW202318535A
TW202318535A TW111121153A TW111121153A TW202318535A TW 202318535 A TW202318535 A TW 202318535A TW 111121153 A TW111121153 A TW 111121153A TW 111121153 A TW111121153 A TW 111121153A TW 202318535 A TW202318535 A TW 202318535A
Authority
TW
Taiwan
Prior art keywords
coating
substrate
support body
examples
radial distance
Prior art date
Application number
TW111121153A
Other languages
English (en)
Inventor
松宰 李
王昊
大衛 喬根森
黃奕樵
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202318535A publication Critical patent/TW202318535A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1837Multistep pretreatment
    • C23C18/1844Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/025Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本揭露書的實施例大體上關於具有兩部分表面塗層的基板支撐件,其在基板處理期間減少缺陷形成和背側金屬污染。支撐主體包括:主體,具有上表面;及兩部分塗層,設置在主體的上表面之上。兩部分塗層包括:第一塗層,從主體的中心延伸第一徑向距離。第一塗層包括含金屬材料或合金的至少一種。兩部分塗層包括:第二塗層,設置在第一塗層之上。第二塗層從主體的中心延伸第二徑向距離。第一徑向距離大於第二徑向距離。第二塗層是非金屬的。

Description

用於基板處理的塗佈的基板支撐組件
本揭露書的實施例大體上關於一種用於在電子裝置製造處理中的處理期間支撐基板的設備。更具體地,於此揭露的實施例關於具有兩部分表面塗層的基板支撐件,其減少基板處理期間的缺陷形成和背側金屬污染,以及形成塗層的方法。
積體電路形成在矽和其他半導體基板中及矽和其他半導體基板上。在單晶矽的情況下,基板是藉由從熔融矽的浴中生長晶錠,並接著將固化的晶錠鋸成多個基板來製造的。磊晶矽層可接著形成在單晶矽基板上,以形成可摻雜或未摻雜的無缺陷矽層。半導體裝置(諸如電晶體)可由磊晶矽層製造。所形成的磊晶矽層的電性質一般優於單晶矽基板的性質。
當曝露於典型的基板製造設施環境條件時,單晶矽和磊晶矽層的表面容易受到污染。例如,由於基板的處理及/或曝露於基板處理設施中的周圍環境,在沉積磊晶層之前可能在單晶矽表面上形成原生氧化物層。此外,周圍環境中存在的外來污染物(諸如碳和氧物種)可能沉積在單晶表面上。單晶矽表面上氧化層或污染物的存在對隨後在單晶表面上形成的磊晶層的品質產生負面影響。因此,可執行預清潔處理以從單晶表面移除氧化物層或污染物。然而,傳統的預清潔處理涉及將基板曝露於可能導致基板支撐件的表面腐蝕的處理氣體。在一些示例中,由基板支撐件的腐蝕導致的材料副產物可能與基板接觸,從而導致基板上的缺陷形成和背側金屬污染。
因此,本領域中存在提供改進的基板支撐件的需求,其抵抗預清潔處理氣體的腐蝕作用,使基板缺陷形成和背側金屬污染最小化。
本揭露書描述了一種用於在處理腔室中支撐基板的支撐主體。在一些實施例中,支撐主體包括:主體,具有上表面;及兩部分塗層,設置在主體的上表面之上。兩部分塗層包括:第一塗層,從主體的中心延伸第一徑向距離。第一塗層包括含金屬材料或合金的至少一種。兩部分塗層包括:第二塗層,設置在第一塗層之上。第二塗層從主體的中心延伸第二徑向距離。第一徑向距離大於第二徑向距離。第二塗層是非金屬的。
在一些實施例中,一種系統包括:處理腔室,配置為清潔基板。處理腔室包括:腔室主體;蓋組件,設置在腔室主體的上端處;及基板支撐組件,至少部分地設置在腔室主體內並配置為支撐處理腔室中的基板。蓋組件包括:雙通道噴頭,具有在噴頭的平面上方和下方提供流體連通的第一組通道;及第二組通道,提供與腔室主體的側埠的流體連通。基板支撐組件包括:支撐主體,具有上表面,上表面從支撐主體的中心延伸第一徑向距離。基板支撐組件包括:桿,耦接到支撐主體;及塗層,設置在支撐主體之上。塗層包括:第一塗層,設置在支撐主體的上表面的整體之上,第一塗層包含化學鍍鎳。塗層包括:第二塗層,設置在第一塗層之上,第二塗層從支撐主體的中心延伸第二徑向距離,第二徑向距離小於第一徑向距離,且第二塗層包含碳化矽。
在一些實施例中,一種在處理腔室的支撐主體上形成表面塗層的方法包括以下步驟:在支撐主體的整個上表面之上沉積第一材料,從而形成第一塗層,第一材料包括含金屬材料或合金的至少一個。方法包括以下步驟:僅在支撐主體的上表面的一部分之上將第二材料沉積在第一塗層之上,從而形成第二塗層,其中第二材料是非金屬的。
於此揭露的實施例關於具有兩部分表面塗層的基板支撐件,其減少基板處理期間的缺陷形成和背側金屬污染,以及形成塗層的方法。
於此揭露的某些實施例提供了基板支撐組件(也稱為「基座」),其具有兩部分表面塗層,與傳統的單部分塗層不同。將能夠填充甚至最小特徵尺寸和複雜結構的第一塗層施加到整個基板支撐組件以減少基板支撐組件的整體表面腐蝕。將不含金屬污染物的第二塗層施加到基板支撐組件的頂部(如,支撐主體)以減少基板背側金屬污染。因此,與傳統的單部分塗層相比,塗層性能得到改善。
在一些示例中,基板可包括含矽材料,並且表面可包括材料,諸如矽(Si)、鍺(Ge)或矽鍺合金(SiGe)。在一些示例中,Si、Ge或SiGe表面可具有氧化物層(諸如天然氧化物層)及設置在其上的污染物。由於磊晶沉積處理對氧化物和污染物(諸如含碳污染物)的敏感性,曝露於清潔室環境數小時導致的表面污染可能變得足夠嚴重,以至於累積的氧化物和污染物會影響隨後形成的磊晶層的品質。因此,可執行預清潔處理以從表面移除氧化物層或污染物。如於此所用,術語「預清潔」是指涉及將基板(如,半導體基板)曝露於一種或多種處理氣體以從基板表面移除氧化物層或污染物的處理。於此,「預清潔」也可稱為「蝕刻」。
在一些示例中,可藉由執行氧化物移除處理和污染物移除處理來清潔基板表面。在一個示例中,可使用預清潔處理從基板的表面移除氧化物,並且可使用還原處理從基板的表面移除污染物,諸如含碳污染物。
在一些示例中,處理氣體可包括反應性氣體,諸如含氟或含氯氣體。在一些示例中,處理氣體可進一步包括蒸氣。在一些示例中,處理氣體可進一步包括一種或多種吹掃氣體或載氣(如,氫氣、氦氣及/或氬氣)。在一些示例中,反應氣體可包括氟化氫(如,HF)、無水氟化氫(其可被稱為「AHF」)、雙原子氟(F 2)、氟化氮(如,三氟化氮(NF 3))、氟化碳(如,四氟化碳(CF 4)、六氟乙烷(C 2F 6)、三氟甲烷(CHF 3)、二氟甲烷(CH 2F 2)、八氟丙烷(C 3F 8)、八氟環丁烷(C 4F 8)、八氟[1-]丁烷(C 4F 8)、八氟[2-]丁烷(C 4F 8)或八氟異丁烯(C 4F 8))、氟化硫(如,六氟化硫(SF 6))、氨(NH 3)或其組合。
在一些示例中,對於300mm的基板而言,反應氣體的流率可為約50sccm到約500sccm。在一些示例中,處理腔室內(如,與基板表面接觸)的反應氣體的濃度可為包括任何其他組分(如,蒸汽、載氣或吹掃氣體)的總處理氣體混合物的約5%wt/wt至約75%wt/wt。
在一些示例中,蒸氣可包括水(如,蒸餾水)、伯醇(如,甲醇、乙醇、丙醇、丁醇或異丁醇)、仲醇(如,異丙醇或仲丁醇)、叔醇(如,叔丁醇)、環狀醇(如,環己醇)、複合醇(如,4-乙基-3-己醇)、C1醇、C2醇、C3醇、C1-C2醇、C1-C3醇、C1-C4醇、有機酸或其組合。在一些示例中,蒸氣可增加在反應氣體和表面氧化物之間的反應速率。在一些實例中,與較高碳數醇相比,較低碳數醇可更大程度地增加反應速率(如,相對反應速率可為C1醇>C2醇>C3醇)。在一些示例中,對於300mm基板而言,蒸氣的流率可為約5sccm至約500sccm。在一些示例中,反應氣體與蒸氣的流量比可為約10:1至約1:10。在一些示例中,蒸氣的濃度可為包括任何其他組分(如,反應性氣體、載氣或吹掃氣體)的總處理氣體混合物的約5wt/wt至約75wt/wt。
在操作中,反應性氣體和蒸氣可通過不同的路徑(亦即,分開地)提供給處理腔室,並在到達處理腔室之後和接觸基板之前混合。在一些其他示例中,反應氣體可與蒸氣混合以充入(charging to)處理腔室。氣體的混合可在空間上與設置有基板的處理區域分開。於此所述的術語「空間上...分開」可指藉由一個或多個腔室部件或者甚至是在混合腔室和基板處理腔室之間的導管與基板處理區域隔開的混合區域。在一些示例中,可指處理腔室內的混合處理氣體的溫度(如,與基板表面接觸的混合處理氣體的溫度)的處理溫度可為約0℃或更低,諸如約-50℃至約40℃。在一些示例中,處理腔室中的壓力可在約0.5Torr至約20Torr的範圍內。
預清潔處理對於氧化物層而言可在很大程度上是保形的和選擇性的,且因此無論這些層是非晶的、晶體的或多晶的,都不容易蝕刻矽(如,低k間隔件或其他介電材料)、鍺或氮化物層。在一些示例中,與硅或鍺相比,用於氧化物的處理氣體的選擇性可為至少約3:1,諸如約5:1或更大、諸如約10:1或更大。與氮化物相比,處理氣體還可對氧化物具有高度選擇性。在一些示例中,與氮化物相比,用於氧化物的處理氣體的選擇性可為至少約3:1,諸如約5:1或更大、諸如約10:1或更大、諸如約20:1或更大、諸如約50:1或更大、諸如約80:1或更大、諸如約100:1或更大、諸如約120:1或更大。
在一些示例中,在預清潔處理期間或在執行預清潔處理之後,可將熱能施加到經處理的基板以幫助移除任何產生的副產物。在一些示例中,熱能可經由輻射、對流及/或傳導熱傳送處理提供,其導致在基板表面上發現的不想要的副產物昇華。
在一些示例中,可執行額外處理以從基板的表面移除碳污染物或其他污染物。在一些示例中,污染物移除可在預清潔處理之前或之後發生。在一些示例中,污染物移除可包括在電漿清潔腔室中執行的電漿處理。電漿處理可使用由包括氫氣(H 2)、氦氣(He)、氨氣(NH 3)、含氟氣體或其組合的氣體形成的電漿。電漿可為感應或電容耦合的,電漿可由處理腔室中的微波源形成,或者電漿可由遠端電漿源形成。
在一些示例中,可在基板的表面上形成磊晶層。若事先清潔,如上所述,基板的表面是均勻地無氧化物和污染物,這改善了隨後在基板的表面上形成的層的品質。可用以執行磊晶沉積處理的示例性處理腔室是可從加州聖克拉拉市的應用材料公司獲得的Centura TMEpi腔室。也可使用其他製造商的腔室。
第1A圖是根據某些實施例的處理腔室100的橫截面圖。處理腔室100配置為執行預清潔處理。在一個示例中,處理腔室100可為Siconi TM或Selectra TM腔室,其可從加州聖克拉拉市的應用材料公司獲得。處理腔室100一般包括腔室主體102、蓋組件104和基板支撐組件106。蓋組件104設置在腔室主體102的上端處,並且基板支撐組件106至少部分地設置在腔室主體102內。真空系統用以從處理腔室100移除氣體。真空系統包括真空泵108,真空泵108耦接到設置在腔室主體102中的真空埠110。泵送環122設置在腔室主體102內。泵送環122具有複數個排氣埠126,在處理腔室100的內側和真空埠110之間提供流體連通,用於通過其中排出氣體。
蓋組件104包括複數個堆疊的部件,配置為向腔室100內的處理區域112提供氣體。蓋組件104連接到第一氣體源114和第二氣體源116。來自第一氣體源114的氣體氣源通過頂部埠118引入蓋組件104。來自第二氣體源116的氣體通過側埠120引入蓋組件104。在一些示例中,第一氣體源114可提供處理氣體的至少第一部分(如,反應氣體)。在一些示例中,第二氣體源116可提供處理氣體的第二部分(如,蒸汽)。在一些示例中,一種或多種吹掃氣體或載氣也可從第一氣體源114、第二氣體源116或從另一氣體源輸送到處理區域112。
蓋組件104通常包括設置在處理區域112上方的噴頭124,來自第一氣體源114的氣體通過噴頭124引入處理區域112。噴頭124可包括一個或多個額外板(如,阻擋板、面板)設置在第1A圖所示的板上方。噴頭124的每個板可包括穿過其中形成的多個孔,這些孔連接每個相應板上方和下方的氣體區域。在一些示例中,噴頭124可被加熱。在一些示例中,氣體可在加熱期間在噴頭124中或上方混合。在一個示例中,噴頭124可加熱到約190℃,而待處理的基板處於約10℃。
在第1A圖所示的示例中,噴頭124是雙通道噴頭,其具有第一組通道128和第二組通道130。第一組通道128提供在噴頭124的平面上方和下方的流體連通,用於使來自頂部埠118的氣體進入處理區域112。第二組通道130提供與側埠120的流體連通,用於使來自第二氣體源116的氣體進入處理區域112。雙通道噴頭可能特別有利於改善來自第一氣體源114和第二氣體源116的不同氣體的混合。
基板支撐組件106(也稱為「基座」)包括在處理期間在其上支撐基板101的支撐主體132(也稱為「圓盤」)和耦合到支撐主體132的桿136。基板支撐組件106包括兩部分塗層,其在下文關於第1C和1D圖更詳細地描述。在一些示例中,支撐主體132可為模組化的並且因此容易被另一個塗層部分替換。因此,當僅支撐主體132上的塗層損壞時,可避免更換整個基板支撐組件106。
支撐主體132具有平坦的(或基本平坦的)基板支撐表面133(也稱為支撐主體132的「基板支撐區域」或「基板接觸表面」)。參考第1B圖,基板支撐表面133是位於基板101下方及/或與基板101接觸的區域(在第1B圖中以虛線顯示)。在一些示例中,基板支撐表面133可從支撐主體132的中心C1延伸徑向距離R1。儘管如第1B圖所示,基板101的外周邊匹配基板支撐表面133的尺寸,在一些示例中,基板101可伸出基板支撐表面133。基板支撐表面133包括形成在其中的多個表面特徵(諸如第1B圖中所示的通道135、埠137和凹槽139),由於它們的小尺寸及/或複雜結構,它們可能難以使用傳統的單部分塗層進行塗佈。有利地,於此所述的兩部分塗層基本上覆蓋每個表面特徵的整個外表面,且因此即使是最小的特徵尺寸也能防止腐蝕。在一些示例中,兩部分塗層可能能夠填充具有約30μm或更小的臨界尺寸的特徵尺寸。有利地,於此所述的兩部分塗層能夠以約5:1或更大,諸如約10:1或更大、諸如約20:1或更大的深寬比來填充高深寬比特徵,這改進了高深寬比特徵免於腐蝕的保護。
如第1A圖所示,支撐主體132包括兩個獨立的溫度控制區(稱為「雙區」),以控制基板溫度以實現中心到邊緣的處理均勻性和調諧。在第1A圖所示的示例中,支撐主體132具有內部區132i和圍繞內部區132i的外部區132o。如第1B圖所示,內部區132i和外部區132o藉由圓周凹槽139在徑向上彼此隔開。在一些其他示例中,支撐主體132可具有超過兩個獨立的溫度控制區(稱為「多區」)。
支撐主體132藉由桿136耦接到致動器134,桿136延伸穿過在腔室主體102的底部中形成的中心位置的開口。致動器134藉由波紋管138撓性地密封到腔室主體102,波紋管138防止桿136周圍的真空洩漏。致動器134允許支撐主體132在處理位置和裝載位置之間在腔室主體102內垂直移動。裝載位置略低於在腔室主體102的側壁中形成的基板開口140。
處理腔室100還包括用於降低待處理基板的溫度的超低溫套件142,其與其他材料(諸如低k介電材料和氮化矽(如,SiN)等)相比,可改善氧化物移除(如,原生氧化物移除)的選擇性。在一些示例中,待處理的基板的溫度及/或支撐主體132的溫度可降低至約-30℃至約10℃。超低溫套件142向支撐主體132提供連續流動的超低溫冷卻劑,其將支撐主體132冷卻到期望的溫度。在一些示例中,超低溫冷卻劑可包括全氟化的惰性聚醚流體(如,Galden ®流體)。在第1A圖所示的示例中,超低溫冷卻劑分別通過內部冷卻劑通道144i和外部冷卻劑通道144o提供到支撐主體132的內部區132i和外部區132o。冷卻劑通道在第1A圖中示意性地繪製並且可具有與所顯示者不同的佈置。例如,每個冷卻劑通道可為迴路的形式。
系統控制器150(諸如可程式化計算機)耦合到處理腔室100,用於控制處理腔室100或其部件。例如,系統控制器150可使用基板支撐組件106、真空泵108、第一氣體源114、第二氣體源116、致動器134及/或超低溫套件142的直接控制,或使用與其相關聯的其他控制器的間接控制來控制處理腔室100的操作。在操作中,系統控制器150能夠從相應部件收集數據和反饋,以協調處理腔室100中的處理。
系統控制器150包括可程式化的中央處理單元(CPU)152,其可與記憶體154(如,非揮發性記憶體)和支持電路156一起操作。支持電路156常規地耦合到CPU 152並包含耦合到處理腔室100的各種部件的快取、時脈電路、輸入/輸出子系統、功率供應器及類似者,以及它們的組合。
在一些實施例中,CPU 152是在工業環境中使用的任何形式的通用計算機處理器的一種,諸如可程式化邏輯控制器(PLC),用於控制各種監控系統部件和子處理器。耦合到CPU 152的記憶體154是非暫態的,並且通常是本地或遠端的容易獲得的記憶體(諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟驅動器、硬碟或任何其他形式的的數位儲存器)的一個或多個。
於此,記憶體154是計算機可讀儲存媒體的形式,其含有指令(如,非揮發性記憶體),當由CPU 152執行時,指令有助於處理腔室100的操作。記憶體154中的指令是程式產品的形式,諸如實現本揭露書的方法的程式(如,中介軟體應用程式、配備軟體應用程式等)。程式代碼可符合多種不同程式語言的任何一種。在一個示例中,本揭露書可實現為儲存在計算機可讀儲存媒體上以與計算機系統一起使用的程式產品。程式產品的(多個)程式界定了實施例(包括於此描述的方法)的功能。
說明性的計算機可讀儲存媒體包括(但不限於):(i)永久儲存資訊於其上的非可寫儲存媒體(如,計算機內的唯讀記憶體裝置,諸如可由CD-ROM驅動器讀取的CD-ROM碟、快閃記憶體、ROM晶片或任何類型的固態非揮發性半導體記憶體);(ii)儲存可更改的資訊於其上的可寫儲存媒體(如,軟碟驅動器內的軟盤或硬碟驅動器中或任何類型的固態隨機存取半導體記憶體)。這樣的計算機可讀儲存媒體在承載指示於此描述的方法的功能的計算機可讀指令時是本揭露書的實施例。
第1C圖是根據某些實施例的第1A圖的桿136的一部分的放大橫截面圖,顯示了設置在其上的示例性表面塗層。桿136包括具有外表面162的基底層160。「外表面」可指在表面塗層設置在其上之前曝露的表面。桿136包括設置在外表面162之上的第一塗層164。第一塗層164具有外表面166。如圖所示,第一塗層164與外表面162直接接觸。然而,在一些其他示例中,一個或多個額外層可設置在基底層160和第一塗層164之間。雖然第1C圖中僅顯示了桿136的一部分,但是在一些示例中,第一塗層164可設置在基板支撐組件106的整體之上,包括桿136和支撐主體132的每一個的對應外表面。在一些示例中,第一塗層164可設置在支撐主體132的整體之上或支撐主體132的一個或多個單獨表面或部分之上。例如,第一塗層164可設置在支撐主體132的一個或多個表面之上(如第1B圖所示),諸如在基板支撐表面133之上、在基板支撐表面133外側的支撐主體132的區域(如,在圍繞基板支撐表面133的朝上表面141之上,或支撐主體132的側面143周圍),或其組合之上。在一些示例中,第一塗層164可設置在支撐主體132的上表面的整體之上,包括基板支撐表面133和朝上表面141。第一塗層164可從支撐主體132的中心C1延伸第二徑向距離R2。第二徑向距離R2大於基板支撐表面133的第一徑向距離R1。
在一些示例中,基底層160可包括金屬(諸如鋁、鎳合金(例如Ni100或Ni200)或其他金屬合金)、陶瓷(諸如氮化鋁或氧化鋁)、低碳鋼合金、不銹鋼合金或其組合。在一些示例中,第一塗層164可包括含金屬材料或合金。在一個示例中,第一塗層164包括通過化學鍍鎳(ENP)形成的鎳和磷合金。在一些示例中,ENP塗層可為高磷酸鹽或低磷酸鹽ENP任一種。在一些其他示例中,第一塗層164可包括電解鍍鎳。在一些其他示例中,可使用原子層沉積(ALD)來沉積第一塗層164。在一些示例中,第一塗層164可包括塊狀鎳、貴金屬(如,鉑或金)、氧化鋁(如,Al 2O 3)、氧化釔(如,Y 2O 3)、氟化鎳(如,NiF 2)、鎂氟化物(如,MgF 2)或其組合。在一些示例中,第一塗層164可為共形層,其通常可與基底層160的輪廓共形。術語「共形」可指塗層具有在標稱塗層厚度的+/-5%內的厚度。在一些示例中,第一塗層164可在整個外表面162上具有約相等的厚度。在一些示例中,在應用期間,第一塗層164可具有使得塗層能夠填充甚至最小特徵形成於表面中的流動性參數。例如,第一塗層164可能能夠填充具有在約30μm至約50μm的範圍內的臨界尺寸的特徵尺寸。
在一些示例中,第一塗層164的厚度可在約0.1μm至約50μm的範圍內。在一些示例中,第一塗層164的表面粗糙度平均值(Ra)可在約2微英寸(μin)至約64微英寸的範圍內,諸如約20微英寸。在一些示例中,第一塗層164可抵抗用50mol%的液體鹽酸(HCl)曝露至少24小時而沒有點蝕或變色。在一些示例中,第一塗層164可抵抗HCl蒸氣至少22天而沒有點蝕或變色。
第1D圖是根據某些實施例的第1B圖的支撐主體132的一部分的放大橫截面圖,顯示了設置在其上的示例性表面塗層。支撐主體132包括具有外表面172的基底層170。支撐主體132具有設置在外表面172之上的第一塗層174。第一塗層174具有外表面176。如圖所示,第一塗層174與外表面172直接接觸。然而,在一些其他示例中,一個或多個額外層可設置在基底層170和第一塗層174之間。在一些示例中,基底層170可包括金屬(諸如鋁、不銹鋼、鎳合金或其他金屬合金)、陶瓷(諸如氮化鋁或氧化鋁),或其組合。在一些示例中,基底層170可由與基底層160相同的材料形成。在一些示例中,第一塗層174可包括上述第一塗層164的一個或多個態樣。在一些示例中,第一塗層174可與第1C圖的第一塗層164相同。儘管第1D圖中未顯示,但第一塗層174可基本上覆蓋形成在基底層170的基板支撐區域中的每個表面特徵(諸如第1B圖中所示的通道135、埠137和凹槽139)的整個外表面172。
支撐主體132包括設置在第一塗層174的外表面176之上的第二塗層178。第二塗層178具有外表面180。如圖所示,第二塗層178直接接觸外表面17。然而,在一些其他示例中,一個或多個額外層可設置在第一塗層174和第二塗層178之間。
在一些示例中,第二塗層178可包括不含金屬污染物的材料(也稱為「非金屬」或「無金屬」)。在一些示例中,非金屬材料可包括金屬濃度為約2000ppm或更低的材料。在一些示例中,可使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、ALD或電漿增強ALD(PEALD)來沉積第二塗層178。在一些示例中,第二塗層178可為非晶膜。
在一些示例中,第二塗層178可為或包括碳化矽(如,SiC)。在一些其他示例中,第二塗層178可為或包括矽。通常,矽塗層比碳化矽更軟,同時具有相同或更好的抗蝕刻性。例如,與碳化矽塗層相比,矽塗層的硬度可在約4,000N/mm 2(MPa)至約6,000N/mm 2(MPa)的範圍內,碳化矽塗層的硬度可在約10,000N/mm 2(MPa)至約16,000N/mm 2(MPa)的範圍內。因此,矽可能不太容易劃傷半導體基板的背側表面,這對於與半導體基板接觸的塗層表面(諸如基板支撐表面133(第1B圖中所示))可能特別有利。
在一些其他示例中,第二塗層178可為或包括全氟化膜。在一些示例中,全氟化膜可為自組裝單層(SAM)。與其他塗層(諸如碳化矽)相比,全氟化SAM的好處在於更小的多孔性、表現出更低的吸水率及/或表現出更低的脫氣率。在一些示例中,全氟化膜的厚度可為約5nm至約20nm,諸如約5nm至約10nm、約10nm至約15nm,或約15nm至約20nm。在一些示例中,全氟化膜可完全覆蓋下面的表面。在一些示例中,全氟化膜的全氟化物前驅物可為或包括基於四氟乙烯的前驅物(如,CF 3-(CF 2) 9-(CH 2) 2-SiCl 3),其共價鍵結到下面的表面。當基於四氟乙烯的前驅物是CF 3-(CF 2) 9-(CH 2) 2-SiCl 3時,共價鍵結的化學結構可為CF 3-(CF 2) 9-(CH 2) 2-Si-O-。其他合適的全氟化物前驅物可為或包括全氟辛烷或十三氟-1,1,2,2-四氫辛基三氯矽烷(FOTS)等。通常,上述全氟化薄膜涉及聚四氟乙烯(PTFE)的短鏈分子。因此,全氟化膜的特徵在於低摩擦及/或低表面能,這對於與半導體基板接觸的塗層表面(諸如基板支撐表面133(如第1B圖所示))可能是特別有利的。上述全氟化膜可使用ALD等技術沉積。
在一些其他示例中,第二塗層178可為或包括氟氧化釔(YOF)。在一些示例中,YOF塗層的厚度可為約100nm至約500nm,諸如約100nm至約200nm、約200nm至約300nm、約300nm至約400nm,或約400nm至約500nm。在一些示例中,YOF塗層可完全覆蓋下面的表面。在一些示例中,YOF塗層中的釔原子、氧原子和氟化物原子的單獨濃度可在約25原子(at.)%至約40at. %的範圍內。在一個示例中,每種組分的濃度可約相等(亦即,約33at.%Y、33at%O和33at.%F)。通常,與其他塗層(諸如碳化矽)相比,上述YOF塗層更耐蝕刻(如,當曝露於AHF/水處理化學物質時)。在使用液態水中4wt%至25wt%HF的一些示例中,YOF塗層的蝕刻速率可小於約0.5埃/分鐘(Å /min)。在一些其他示例中,在特定應用的處理環境中(如,在低於約0℃的溫度下使用HF和水蒸氣的上述處理腔室100),YOF塗層的蝕刻速率可小於約0.15Å /min。上述YOF塗層可使用ALD等技術沉積。
在一些示例中,第二塗層178具有對應於低空隙體積的 高總體(bulk)密度。在一些示例中,第二塗層178可具有作為塗層材料的體積質量密度的分數的約90%或更大,諸如約95%或更大,諸如約99%或更大,諸如約100%的總體密度。在一些示例中,第二塗層178的厚度可在約100nm至約40μm的範圍內,諸如約100nm至約40μm、諸如約1μm至約10μm、諸如約10μm至約20μm、諸如約20μm至約30μm、諸如約30μm至約40μm、諸如約30μm。在一些示例中,與使用更薄的塗層(如,小於約1μm)相比,使用更厚的塗層(如,大於約1μm)的第二塗層178可能更耐用。因此,在至少一些實現中,與ALD相比,CVD可為用於形成第二塗層178的特別有利的處理。在一些示例中,第二塗層178的表面粗糙度平均值(Ra)可在約5µin至約20µin的範圍內。在一些示例中,第二塗層178的電阻率可為約10 7Ohm-cm至約10 8Ohm-cm。
有利地,當支撐主體132的基板支撐表面133包括如第1D圖所示的非金屬塗層時,與包括金屬元素(諸如氧化鋁(如,Al 2O 3))的常規表面材料相比,減少或防止了基板背側金屬污染。第1D圖中所示的支撐主體132的部分對應於基板支撐表面133。在一些示例中,第二塗層178可僅設置在基板支撐表面133之上。換句話說,第二塗層178可從支撐主體132的中心C1延伸約等於第一徑向距離R1的徑向距離。因此,第二塗層178可從中心C1延伸小於第一塗層164的第二徑向距離R2的徑向距離。在一些其他示例中,第二塗層178可施加到基板支撐表面133外側的區域。例如,第二塗層178可施加到支撐主體132的上表面的整體,包括圍繞基板支撐表面133的朝上表面141(如第1B圖所示)。在一些示例中,支撐主體132的側面143(如,垂直側面)沒有第二塗層178。在一些其他示例中,第二塗層178可施加在支撐主體132的側面143(如第1B圖所示)周圍。然而,設置在垂直表面之上的第二塗層178的部分(諸如在支撐主體132的側面143上)可能具有降低的整體塗層品質。因此,避免塗佈側面143可能是有利的。在一些示例中,第二塗層178可設置在個支撐主體132的整體上。在一些示例中,桿136可沒有第二塗層178。將第二塗層178施加到桿136可能特別困難。因此,避免塗佈桿136可能是有利的。
在一些示例中,經塗佈的基板支撐組件106(如,第1C-1D圖中所示)與對應的未塗佈的支撐件相比可具有增加的導熱率。於此揭露的塗層實施例對於改善由鋁形成的支撐件的熱特性可能特別有利,至少部分是因為與導熱性更好的材料相比,未塗佈的鋁基支撐件提供對基板溫度的有限控制。
第2圖是顯示根據某些實施例的形成第1C圖的示例性表面塗層的方法200的圖。在操作202處,將任選的第一表面處置(treatment)施加到基底層160的外表面162。在一些示例中,第一表面處置可包括以均勻的密度移除氧化物和痕量金屬的清潔處理。在一些示例中,第一表面處置可包括O 2電漿清潔。在一些示例中,清潔處理可包括上述預清潔處理,其可在第1A圖所示的處理腔室中執行。在一些其他示例中,與預清潔處理相比,清潔處理可使用更溫和的處理化學物質,其中更溫和的處理條件可能更適合清潔基板支撐組件的未完成的表面(如,未塗佈的表面或僅塗佈有單個塗層的表面))。
在操作204處,將第一材料前驅物沉積在基底層160之上以形成第一塗層164。在一些示例中,第一材料前驅物可使用ENP或電解鍍鎳以及如上所述適合沉積形成第一塗層164的材料的其他處理來沉積。在一些示例中,將要塗佈的基板支撐組件106的部分(如,支撐主體132及/或桿136)浸沒在含有第一材料前驅物的浴中。
在操作206處,將任選的第二表面處置施加到第一塗層164的外表面166。在一些示例中,第二表面處置可包括以上關於操作202描述的一個或多個清潔處理。
第3圖是顯示根據某些實施例的形成第1D圖的示例性表面塗層的方法300的圖。在操作302處,將任選的第一表面處置施加到基底層170的外表面172。在一些示例中,第一表面處置可包括上面關於操作202描述的一個或多個清潔處理。
在操作304處,將第一材料前驅物沉積在基底層170之上以形成第一塗層174。在一些示例中,第一材料前驅物可使用ENP或電解鍍鎳以及如上所述適合沉積形成第一塗層164的材料的其他處理來沉積。
在操作306處,將任選的第二表面處置施加到第一塗層174的外表面176。在一些示例中,第二表面處置可包括上面關於操作202描述的一個或多個清潔處理。在一些示例中,第二表面處置可包括反應離子蝕刻。在一些示例中,第二表面處置可包括反向傳送電弧電漿清潔。
在操作308處,將第二材料前驅物沉積在第一塗層174之上以形成第二塗層178。在一些示例中,可使用CVD、PECVD、ALD或PEALD來沉積第二材料前驅物。
在操作310處,將任選的第三表面處置施加到第二塗層178的外表面180。在一些示例中,第三表面處置可包括以上關於操作202描述的一種或多種清潔處理。
第4圖是根據某些實施例的配置為用於第1A圖的處理腔室100中的支撐主體的一部分的放大橫截面圖,顯示了設置在其上的另一個示例性表面塗層。第4圖所示的表面塗層與第1D圖所示的表面塗層相似,除了省略了第一塗層174。在這樣的示例中,當支撐主體由對處理環境有抵抗力的材料形成時,不需要第一塗層174。相反地,第二塗層178與基底層170的外表面172直接接觸。在一些其他示例中,一個或多個額外層可設置在基底層170和第二塗層178之間。在一個示例中,基底層170可為或包括鎳合金(如,Ni100或Ni200),並且第二塗層178可為或包括碳化矽(如,SiC)、矽、全氟化膜、YOF或其組合。
第4圖中所示的支撐主體的部分對應於基板支撐表面133。然而,在一些示例中,第二塗層178可如上文關於第1D圖所述在基板支撐表面133外側的區域中直接施加到基底層170。
本揭露書的益處包括改進的用於處理腔室的基板支撐組件的塗層。與傳統的單部分塗層相比,某些實施例提供了兩部分的表面塗層。兩部分塗層包括減少基板支撐組件的整體表面腐蝕的第一塗層和減少基板背側金屬污染的不含金屬污染物的第二塗層。
雖然前述內容涉及本揭露書的實施例,但是可設計本揭露書的其他和進一步的實施例而不背離其基本範圍。
100:處理腔室/腔室 101:基板 102:腔室主體 104:蓋組件 106:基板支撐組件 108:真空泵 110:真空埠 112:處理區域 114:第一氣體源 116:第二氣體源 118:頂部埠 120:側埠 122:環 124:噴頭 126:排氣埠 128:通道 130:通道 132:支撐主體 132i:內部區 132o:外部區 133:基板支撐表面 134:致動器 135:通道 136:桿 137:埠 138:波紋管 139:凹槽 140:基板開口 141:朝上表面 142:超低溫套件 143:側面 144i:內部冷卻劑通道 144o:外部冷卻劑通道 150:系統控制器 152:中央處理單元(CPU) 154:記憶體 156:支持電路 160:基底層 162:外表面 164:第一塗層 166:外表面 170:基底層 172:外表面 174:第一塗層 176:外表面 178:第二塗層 180:外表面 200:方法 202:操作 204:操作 206:操作 300:方法 302:操作 304:操作 306:操作 308:操作 310:操作
可藉由參考在附隨的圖式中描繪的本揭露書的說明性實施例來理解上面簡要概括並在下面更詳細討論的本揭露書的實施例。然而,要注意的是,附隨的圖式僅顯示了本揭露書的典型實施例,且因此不應被認為是對其範圍的限制,因為本揭露書可承認其他等效的實施例。
第1A圖是根據某些實施例的處理腔室的橫截面圖。
第1B圖是根據某些實施例的第1A圖的基板支撐組件的支撐主體的分離的等距視圖。
第1C圖是根據某些實施例的第1A圖的桿的一部分的放大橫截面圖,顯示了設置在其上的示例性表面塗層。
第1D圖是根據某些實施例的第1B圖的支撐主體的一部分的放大橫截面圖,顯示了設置在其上的示例性表面塗層。
第2圖是說明根據某些實施例的形成第1C圖的示例性表面塗層的方法的圖。
第3圖是說明根據某些實施例的形成第1D圖的示例性表面塗層的方法的圖。
第4圖是根據某些實施例的配置為用於第1A圖的處理腔室中的支撐主體的一部分的放大橫截面圖,顯示了設置在其上的另一個示例性表面塗層。
為了便於理解,在可能的情況下,使用相同的元件符號來表示圖式共有的相同元件。這些圖式不是按比例繪製的,並且為了清楚起見可進行簡化。預期一個實施例的元件和特徵可有益地結合到其他實施例中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室/腔室
101:基板
102:腔室主體
104:蓋組件
106:基板支撐組件
108:真空泵
110:真空埠
112:處理區域
114:第一氣體源
116:第二氣體源
118:頂部埠
120:側埠
122:環
124:噴頭
126:排氣埠
128:通道
130:通道
132:支撐主體
132i:內部區
132o:外部區
133:基板支撐表面
134:致動器
136:桿
138:波紋管
140:基板開口
142:超低溫套件
144i:內部冷卻劑通道
144o:外部冷卻劑通道
150:系統控制器
152:中央處理單元(CPU)
154:記憶體
156:支持電路

Claims (20)

  1. 一種用於在一處理腔室中支撐一基板的支撐主體,包含: 一主體,具有一上表面;及 一兩部分塗層,設置在該主體的該上表面之上,該兩部分塗層包含: 一第一塗層,從該主體的一中心延伸一第一徑向距離,該第一塗層包括一含金屬材料或合金的至少一種;及 一第二塗層,設置在該第一塗層之上,該第二塗層從該主體的該中心延伸一第二徑向距離,該第一徑向距離大於該第二徑向距離,其中該第二塗層是非金屬的。
  2. 如請求項1所述之支撐主體,其中該第一塗層設置在該主體的該上表面的一整體之上。
  3. 如請求項1所述之支撐主體,其中該第一塗層設置在該主體的一整體之上,並且其中該主體的多個垂直側面沒有該第二塗層。
  4. 如請求項1所述之支撐主體,其中該第一塗層設置在該主體的一基板接觸表面之上和該基板接觸表面外側的該主體的一區域之上,並且其中該第二塗層僅設置在該主體的該基板接觸表面之上。
  5. 如請求項1所述之支撐主體,其中該第二塗層包含一全氟化膜。
  6. 如請求項5所述之支撐主體,其中該全氟化膜包含一自組裝單層。
  7. 如請求項1所述之支撐主體,其中該第一塗層包含化學鍍鎳,並且其中該第二塗層包含碳化矽。
  8. 如請求項1所述之支撐主體,其中該第一塗層包含化學鍍鎳,並且其中該第二塗層包含矽。
  9. 如請求項1所述之支撐主體,其中該第二塗層包含氟氧化釔。
  10. 一種系統,包含: 一處理腔室,配置為清潔一基板,該處理腔室包含: 一腔室主體; 一蓋組件,設置在該腔室主體的一上端處,該蓋組件包含: 一雙通道噴頭,具有在該噴頭的一平面上方和下方提供流體連通的一第一組通道;及 一第二組通道,提供與該腔室主體的一側埠的流體連通;及 一基板支撐組件,至少部分地設置在該腔室主體內,該基板支撐組件配置為支撐該處理腔室中的該基板,該基板支撐組件包含: 一支撐主體,具有一上表面,該上表面從該支撐主體的一中心延伸一第一徑向距離; 一桿,耦接到該支撐主體;及 一塗層,設置在該支撐主體之上,該塗層包含: 一第一塗層,設置在該支撐主體的該上表面的一整體之上,該第一塗層包含化學鍍鎳;及 一第二塗層,設置在該第一塗層之上,該第二塗層從該支撐主體的該中心延伸一第二徑向距離,該第二徑向距離小於該第一徑向距離,且該第二塗層包含碳化矽。
  11. 如請求項10所述之系統,其中該第一塗層進一步設置在該支撐主體的多個垂直側面之上和該桿之上,並且其中該支撐主體的該等垂直側面和該桿沒有該第二塗層。
  12. 如請求項10所述之系統,進一步包含一磊晶腔室,用以在該處理腔室清潔該基板之後在該基板上生長一磊晶層。
  13. 如請求項10所述之系統,其中該第二塗層僅設置在該支撐主體的一基板接觸表面之上。
  14. 如請求項10所述之系統,其中該第二塗層包含一全氟化膜。
  15. 如請求項14所述之系統,其中該全氟化膜包含一自組裝單層。
  16. 如請求項10所述之系統,其中該第二塗層包含氟氧化釔,其中該氟氧化釔塗層中的釔原子、氧原子和氟原子的一單獨濃度在約25原子%至約40原子%的一範圍內。
  17. 一種在一處理腔室的一支撐主體上形成一表面塗層的方法,包含以下步驟: 在該支撐主體的一整個上表面之上沉積一第一材料,從而形成一第一塗層,該第一材料包括一含金屬材料或合金的至少一個;及 僅在該支撐主體的該上表面的一部分之上將一第二材料沉積在該第一塗層之上,從而形成一第二塗層,其中該第二材料是非金屬的。
  18. 如請求項17所述之方法,其中該上表面從該支撐主體的一中心延伸一第一徑向距離,並且其中該第二塗層從該支撐主體的該中心延伸小於該第一徑向距離的一第二徑向距離。
  19. 如請求項17所述之方法,進一步包含以下步驟:將該第一材料沉積在該支撐主體的一整體之上。
  20. 如請求項17所述之方法,其中該第一塗層包含化學鍍鎳,並且其中該第二塗層包含碳化矽。
TW111121153A 2021-07-07 2022-06-08 用於基板處理的塗佈的基板支撐組件 TW202318535A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/368,997 US20230009692A1 (en) 2021-07-07 2021-07-07 Coated substrate support assembly for substrate processing
US17/368,997 2021-07-07

Publications (1)

Publication Number Publication Date
TW202318535A true TW202318535A (zh) 2023-05-01

Family

ID=84798236

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111121153A TW202318535A (zh) 2021-07-07 2022-06-08 用於基板處理的塗佈的基板支撐組件

Country Status (6)

Country Link
US (1) US20230009692A1 (zh)
EP (1) EP4367715A1 (zh)
KR (1) KR20230172493A (zh)
CN (1) CN117280455A (zh)
TW (1) TW202318535A (zh)
WO (1) WO2023282982A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021144108A1 (en) * 2020-01-14 2021-07-22 Asml Netherlands B.V. Improved lithography apparatus
US20240141488A1 (en) * 2022-10-27 2024-05-02 Applied Materials, Inc. Coated substrate support assembly for substrate processing in processing chambers

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5905626A (en) * 1998-04-12 1999-05-18 Dorsey Gage, Inc. Electrostatic chuck with ceramic pole protection
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4994121B2 (ja) * 2006-08-10 2012-08-08 東京エレクトロン株式会社 静電吸着電極、基板処理装置および静電吸着電極の製造方法
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8147909B2 (en) * 2009-03-26 2012-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making and using alloy susceptor with improved properties for film deposition
JP5880297B2 (ja) * 2012-06-07 2016-03-08 三菱電機株式会社 基板支持体、半導体製造装置
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR102220427B1 (ko) * 2014-10-17 2021-02-26 삼성디스플레이 주식회사 마스크 어셈블리, 표시 장치의 제조 장치 및 표시 장치의 제조 방법
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
JP6993881B2 (ja) * 2015-05-07 2022-01-14 アプライド マテリアルズ インコーポレイテッド チャンバ部品のための腐食制御
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102556739B1 (ko) * 2018-11-19 2023-07-17 엔테그리스, 아이엔씨. 전하 소산 코팅이 적용된 정전 척
US11557464B2 (en) * 2019-06-20 2023-01-17 Applied Materials, Inc. Semiconductor chamber coatings and processes

Also Published As

Publication number Publication date
KR20230172493A (ko) 2023-12-22
CN117280455A (zh) 2023-12-22
US20230009692A1 (en) 2023-01-12
WO2023282982A1 (en) 2023-01-12
EP4367715A1 (en) 2024-05-15

Similar Documents

Publication Publication Date Title
US9528183B2 (en) Cobalt removal for chamber clean or pre-clean process
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
KR100447284B1 (ko) 화학기상증착 챔버의 세정 방법
KR100453298B1 (ko) 반도체 처리 장치 및 그 클리닝 방법
CN112154534A (zh) 控制金属污染的腔室的原位cvd和ald涂布
TW202318535A (zh) 用於基板處理的塗佈的基板支撐組件
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
US20080044593A1 (en) Method of forming a material layer
TW202117054A (zh) 於製程腔室表面或部件上形成保護塗層之方法
JP7467566B2 (ja) 半導体製造装置
TW202009318A (zh) 使用自組裝單分子層的選擇性沉積的方法
JP7175266B2 (ja) スパッタリングシャワーヘッド
US11205589B2 (en) Methods and apparatuses for forming interconnection structures
JP5078444B2 (ja) 半導体装置の製造方法、クリーニング方法及び基板処理装置
US20220189778A1 (en) Method for forming film
TW201907480A (zh) 形成鈦矽化物區域之方法
TW202035775A (zh) 清潔處理腔室的方法
CN110678972B (zh) 降低字线电阻的方法
US20240141488A1 (en) Coated substrate support assembly for substrate processing in processing chambers
CN114107939A (zh) 金属薄膜沉积方法
US11118263B2 (en) Method for forming a protective coating film for halide plasma resistance
US11430641B1 (en) Processing systems and methods to control process drift
TW202349104A (zh) 形成用於euv光阻圖案的碳基間隔物之方法
TWI462162B (zh) 沈積含碳膜之裝置的清潔方法
JP2019173147A (ja) 減圧装置および減圧方法