KR101117051B1 - 얇은 고속 유체층을 사용하여 웨이퍼 표면들을 처리하는방법 및 장치 - Google Patents

얇은 고속 유체층을 사용하여 웨이퍼 표면들을 처리하는방법 및 장치 Download PDF

Info

Publication number
KR101117051B1
KR101117051B1 KR1020050027774A KR20050027774A KR101117051B1 KR 101117051 B1 KR101117051 B1 KR 101117051B1 KR 1020050027774 A KR1020050027774 A KR 1020050027774A KR 20050027774 A KR20050027774 A KR 20050027774A KR 101117051 B1 KR101117051 B1 KR 101117051B1
Authority
KR
South Korea
Prior art keywords
fluid
wafer
head
meniscus
substrate
Prior art date
Application number
KR1020050027774A
Other languages
English (en)
Other versions
KR20060045449A (ko
Inventor
마이클 래브킨
마이클 지 알 스미스
라리오스 존 엠 드
프리츠 리드커
미하일 코롤리크
크리스찬 디페트로
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/817,355 external-priority patent/US7293571B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060045449A publication Critical patent/KR20060045449A/ko
Application granted granted Critical
Publication of KR101117051B1 publication Critical patent/KR101117051B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Weting (AREA)

Abstract

다양한 실시형태 중 하나의 실시형태에서, 기판의 표면 상에 유체층을 생성하고, 유체층은 유체 메니스커스를 정의하는 단계를 포함하는 기판을 처리하는 방법이 개시된다. 이 생성 단계는 표면에 근접하게 헤드를 이동시키는 단계, 유체층을 정의하기 위하여 기판의 표면으로 헤드가 근접하는 동안 헤드로부터 표면으로 유체를 제공하는 단계, 및 진공으로 근접 헤드를 통하여 유체를 표면으로부터 제거하는 단계를 포함한다. 유체는, 헤드가 기판에 근접함에 따라 증가하는 속도로 헤드와 기판 사이의 유체층을 따라 이동한다.
Figure R1020050027774
웨이퍼 처리, 프로세싱 유체, 유체 메니스커스

Description

얇은 고속 유체층을 사용하여 웨이퍼 표면들을 처리하는 방법 및 장치{METHOD AND APPARATUS FOR PROCESSING WAFER SURFACES USING THIN, HIGH VELOCITY FLUID LAYER}
도 1a 는 SRD (spin rinse drying) 건조 처리 동안의 웨이퍼 상의 세정 유체의 이동을 도시하는 도면.
도 1b 는 예시적인 웨이퍼 건조 처리를 도시하는 도면.
도 2 는 본 발명의 일 실시형태에 따른 웨이퍼 처리 시스템을 도시하는 도면.
도 3 은 본 발명의 일 실시형태에 따른, 웨이퍼 처리 공정을 수행하는 근접 헤드를 도시하는 도면.
도 4 는 본 발명의 일 실시형태에 따른, 근접 헤드에 의해 실시될 수 있는 웨이퍼 처리 공정을 도시하는 도면.
도 5a 는 본 발명의 일 실시형태에 따른 근접 헤드의 평면도.
도 5b 는 본 발명의 일 실시형태에 따른 근접 헤드의 사시도.
도 6a 는 본 발명의 일 실시형태에 따른, 고속 유체층으로 웨이퍼 표면 상에 유체 메니스커스를 생성하는 근접 헤드의 측면도.
도 6b 는 본 발명의 일 실시형태에 따른, 웨이퍼의 평면에 대해 편향된 근 접 헤드를 도시하는 도면.
도 7 은 본 발명의 일 실시형태에 따른, 웨이퍼의 지름을 넘어서 연장된 근접 헤드를 도시하는 도면.
도 8 은 본 발명의 일 실시형태에 따른, 웨이퍼 상에서 작동하고 있는 근접 헤드를 도시하는 도면.
도 9 는 본 발명의 일 실시형태에 따른, 제 1 피스 (piece) 및 제 2 피스를 가진 근접 헤드를 도시하는 도면.
도 10 은 본 발명의 일 실시형태에 따른 웨이퍼 처리 시스템을 도시하는 도면.
도 11a 는 본 발명의 일 실시형태에 따른 건조 공정을 수행하는 근접 헤드를 도시하는 도면.
도 11b 는 본 발명의 일 실시형태에 따른 근접 헤드의 일 부분에 대한 평면도.
도 11c 는 본 발명의 일 실시형태에 따른 근접 헤드의 입구/출구 패턴을 도시하는 도면.
도 11d 는 본 발명의 일 실시형태에 따른 근접 헤드의 다른 입구/출구 패턴을 도시하는 도면.
도 11e 는 본 발명의 일 실시형태에 따른 근접 헤드의 또다른 입구/출구 패턴을 도시하는 도면.
*도면의 주요부분에 대한 부호의 설명*
10 : 웨이퍼 12 : 액체/가스 인터페이스
100 : 시스템 102a, 102b : 롤러
104a : 상부 암 104b : 하부 암
106a, 106b : 근접 헤드 108 : 웨이퍼
116 : 유체 메니스커스 118 : 인터페이스
302, 306 : 입구 304 : 출구
334 : 진공 336 : 프로세싱 유체 제공
θ452 : 각도 600 : 제 1 피스
602 : 제 2 피스 1102a, 1102b, 1102c : 롤러
1104a : 상부 암 1104b : 하부 암
1302, 1306 : 소스 입구 1304 : 소스 출구
본 발명은 반도체 웨이퍼 처리에 관한 것이고, 더 상세하게는, 오염을 감소시키고 웨이퍼 세정 비용을 감소시키는 반면, 더 효과적으로 웨이퍼 표면에 유체를 제공하고, 웨이퍼 표면으로부터 유체를 제거하는 장치 및 기술에 관한 것이다.
반도체칩 제조 처리에서는, 세정 및 건조와 같은 공정을 사용하여 웨이퍼를 처리할 필요가 있다는 것은 공지되어 있다. 이러한 타입의 공정들 중 각각에 서, 웨이퍼 공정 처리에 대해 효과적으로 유체를 제공하고 제거할 필요가 있다.
예를 들어, 웨이퍼의 표면 상의 불필요한 잔여물을 제거하는 제조 공정이 수행될 경우, 웨이퍼 세정이 실시될 수 있다. 이러한 제조 공정의 예는 플라즈마 에칭 (즉, 텅스텐 에치 백 (WEB)) 및 화학적 기계적 연마 (CMP) 를 포함한다. CMP에서, 웨이퍼는, 웨이퍼 표면을 롤링 벨트 또는 회전 플래튼에 대해 푸시하는 홀더에 배치된다. 이 벨트는, 연마를 발생시키는 화학물질 및 연마재로 구성된 슬러리 (slurry) 를 사용한다. 불행하게도, 이 처리는 웨이퍼 표면에 슬러리 입자 및 잔여물의 축적물을 남기곤 한다. 불필요한 잔여물 및 잔여입자들이 웨이퍼 상에 남겨지면, 다른 것들 사이에서 웨이퍼 표면 상의 스크래치 및 금속 특성 사이에서의 부적절한 상호작용과 같은 결함을 발생시킬 수 있다. 몇몇 경우에, 이러한 결함들은 웨이퍼 상의 장치들을 작동불가능하게 만들 수 있다. 작동불가능한 장치를 가진 웨이퍼들을 교체하는 과도한 비용을 방지하기 위해, 불필요한 잔여물을 남기는 제조 공정 후에, 적절하고 효과적으로 웨이퍼를 세정할 필요가 있다.
웨이퍼가 습식 세정된 후, 물 또는 세정 유체 잔여물이 웨이퍼 상에 잔여물을 남기는 것을 방지하기 위해 웨이퍼는 효과적으로 건조되어야 한다. 작은 물방울이 형성될 때 발생하는 것과 같이, 웨이퍼 표면 상의 세정 유체가 증발될 수 있으면, 세정 유체 내에 이미 용해되어 있는 잔여물 또는 오염물질들은 증발 후에 웨이퍼 표면 상에 남겨질 것이다 (즉, 물자국을 형성한다). 증발이 발생하는 것을 방지하기 위해, 세정 유체는 웨이퍼 표면 상에 물방울을 형성하지 않고 가능 한 빨리 제거되어야 한다. 이것을 달성하기 위한 시도에 있어서, 스핀 건조법 (spin drying), IPA (isoprophyl alcohol) 또는 마랑고니 건조법 (Marangoni drying) 과 같은 다양한 건조 기술들이 사용된다. 이러한 건조 기술들 모두는, 적절하게 유지되면 웨이퍼 표면 상에 물방울을 형성하지 않고 웨이퍼 표면의 건조를 발생시키는 몇몇 형태의 이동하는 액체/가스 인터페이스를 이용한다. 불행하게도, 상술한 건조법들 모두에 흔히 발생하듯이, 이동하는 액체/가스 인터페이스가 파괴되면, 물방울은 형성되고, 증발이 발생하여 웨이퍼 표면 상에 오염물질을 남기게 된다. 오늘날 사용되는 가장 주된 건조 기술은 스핀 린스 건조법 (SRD) 이다.
도 1a 는 SRD 처리 동안의 웨이퍼 (10) 상의 유체 이동을 도시한다. 이 건조 처리에서, 습식 웨이퍼는 회전방향 (14) 으로 높은 레이트로 회전한다. SRD 에서, 웨이퍼를 린스하기 위해 사용되는 유체는, 원심력의 사용에 의해 유체 방향 화살표 (16) 에 도시된 바와 같이 웨이퍼의 중심으로부터 웨이퍼의 외곽으로 당겨지고, 최종적으로 웨이퍼로부터 제거된다. 유체가 웨이퍼로부터 제거되는 동안, 건조 처리가 진행함에 따라 이동하는 액체/가스 인터페이스 (12) 가 웨이퍼의 중심에 생성되고 웨이퍼의 외부로 이동한다 (즉, 이동하는 액체/가스 인터페이스 (12) 에 의해 형성된 원이 점점 커진다). 도 1a 의 예에서, 이동하는 액체/가스 인터페이스 (12) 에 의해 형성된 원의 내부 영역은 유체가 없고, 이동하는 액체/가스 인터페이스 (12) 에 의해 형성된 원의 외부 영역은 유체가 존재한다. 따라서, 건조 처리가 진행함에 따라, 이동하는 액체/가스 인터페이스 (12) 의 외부 영역 (습식 영역) 은 감소하는 반면, 이동하는 액체/가스 인터페이스 (12) 의 내부 영역 (건조 영역) 은 증가한다. 기술한 바와 같이, 이동하는 액체/가스 인터페이스 (12) 가 파괴되면, 웨이퍼 상에 유체의 물방울이 형성되고 오염물질이 물방울의 증발에 의하여 발생할 수 있다. 이와 같이, 웨이퍼 표면의 오염물질을 제거하기 위하여 물방울의 형성 및 그 후의 증발이 제한되어야 하는 것은 필수적이다. 불행하게도, 현재의 건조법들은 이동 유체의 파괴를 방지함에 있어서 단지 부분적으로만 성공적이다.
또한, SRD 처리는 소수성 (疎水性) 의 웨이퍼 표면을 건조하는데 어려움이 있다. 소수성 웨이퍼 표면들은, 그러한 표면들이 물 및 수성 세정 유체를 반발하기 때문에 건조하기 어려울 수 있다. 따라서, 건조 처리가 진행하고, 세정 유체가 웨이퍼 표면으로부터 밀려짐에 따라, 잔존하는 세정 유체 (수성) 는 웨이퍼 표면에 의해 반발될 것이다. 그 결과, 수성 세정 유체는 소수성 웨이퍼 표면과 접촉하기 위한 최소한의 영역을 원할 것이다. 또한, 수성 세정 용액은 표면장력으로 인해 (즉, 수소결합의 결과로) 자체 점착하려 한다. 따라서, 소수성 상호작용 및 표면장력 때문에, 수성 세정 유체의 물방울은 소수성 웨이퍼 표면 상에 제어할 수 없게 형성된다. 이러한 물방울의 형성은 기술한 해로운 증발 및 오염을 발생시킨다. SRD의 한계는, 물방울에 작용하는 원심력이 가장 작은 웨이퍼의 중심에서 특히 심하다. 그 결과, SRD 처리가 현재 웨이퍼 건조의 가장 통상적인 방식임에도 불구하고, 이 방식은 특히 소수성 웨이퍼 표면에 사용되는 경우 웨이퍼 표면 상에 세정 유체 물방울이 형성되는 것을 감소시키기 어려울 수 있다. 웨이퍼의 임의의 부분은 상이한 소수성 특성을 가질 수 있다.
도 1b 는 예시적인 웨이퍼 건조 처리 (18) 를 도시한다. 이 예에서, 웨이퍼 (10) 의 일부 (20) 는 친수성 영역을 가지고 일부 (22) 는 소수성 영역을 가진다. 일부 (20) 는 물을 끌어 당겨서 유체 (26) 는 이 영역에 고인다. 일부 (22) 는 수소성이어서 물을 반발하고 따라서, 웨이퍼 (10) 의 그 일부 상에 웨이퍼의 박막이 형성될 수 있다. 따라서, 웨이퍼의 소수성 부분 (10) 은 흔히 친수성 부분보다 더 빨리 건조된다. 이것이 불균일한 웨이퍼 건조를 발생시키고 오염 레벨을 증가시킬 수 있고, 따라서 웨이퍼 생산량을 감소시킨다.
따라서, 웨이퍼 표면 상에 오염 침전을 감소시키는 최적화된 유체의 조작 및 웨이퍼로의 제공을 가능하게 함으로써, 종래 기술을 회피하는 방법 및 장치가 필요하다. 오늘날 흔히 발생하는 이러한 침전들이 만족할만한 웨이퍼의 생산을 감소시키고 반도체 장치 제조 비용을 증가시킨다.
일반적으로, 본 발명은, 웨이퍼 오염을 현저하게 감소시키면서 고속 유체로 웨이퍼 표면을 처리할 수 있는 기판 처리 장치를 제공함으로써 이러한 요구를 충족시킨다. 본 발명은 처리, 기기, 시스템, 장치, 또는 방법을 포함하는 다양한 방식으로 구현될 수 있음을 인식해야 한다. 본 발명의 다양한 발명적 실시형태를 이하 설명한다.
일 실시형태에서, 기판의 표면 상에 유체 메니스커스 (meniscus) 를 정의하는 유체층을 생성하는 단계를 포함하는, 기판을 처리하는 방법이 개시된다. 유체층을 생성하는 단계는, 헤드를 표면으로 근접하게 이동시키는 단계, 유체층을 정의하기 위해, 기판의 표면에 근접하게 헤드가 이동하는 동안, 헤드로부터 표면으로 유체를 제공하는 단계, 및 진공에 의해 표면으로부터 근접 헤드를 통해 유체를 제거하는 단계를 포함한다. 유체는, 헤드가 표면에 근접함에 따라 증가하는 속도로 헤드와 기판 사이의 유체층을 따라 이동한다.
다른 실시형태에서, 기판의 표면에 근접하여 이동할 수 있고, 기판의 표면 상에서, 유체 메니스커스를 정의하는 유체층을 생성할 수 있는 헤드를 포함하는, 기판을 처리하는 장치가 제공된다. 근접 헤드는, 유체층을 정의하기 위해 기판의 표면에 유체를 제공하도록 구성되는 하나 이상의 입구 및 기판의 표면으로부터 유체를 제거하도록 구성되는 하나 이상의 출구를 포함한다. 유체는, 헤드가 표면에 근접함에 따라 증가하는 속도로 헤드와 기판 사이의 유체층을 따라 이동할 수 있다.
또다른 실시형태에서, 동작시에 기판 표면에 근접하여 이동될 수 있는 헤드 및 헤드를 통해 기판 표면에 유체를 전달하기 위한 제 1 도관을 포함하는, 기판 준비 시스템이 제공된다. 시스템은, 동작시에 기판 표면 위에 유체가 유체층을 형성하게 되는 기판 표면으로부터 유체를 제거하기 위한 제 2 도관을 더 포함한다. 유체는, 헤드가 표면에 근접함에 따라 증가하는 속도로 헤드와 기판 사이의 유체층을 따라 이동한다.
본 발명의 이점은 다양하다. 특히, 여기서 설명하는 장치 및 방법은 고속 유체층을 갖는 유체 메니스커스를 사용하여, 기판에 유체를 제공하고 제거하는 최적의 조작을 포함하는 공정에 의해, 웨이퍼 표면 상에 남은 불필요한 유체 및 오염물질들을 감소시키면서, 기판을 효과적으로 처리 (예를 들어, 세정, 건조, 등) 한다. 일 실시형태에서, 유체 메니스커스를 생성하기 위해 고속 유체를 사용함으로써, 유체 메니스커스/대기 경계에 표면장력 감소 가스를 이용해야할 필요없이 유체 메니스커스를 기판/웨이퍼에 제공할 수 있다. 그 결과, 웨이퍼 처리 및 생산은 증가할 수 있고, 더 큰 웨이퍼 생산량이 효과적인 웨이퍼 처리에 의해 달성될 수 있다.
본 발명의 다른 양태 및 이점들은 본 발명의 원리를 예시의 방식으로 설명하는 첨부된 도면에 따라 이하 상세한 설명으로부터 명백해질 것이다.
본 발명은 첨부된 도면과 관련한 다음의 상세한 설명에 의해 쉽게 이해될 것이다. 설명을 용이하게 하기 위하여, 유사한 참조번호는 유사한 구조적 요소를 지정한다.
기판을 처리하는 방법 및 장치에 대한 발명이 개시된다. 다음 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다양한 특정 세부사항이 설명된다. 그러나, 본 발명이 이러한 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 자명할 것이다. 다른 예로, 본 발명을 불필요하게 모호하게 하는 것을 피하기 위해, 공지의 처리 공정은 자세히 설명하지 않았다.
본 발명은 다양한 바람직한 실시형태로 기술되는 반면, 선행하는 명세서를 이해하고 도면을 연구하는 당업자는 다양한 변형, 부가, 치환, 및 균등물을 실현할 것으로 인식된다. 따라서, 본 발명은 이러한 변형, 부가, 치환, 균등물을 발명의 진정한 사상 및 범위 내로 포함하는 것으로 의도된다.
이하의 도면들은, 고속 유체층을 사용하여 특정한 모양, 크기, 및 위치의 유체 메니스커스를 생성하는 근접 헤드를 사용하는 예시적인 웨이퍼 처리 시스템의 실시형태들을 도시한다. 일 실시형태에서, 유체 메니스커스를 생성하는데 사용되는 고속 유체는 유체 메니스커스에 의해 처리되는 웨이퍼 상에 베르누이 효과를 발생시킬 수 있다. 따라서, 유체 메니스커스에 의해 처리되는 웨이퍼 표면의 영역은, 유체 메니스커스와 접촉하지 않은 웨이퍼의 다른 영역에 비해 더 적은 힘으로 영향을 받는다. 그 결과, 이러한 힘은 근접 헤드와 유체 메니스커스 사이에 유사인력 (attractive-like force) 을 생성한다. 따라서, 이러한 결과는, 유체 메니스커스의 경계를 조작하기 위해 가스를 감소시키는 표면 장력의 사용에 대한 필요없이, 매우 조작하기 쉽고 안정적인 유체 메니스커스를 생성한다.
여기서 설명하는 기술은, 예를 들어, 건조, 에칭, 도금 (plating) 등과 같은 웨이퍼 공정(들) 타입의 임의의 적절한 조합 타입을 수행하기 위해 사용될 수 있다. 여기서 설명하는 시스템들 및 근접 헤드들은 예시적인 것이고, 하나 이상의 메니스커스의 생성 및 이동을 가능하게 하는 적절한 다른 타입의 구성들이 사용될 수 있다는 것을 인식해야 한다. 이 실시형태에서, 근접 헤드(들)은 웨이퍼의 중심 부분으로부터 웨이퍼의 가장자리로 선형 방식으로 이동할 수 있다. 근접 헤드가 웨이퍼의 한 가장자리로부터 반대쪽의 다른 가장자리로 이동하는 다른 실시형태가 사용될 수도 있고, 또는 예를 들어, 반경 이동, 원형 이동, 나선 이동, 지그재그 이동, 무작위 이동 등과 같은 다른 비선형이동이 사용될 수도 있다는 것을 인식해야 한다. 또한, 이동은 사용자에게 기대되는 임의의 적절한 특정 이동일 수도 있다. 또한, 일 실시형태에서, 웨이퍼는 회전할 수 있고, 근접 헤드는 선형 방식으로 이동하여 근접 헤드가 웨이퍼의 모든 부분을 처리 할 수도 있다. 또한, 웨이퍼가 회전하지 않고 근접 헤드가 웨이퍼의 모든 부분을 처리할 수 있는 방식으로 웨이퍼 위를 이동하도록 구성되는 다른 실시형태들도 사용될 수 있다는 것을 이해해야 한다. 또한, 여기서 설명하는 근접 헤드 및 웨이퍼 처리 시스템은, 예를 들어, 200 mm 웨이퍼, 300 mm 웨이퍼, 플랫 패널 (flat panels) 등과 같은 임의의 모양 및 크기의 기판들을 처리하도록 사용될 수 있다.
또한, 근접 헤드의 크기 및 메니스커스 (또는 실시형태에 따른 메니스커스들) 의 크기는 교대로 변할 수 있다. 일 실시형태에서, 근접 헤드의 크기 및 메니스커스 (또는 메니스커스들) 의 크기(들)가 처리되는 웨이퍼보다 클 수 있고, 다른 실시형태에서는, 근접 헤드 및 메니스커스의 크기는 처리되는 웨이퍼보다 작을 수 있다. 또한, 여기서 설명되는 메니스커스는, 예를 들어, 브러슁 (brushing), 리소그래피 (lithography), 메가소닉스 (megasonics) 등과 같은 웨이퍼 처리 기술들의 다른 형태로 사용될 수 있다. 유체 메니스커스는 근접 헤드로 지지되고 (예를 들어, 웨이퍼 위로, 웨이퍼 외부로, 웨이퍼를 횡단하여) 이동될 수 있다. 여기서 기술되는 시스템은 본질적으로 단지 예시적인 것이며, 여기서 기술되는 근접 헤드는 임의의 적절한 시스템에 사용될 수 있다.
도 2 는 본 발명의 일 실시형태에 따른 웨이퍼 처리 시스템 (100) 을 도시한다. 시스템 (100) 은, 웨이퍼 표면이 처리될 수 있도록 웨이퍼를 유지하고/유지하거나 회전시키는 롤러 (102a 및 102b) 를 포함한다. 시스템 (100) 은 또 한, 일 실시형태에서 각각 상부 암 (arm) (104a), 및 하부 암 (104b) 에 부착되는 근접 헤드 (106a 및 106b) 를 포함한다. 일 실시형태에서, 근접 헤드 (106a 및/또는 106b) 는, 이하 도 5a 내지 도 9 를 참조하여 설명되는 바와 같이 웨이퍼에 고속 유체층을 제공하도록 구성될 수 있다. 근접 헤드 (106a 및 106b) 는, 예를 들어 여기서 설명하는 임의의 근접 헤드와 같이, 유체 메니스커스를 생성할 수 있는 임의의 적절한 장치가 될 수 있다. 일 실시형태에서, 근접 헤드 (106a 및/또는 106b) 는 여기서 설명하는 임의의 적절한 근접 헤드(들)일 수 있다. 상부 암 (104a) 및 하부 암 (104b) 은, 웨이퍼의 반경을 따라서 근접 헤드 (106a 및 106b) 의 실질적인 선형이동을 가능하게 하는 집합체의 일부일 수 있다. 또다른 실시형태에서, 이 집합체는 사용자가 정의하는 임의의 적절한 이동에서 근접 헤드 (106a 및 106b) 를 이동시킬 수 있다.
일 실시형태에서 암들 (104) 은 웨이퍼에 근접하여 근접 헤드 (106a) 를 웨이퍼보다 위에, 근접 헤드 (106b) 를 웨이퍼보다 아래에 유지하도록 구성된다. 예를 들어, 예시적인 일 실시형태에서, 이것은, 상부 암 (104a) 및 하부 암 (104b) 을 수직으로 이동가능하게 하여, 근접 헤드 (106a 및 106b) 가 웨이퍼 처리를 시작하는 위치로 수평으로 이동하게 되면, 근접 헤드 (106a 및 106b) 가 웨이퍼에 근접한 위치로 수직 이동 가능하게 함으로써 달성될 수 있다. 다른 실시형태에서, 상부 암 (104a) 및 하부 암 (104b) 은 처리 전에 메니스커스가 생성되는 위치로 근접 헤드 (106a 및 106b) 를 출발시키도록 구성되어, 근접 헤드 (106a 및 106b) 사이에 이미 생성된 메니스커스가 웨이퍼 표면으로 이동하여 웨이퍼 (108) 의 가장자리 영역으로부터 처리될 수 있다. 따라서, 상부 암 (104a) 및 하부 암 (104b) 은 임의의 방식으로 구성되어, 근접 헤드 (106a 및 106b) 가 여기서 설명되는 웨이퍼 처리를 가능하게 하도록 움직일 수 있다. 또한, 근접 헤드(들)가 웨이퍼로 근접하여 이동할 수 있는 한, 시스템 (100) 은 임의의 적절한 방식으로 구성되어 메니스커스를 생성하고 제어할 수 있다는 것을 인식해야 한다. 또한, 메니스커스가 유지되는 한, 근접은 웨이퍼로부터 임의의 적절한 거리일 수 있다는 것을 이해해야 한다. 일 실시형태에서, (여기서 설명하는 임의의 다른 근접 헤드뿐만 아니라) 근접 헤드 (106a 및 106b) 는 각각 웨이퍼로부터 약 0.1 mm 내지 약 10 mm 사이에 배치되어 웨이퍼 표면 상에 유체 메니스커스를 생성할 수 있다. 바람직한 실시형태에서, (여기서 설명하는 임의의 다른 근접 헤드뿐만 아니라) 근접 헤드 (106a 및 106b) 는 웨이퍼로부터 약 5 미크론 내지 500 미크론 사이에 배치되어 웨이퍼 표면 상에 유체 메니스커스를 생성할 수 있고, 더 바람직한 실시형태에서, (여기서 설명하는 임의의 다른 근접 헤드뿐만 아니라) 근접 헤드 (106a 및 106b) 는 웨이퍼로부터 약 70 미크론 떨어져서 배치되어 웨이퍼 표면 상에 유체 메니스커스를 생성할 수 있다. 또한, 유체 메니스커스와 웨이퍼 표면 사이의 거리에 따라, 유체 메니스커스를 생성하는 유체의 속도는 달라질 수 있다.
일 실시형태에서, 시스템 (100) 및 암들 (104) 은 근접 헤드 (106a 및 106b) 가 웨이퍼의 처리된 부분으로부터 처리되지 않은 부분으로 이동할 수 있도록 구성된다. 근접 헤드 (106a 및 106b) 가 이동하여 바람직하게 웨이퍼를 처리할 수 있도록 하는 임의의 적절한 방식으로, 암들 (104) 이 이동할 수 있다는 것을 인식해야 한다. 일 실시형태에서, 암들 (104) 이 모터로 활성화되어 근접 헤드 (106a 및 106b) 는 웨이퍼의 표면을 따라 이동할 수 있다. 웨이퍼 처리 시스템 (100) 은 근접 헤드 (106a 및 106b) 로 도시되었으나, 예를 들어, 1, 2, 3, 4, 5, 6 등과 같은, 근접 헤드의 임의의 적절한 수가 사용될 수 있다는 것을 이해해야 한다. 웨이퍼 처리 시스템 (100) 의 근접 헤드 (106a 및/또는 106b) 는 또한, 예를 들어, 여기서 설명하는 임의의 근접 헤드에 의해 도시된 바와 같이 임의의 적절한 크기 또는 모양일 수 있다. 여기서 설명하는 다른 구성으로 근접 헤드와 웨이퍼 사이에 유체 메니스커스가 생성된다. 웨이퍼 표면에 유체를 제공하고 표면으로부터 유체를 제거함으로써, 유체 메니스커스는 웨이퍼를 가로질러 이동하여 웨이퍼를 처리할 수 있다. 이러한 방식으로, 웨이퍼에 제공되는 유체에 따라, 세정, 건조, 에칭 및/또는 도금이 달성될 수 있다. 따라서, 근접 헤드 (106a 및 106b) 는 여기서 설명하는 임의의 다양한 타입의 구성 및 여기서 설명하는 처리를 가능하게 하는 다른 구성을 가질 수 있다. 시스템 (100) 은 웨이퍼의 일 표면 또는 웨이퍼의 상하면 모두를 처리할 수 있다.
또한, 웨이퍼의 상면 및/또는 하면을 처리할 뿐만 아니라 시스템 (100) 은 또한, 상이한 타입의 유체들을 입력하고 출력함으로써, 또는 상이한 구성의 메니스커스를 사용함으로써, 웨이퍼의 일 면은 처리 (즉, 에칭, 세정, 건조, 도금 등) 의 일 타입으로 처리하고, 웨이퍼의 다른 면은 동일한 처리 또는 상이한 타입의 처리를 사용하여 처리할 수 있다. 근접 헤드는 또한 웨이퍼의 상면 및/또는 하면을 처리할 뿐만 아니라 웨이퍼의 사면 가장자리를 처리하도록 구성될 수 있다. 사 면 가장자리를 처리하는 웨이퍼 가장자리로부터 (또는 가장자리로) 메니스커스를 이동시킴으로써, 이것이 달성될 수 있다. 또한, 근접 헤드 (106a 및 106b) 는 동일한 타입의 장지 또는 상이한 타입의 근접 헤드일 수 있다는 것을 이해해야 한다.
바람직한 근접 헤드를 처리될 웨이퍼 (108) 의 일부분으로 접근할 수 있도록 하는 하는 배향인 한, 웨이퍼 (108) 는 임의의 적절한 배향으로 롤러 (102a 및 102b) 로 유지되거나 회전될 수 있다. 일 실시형태에서, 롤러 (102a 및 102b) 는 시계방향으로 회전하여 웨이퍼 (108) 를 반시계방향으로 회전시킬 수 있다. 롤러는, 웨이퍼의 바람직한 회전방향에 따라 시계방향 또는 반시계방향으로 회전될 수 있다는 것을 이해해야 한다. 일 실시형태에서, 롤러 (102a 및 102b) 에 의해 웨이퍼 (108) 에 전달된 회전은, 처리되지 않은 웨이퍼 영역을 근접 헤드 (106a 및 106b) 로 접근시키도록 이용된다. 그러나, 회전 그 자체는 웨이퍼를 건조시키거나 또는 웨이퍼 표면 상의 유체를 웨이퍼의 가장자리로 이동시키지 않는다. 따라서, 예시적인 웨이퍼 처리 공정에서, 웨이퍼의 처리되지 않은 영역은, 근접 헤드 (106a 및 106b) 의 선형이동 및 웨이퍼 (108) 의 회전을 통하여 근접 헤드 (106a 및 106b) 로 제공된다. 웨이퍼 처리 공정 그 자체는 하나 이상의 근접 헤드에 의해 수행되어질 것이다. 그 결과, 일 실시형태에서, 웨이퍼 (108) 의 처리된 부분들은, 처리 공정이 진행됨에 따라 웨이퍼 (108) 의 중심영역으로부터 가장자리 영역으로 나선이동으로 확장할 수 있다. 다른 실시형태에서, 근접 헤드 (106a 및 106b) 가 웨이퍼 (108) 의 주변으로부터 웨이퍼 (108) 의 중심으로 이동하는 경우, 웨이퍼 (108) 의 처리된 부분은 웨이퍼 (108) 의 가장자리 영역으로부터 웨이퍼 (108) 의 중심 영역으로 나선이동으로 확장할 수 있다.
예시적인 처리 공정에서, 근접 헤드 (106a 및 106b) 는 웨이퍼 (108) 를 건조, 세정, 에칭 및/또는 도금하도록 구성될 수 있다. 예시적인 웨이퍼 처리 실시형태에서, 하나 이상의 입구가 프로세싱 유체를 웨이퍼 표면으로 제공하도록 구성될 수 있고, 하나 이상의 출구가 진공 (또한 진공 출구로도 공지된 진공) 을 제공하여 유체를 웨이퍼와 특정 근접 헤드 사이의 영역으로부터 제거하도록 구성될 수 있다. 선택적인 실시형태에서, 부가적인 입구들이 웨이퍼 표면 상의 유체에 표면장력 감소 유체 (즉, 질소 가스 내의 이소프로필 알코올 증기) 를 제공하도록 사용될 수 있다. 건조 공정의 일 실시형태에서, 하나 이상의 입구가 웨이퍼 표면에 탈이온수를 제공할 수 있다.
예시적인 세정 실시형태에서, 세정액은 DIW 로 대체될 수 있다. 예시적인 에칭 실시형태는 부식액이 DIW 로 대체되어 실시될 수 있다. 부가적인 실시형태에서, 도금은 여기서 설명한 바와 같이, 프로세싱 유체, 및 도금을 위해 구성된 근접 헤드의 구성을 사용하여 달성될 수 있다. 또한, 바람직한 처리 공정에 따라, 다른 타입의 용액들이 웨이퍼 표면 상의 유체 메니스커스로 입력되고, 유체 메니스커스로부터 제거될 수 있다.
여기서 설명한 바와 같이 안정된 메니스커스가 사용될 수 있는 한, 근접 헤드의 일면에 배치된 입구들 및 출구들은 임의의 적절한 구성일 수 있는 것을 인식해야 한다. 일 실시형태에서, 하나 이상의 입구는 하나 이상의 진공 출구에 인접하여, 하나 이상의 출구가 하나 이상의 입구를 적어도 부분적으로 둘러싼 프로세싱 유체-진공 배향을 형성할 수 있다. 다른 타입의 배향들이 바람직한 웨이퍼 처리에 따라 사용될 수 있고, 모든 타입의 웨이퍼 처리 메카니즘이 향상되도록 추구된다는 것을 인식해야 한다. 바람직한 실시형태에서, 진공-프로세싱 유체 배향이 근접 헤드와 웨이퍼 사이에 배치된 메니스커스를 강력하게 생성, 제어, 이동시켜서 웨이퍼를 처리하도록 사용될 수 있다. 이러한 배향이 유지된다면, 프로세싱 유체 입구 및 진공 출구는 임의의 적절한 방식으로 배열될 수 있다. 예를 들어, 부가적인 실시형태에서, 진공 출구 및 프로세싱 유체 입구뿐만 아니라, 바람직한 근접 헤드의 구성에 따라 프로세싱 유체 입구들 및/또는 진공 출구들의 부가적인 세트들이 존재할 수 있다. 진공-프로세싱 유체 배향의 정밀한 구성은 애플리케이션에 따라 변화될 수 있다. 예를 들어, 진공 출구와 프로세싱 유체 입구 사이의 거리는, 그 거리가 일정하거나 또는 일정하지 않도록 변화될 수 있다. 또한, 진공 출구와 프로세싱 유체 입구 사이의 거리는, 근접 헤드 (106a) 의 크기, 모양, 및 구성과 처리 메니스커스의 바람직한 크기 (즉, 메니스커스 모양 및 크기) 에 따라 그 크기가 다를 수 있다.
선택적인 실시형태에서, 하나 이상의 N2/IPA 증기 입구가, 교대로 하나 이상의 프로세싱 유체 입구에 인접하여 IPA-진공-프로세싱 유체 배향을 형성하는 하나 이상의 진공 출구에 인접할 수 있다.
일 실시형태에서, 근접 헤드 (106a 및 106b) 는 각각 웨이퍼 (108) 의 상면 및 하면에 근접하여 배치될 수 있고, 하나 이상의 입구 및 하나 이상의 출구를 이 용하여 웨이퍼 (108) 에 접촉하는, 웨이퍼 (108) 의 상면 및 하면을 처리할 수 있는 웨이퍼 처리 메니스커스를 생성할 수 있다. 프로세싱 유체가 웨이퍼 표면에 제공되는 것과 실질적으로 동시에, 진공이 웨이퍼 표면에 근접하여 제공되는 프로세싱 유체 및 몇몇 주위의 대기 및/또는 웨이퍼 표면 상에 존재할 수 있는 유체들을 제거할 수 있다. 근접 헤드와 웨이퍼 사이의 영역 내의 프로세싱 유체의 일부는 메니스커스이다. 여기서, "출력" 은 웨이퍼 (108) 와 특정한 근접 헤드 사이의 영역으로부터 유체를 제거하는 것일 수 있고, "입력" 은 웨이퍼 (108) 와 특정 근접 헤드 사이의 영역으로 유체가 유입되는 것일 수 있다.
도 3 은 본 발명의 일 실시형태에 따른, 웨이퍼 처리 공정을 수행하는 근접 헤드 (106) 를 도시한다. 도 3 내지 도 4b 는 IPA 제공을 사용하여 유체 메니스커스를 생성하는 방법을 도시한다. 도 5a 내지 도 9 는 IPA 제공 없이 유체 메니스커스를 생성하는 방법 및 장치를 도시한다. 일 실시형태에서, 근접 헤드 (106) 는 웨이퍼 (108) 의 상면 (108a) 으로 근접하게 이동하여 웨이퍼 처리 공정을 수행한다. 근접 헤드 (106) 는 웨이퍼 (108) 의 하면 (108b) 을 처리 (예를 들어, 세정, 건조, 도금, 에칭 등) 하도록 사용될 수도 있다. 일 실시형태에서, 상면 (108a) 이 처리되는 동안, 웨이퍼 (108) 가 회전하여 근접 헤드 (106) 는 헤드 이동을 따라 선형 방식으로 이동할 수 있다. 입구 (302) 를 통해 IPA (310) 를 제공하고, 출구 (304) 를 통해 진공 (312) 을 제공하고, 입구 (306) 를 통해 프로세싱 유체를 제공하여 메니스커스 (116) 가 생성된다. 도 3 에 도시된 바와 같은 입구들/출구들의 배향은 본질적으로 단지 예시적인 것이고, 여기서 설명하는 다른 구성들과 같이, 안정된 유체 메니스커스를 생성할 수 있는 임의의 적절한 입구들/출구들 배향이 사용될 수 있다는 것을 인식해야 한다. 일 실시형태에서, 고속 유체층을 가진 메니스커스 (116) 가 생성될 수 있다. 따라서, 도 6a 를 참조하여 더 설명하면, 베르누이 효과가 웨이퍼 (108) 상에 발생되어, 유체 메니스커스 (116) 의 경계에 표면장력 감소 유체 (예를 들어, 가스, 재료, 액체 등) 를 제공할 필요성을 감소시키거나 제거한다.
도 4 는 본 발명의 일 실시형태에 따른 근접 헤드 (106a) 에 의해 실시되는 웨이퍼 처리 공정을 도시한다. IPA 의 제공은 부가적인 것이고, 도 6a 를 참조하여 설명되는 바람직한 실시형태에서, 유체 메니스커스 (116) 는 IPA 의 제공없이 생성될 수 있다는 것을 인식해야 한다. 도 4 가 처리되는 상면 (108a) 을 도시함에도 불구하고, 웨이퍼 처리는 웨이퍼 (108) 의 하면 (108b) 에 대해서도 실질적으로 동일한 방식으로 달성될 수 있다는 것을 인식해야 한다. 일 실시형태에서, 입구 (302) 는 웨이퍼 (108) 의 상면 (108a) 으로 IPA 를 제공하도록 사용될 수 있고, 입구 (306) 는 웨이퍼 (108) 의 상면 (108a) 으로 프로세싱 유체를 제공하도록 사용될 수 있다. 또한, 출구 (204) 는 웨이퍼 표면에 근접한 영역에 진공을 제공하여 상면 (108a) 상에 또는 근처에 배치될 수 있는 유체 또는 증기를 제거하도록 사용될 수 있다. 이상 설명한 바와 같이, 메니스커스 (116) 가 형성되는 한, 입구들 및 출구들의 임의의 적절한 조합이 사용될 수 있음을 인식해야 한다. IPA 는, 예를 들어, N2 가스를 사용하여 증기 형태의 IPA 가 입력될 수 있는 IPA 증기와 같이 임의의 적절한 형태일 수 있다. 또한, 웨이퍼 처리를 가능하게 하거나 향상시킬 수 있는, 웨이퍼를 처리하는데 사용되는 임의의 적절한 유체 (예를 들어, 세정 유체, 건조 유체, 에칭 유체, 도금 유체 등) 가 사용될 수 있다. 일 실시형태에서, IPA 유입 (310) 이 입구 (302) 를 통해 제공되고, 진공 (312) 이 출구 (304) 를 통해 제공되고, 프로세싱 유체 유입 (314) 이 입구 (306) 를 통해 제공된다. 그 결과, 유체막이 웨이퍼 (108) 상에 존재하면, IPA 유입 (310) 에 의해 제 1 유체압이 웨이퍼 표면에 제공되고, 프로세싱 유체 유입 (314) 에 의해 제 2 유체압이 웨이퍼 표면에 제공되고, 웨이퍼 표면 상의 프로세싱 유체, IPA 및 유체막을 제거하기 위한 진공 (312) 에 의해 제 3 유체압이 제공될 수 있다.
따라서, 웨이퍼 처리의 일 실시형태에서, 프로세싱 유체 유입 (314) 및 IPA 유입 (310) 이 웨이퍼 표면으로 제공됨에 따라, 웨이퍼 표면 상의 유체 (존재한다면) 는 프로세싱 유체 (314) 와 혼합된다. 이 때, 웨이퍼 표면으로 제공되는 프로세싱 유체 유입 (314) 은 IPA 유입 (310) 과 만난다. IPA 는 프로세싱 유체 유입 (314) 으로 인터페이스 (IPA/프로세싱 유체 인터페이스로도 공지된 인터페이스) (118) 를 형성하고, 진공 (312) 을 따라, 웨이퍼 (108) 의 표면으로부터 임의의 다른 유체에 따르는 프로세싱 유체 유입 (314) 의 제거를 돕는다. 일 실시형태에서, IPA/프로세싱 유체 인터페이스 (118) 는 프로세싱 유체의 표면장력을 감소시킨다. 동작시에, 프로세싱 유체는 웨이퍼 표면으로 제공되고, 출구 (304) 에 제공되는 진공에 의해 웨이퍼 표면 상의 유체를 따라 거의 즉시 제거된다. 웨이퍼 표면에 제공되고 웨이퍼 표면 상의 임의의 유체를 따라 일시적으로 근접 헤드와 웨이퍼 표면 사이의 영역에 존재하는 처리는, 그 경계가 IPA/프로세싱 유체 인터페이스 (118) 인 메니스커스 (116) 를 형성한다. 따라서, 메니스커스 (116) 는, 표면으로 제공되고 웨이퍼 표면 상의 임의의 유체와 실질적으로 거의 동시에 제거되는 유체의 일정 흐름이다. 웨이퍼 표면으로부터 프로세싱 유체를 거의 즉시 제거하는 것은 웨이퍼 표면의 영역 상에 유체 물방울의 형성이 건조되는 것을 방지하여, 프로세싱 유체가 공정 (예를 들어, 에칭, 세정, 건조, 도금 등) 에 따른 그 목적을 달성한 후에 웨이퍼 (108) 상의 오염 가능성을 감소시킨다. IPA의 하향주입의 압력 (IPA의 유속에 의해 발생하는 압력) 또한 메니스커스 (116) 를 포함하는 것을 돕는다.
IPA를 포함하는 N2 캐리어 가스의 유속은, 근접 헤드와 웨이퍼 표면 사이의 영역으로부터, 및 유체가 근접 헤드로부터 출력될 수 있는 출력 (304) (진공 출구) 으로 프로세싱 유체 흐름의 시프트 또는 푸시를 발생시키도록 보조할 수 있다. 프로세싱 유체 흐름의 푸시는 공정 필수조건은 아니며, 메니스커스 경계 제어를 최적화하는데 사용될 수 있다. 따라서, IPA 및 프로세싱 유체는 출력 (304) 으로 당겨짐에 따라, 가스 (예를 들어, 공기) 는 유체를 따라 출력 (304) 으로 당겨지기 때문에, IPA/프로세싱 유체 인터페이스 (118) 를 형성하는 경계는 연속적인 경계가 아니다. 일 실시형태에서, 출력 (304) 으로부터의 진공이 프로세싱 유체, IPA 및 웨이퍼 표면 상의 유체를 당김으로써, 출력 (304) 으로의 흐름은 불연속이다. 이 흐름 불연속은, 진공이 유체 및 가스의 조합에 제공될 경우, 스트로를 통해 당겨지는 유체 및 가스와 유사하다. 그 결과, 근접 헤드 (106a) 가 이동함에 따라, 메니스커스는 근접 헤드를 따라 이동하고, 메니스커스에 의해 선점된 영역은, IPA/프로세싱 유체 또는 프로세싱 유체 인터페이스 (118) 의 이동에 기인하여 건조된다. 장치의 구성 및 바람직한 메니스커스의 크기 및 모양에 따라 임의의 적절한 수의 입구 (302), 출구 (304) 및 입구 (306) 가 이용될 수 있다. 다른 실시형태에서, 진공 출구로의 전체 액체 흐름이 연속이고, 진공 출구로 흐르는 가스가 없도록 유체 유속 및 진공 유속이 구성될 수 있다. 메니스커스 (116) 를 형성하는 고속 유체층이 유지될 수 있는 한, 임의의 적절한 유속이 프로세싱 유체 및 진공에 대해 사용될 수 있다는 것을 인식해야 한다. 유체 메니스커스 (116) 가 웨이퍼 표면 상에서 베르누이 타입의 효과를 유지할 수 있는 한, 유체들의 유속은 근접 헤드의 크기에 따라 변할 수 있다는 것을 이해해야 한다. 이용될 수 있는 특정 유속을 이하 도 6a 를 참조하여 더 상세히 설명한다. 일 실시형태에서, 더 큰 헤드는 더 작은 근접 헤드보다 유체 흐름의 더 큰 속도를 가질 수 있다. 일 실시형태에서, 이것은, 더 큰 근접 헤드는 더 많은 입구들 (306) 및 출구들 (304) 을 가지기 때문에 발생할 수 있다.
임의의 적절한 타입의 웨이퍼 처리 공정은, 이용되는 프로세싱 유체에 따른 메니스커스를 사용하여 수행될 수 있다는 것을 인식해야 한다. 예를 들어, SC-1, SC-2 등과 같은 세정 유체가 프로세싱 유체로 사용되어 웨이퍼 세정 공정을 발생시킬 수 있다. 유사한 방식으로, 상이한 유체들이 이용되고 유사한 입구 및 출구 구조가 이용되어 웨이퍼 처리 메니스커스가 또한 웨이퍼를 에칭하고/에칭하거나 도금할 수 있다. 일 실시형태에서, 예를 들어, HF, EKC 포함 용액, KOH 등과 같은 에칭 유체가 웨이퍼를 에칭하는데 이용될 수 있다. 다른 실시형태에 서, 예를 들어, Cu 황산염, Au 크롤라이드, Ag 황산염 등과 같은 도금 유체가 전기입력과 함께 제공될 수 있다.
도 5a 내지 도 9 는, 웨이퍼에 프로세싱 유체를 제공하고 하나 이상의 출구를 통해 프로세싱 유체를 제거하는 하나 이상의 입구를 가지는 근접 헤드 (106) 의 실시형태를 도시한다. 도 5a 내지 도 9 를 참조하여 설명하는 바람직한 실시형태는 IPA 제공의 필요없이 안정적이고 유동적인 유체 메니스커스를 생성할 수 있다. 예시적인 일 실시형태에서, 근접 헤드는 하나 이상의 입구를 통해 웨이퍼 표면 상에 고속 유체층을 제공하고 하나 이상의 출구를 통해 고속 유체층으로부터 유체를 제거함으로써, 유체 메니스커스를 발생시킬 수 있다. 근접 헤드와 웨이퍼 표면 사이에 고속 유체층을 제공하여, 베르누이 타입의 효과가 발생되어 유체 메니스커스와 접촉하는 웨이퍼의 영역 상에 낮은 다운포스 영역이 생성될 수 있다. 일 실시형태에서, 웨이퍼 표면에 유체를 제공하여, 출구로부터의 진공 및 근접 헤드와 웨이퍼 표면 사이의 작은 갭의 조합이 고속 유체층을 형성하는 유체의 더 빠른 속도를 발생시킬 수 있다. 따라서, 유체 메니스커스의 유체는, 유체를 제거하는 진공 및 근접 헤드와 기판 표면 사이의 작은 갭을 이동하는 유체에 의해 고속이 생성되는 웨이퍼 표면 위를 고속으로 이동한다. 근접 헤드와 웨이퍼 표면 사이의 갭이 더 작아짐에 따라, 고속 유체층을 형성하는 유체의 속도는 증가한다. 그 결과, 평형 거리가 웨이퍼 표면과 근접 헤드의 처리 표면 사이에 형성될 때까지, 낮은 다운포스 영역이 근접 헤드와 웨이퍼를 서로 당기게할 수 있다. 이렇게 생성된 유체 메니스커스는, 웨이퍼 표면 상에 매우 감소된 레벨의 오염을 남기 는 반면 유체 물방울을 남기지 않고, 최적화된 방식으로 웨이퍼의 표면으로 유체를 제공하고 제거할 수 있다.
도 5a 는 본 발명의 일 실시형태에 따른 근접 헤드 (106') 의 평면도를 도시한다. 근접 헤드 (106') 는, 복수의 입구들 (306) 및 복수의 출구들 (304) 을 가진영역을 포함하는 처리 영역 (320) 을 포함한다. 일 실시형태에서, 복수의 입구들 (306) 은, 웨이퍼 표면 상에 고속의 유체층을 생성할 수 있는 속도로 웨이퍼 표면으로 프로세싱 유체를 제공할 수 있도록 구성된다. 일 실시형태에서, 복수의 출구들 (304) 은 유체 메니스커스의 경계로 진공을 제공하고, 복수의 입구들 (306) 에 의해 입력된 유체 메니스커스로부터 유체의 일부를 제거한다. 도 6a 를 참조하여 더 상세히 설명될 바와 같이, 웨이퍼 표면 상의 고속 유체는, 이용될 프로세싱 유체에 따라 임의의 적절한 타입의 웨이퍼 표면 처리를 생성할 수 있는, 웨이퍼 표면 상의 유체 메니스커스이다. 또한, 근접 헤드 (106') 가 웨이퍼 (108) 의 위에 있는 실시형태에서, 표면장력 및 베르누이 타입의 효과를 통한 고속 유체층은 처리 영역 아래 위치에 유지되어 유체 메니스커스를 생성한다. 따라서, 유체 메니스커스 내를 이동하는 유체는 매우 빠르게 이동하기 때문에, 근접 헤드와 웨이퍼 (108) 사이에는 낮은 압력이 존재하고, 이 낮은 압력은 유체 베어링으로 작동하는 유체 메니스커스에 의해 웨이퍼 (108) 의 근접 헤드로의 부착을 발생시킨다. 또한, 안정되고 매우 얇은 유체 메니스커스의 생성은, 예를 들어 IPA 증기와 같은 표면장력 감소 유체를 제공하기 위한 다른 소스출구에 대한 필요를 제거한다.
도 5b 는 본 발명의 일 실시형태에 따른 근접 헤드 (106') 의 사시도를 도시한다. 일 실시형태에서, 근접 헤드 (106') 는 실질적으로 복수의 출구들 (304) 로 둘러싸인 한 열의 입구들 (306) 을 포함한다. 따라서, 프로세싱 유체가 입구들 (306) 의 열로부터 웨이퍼 표면 상으로 제공됨으로써, 고속의 유체는 웨이퍼 표면 상에 층을 형성하여 베르누이 타입의 효과를 발생시킨다. 고속 유체층을 가진 유체 메니스커스가 생성될 수 있는 한, 근접 헤드 (106') 는 임의의 적절한 크기 및 모양을 가질 수 있음을 인식해야 한다. 일 실시형태에서, 근접 헤드 (106') 는 웨이퍼보다 작을 수 있고, 처리 공정 중에 웨이퍼 상으로 스캔될 수 있다. 일 실시형태에서, 근접 헤드 (106') 는 웨이퍼의 지름보다 긴 길이를 가질 수 있다. 이러한 실시형태에서, 도 7 을 참조하여 설명하려는 바와 같이, 근접 헤드 (106) 는 웨이퍼 위를 스캐닝함으로써 웨이퍼의 전체 표면을 처리할 수 있다. 또한, 근접 헤드 (106') 는 플라스틱, 사파이어, 석영, 금속과 같은 임의의 적절한 재료로부터 형성될 수 있다. 또한, 근접 헤드 (106') 는 복합적인 재료들로부터 형성될 수 있다. 일 실시형태에서, 이하 도 9 를 참조하여 설명될 것처럼, 근접 헤드 (106') 의 처리 영역은, 예를 들어 사파이어와 같은 매우 구조적으로 및 화학적으로 안정된 재표로부터 형성될 수 있고, 근접 헤드의 잔여부는 플라스틱으로 형성될 수 있다.
도 6a 는 본 발명의 일 실시형태에 따른, 고속 유체층으로 웨이퍼 표면 상에 유체 메니스커스 (116) 를 생성하는 근접 헤드 (106') 의 측면도를 도시한다. 프로세싱 유체 제공 (336) 에 도시된 바와 같이, 근접 헤드 (106') 는 입구들 (306) 을 통해 웨이퍼 표면에 프로세싱 유체를 제공한다. 프로세싱 유체는 고속으로 제공되어, 본질적으로 유체 메니스커스 (116) 가 되는 고속 유체층이 형성된다. 고속 유체층의 이동이 화살표 (344) 에 의해 표시되어 있다. 웨이퍼 표면에 제공된 프로세싱 유체는 출구들 (304) 을 통해 진공 (334) 에 의해 제거된다.
다른 실시형태에서, 진공 (334) 은 소스 출구들 (304) 중 하나에서만 사용될 수 있다. 단위시간당 입구들 (306) 을 통해 제공되는 프로세싱 유체의 부피는 실질적으로 단위시간당 출구들 (304) 을 통해 제거되는 프로세싱 유체와 동일하다는 것을 인식해야 한다. 본질적으로, 일 실시형태에서 유체 메니스커스 (116) 가 근접 헤드 (106') 와 웨이퍼 (108) 사이에 설정되면, 소스 입구 (306) 를 통한 유체 유입 및 소스 출구 (304) 를 통한 유체 유출의 일정한 상태가 메니스커스를 안정하게 유지할 수 있다. 따라서, 출구들 (304) 을 통해 제공되는 진공 및 입구들 (306) 을 통해 제공되는 처리의 유속이 조화되어 단위시간당 유체 메니스커스 (116) 로부터 제거되는 유체의 양과 실질적으로 동일한 유체의 양이 단위시간당 유체 메니스커스 (116) 로 입력된다.
방향 (344) 에서의 유체의 유속은 높이 (예를 들어, 근접 헤드 (106') 와 웨이퍼 (108) 사이의 공간의 높이) 가 곱해진 유체의 속도에 비례한다. 따라서, 소스 입구들 (306) 로의 유속의 증가 및 소스 출구들 (304) 로부터의 유체 제거의 증가에 의해, 고속의 유체가 근접 헤드 (106') 과 웨이퍼 (108) 사이에 생성되어 유체 속도에 따라 변화하는 높이를 얻을 수 있다. 또한, 높이는 임의의 지점에 설정되고 흐름은 일정하게 유지되어, 유속을 증가시켜 웨이퍼 (108) 와 근접 헤드 (106') 사이의 낮은 압력의 영역을 생성할 수 있다. 따라서, 유체의 속도가 더 커질수록 높이는 더 낮아진다. 따라서, 근접 헤드와 웨이퍼 표면 사이의 갭이 작아질수록, 웨이퍼 표면 상의 유체의 속도는 더 커져서 유속은 일정하게 유지된다. 따라서, 일 실시형태에서, 입구들 (306) 과 출구들 (304) 상의 영역에서, 유체는 고속 유체 영역 (348) 을 고속으로 이동한다. 그 결과, 웨이퍼 표면 위에 유체 미니스커스 (116) 에 의해 처리되는 낮은 압력의 영역이 생성된다. 주위의 높은 압력의 영역에 대해 낮은 압력의 영역은 근접 헤드 (106') 와 웨이퍼 (108) 사이에서 인력을 발생시킨다.
베르누이 타입의 효과는 고속 유체층 및 표면장력에 의해 발생됨에도 불구하고, 유체 메니스커스 (116) 는, 메니스커스를 악화시키지 않으면서, 매우 안정될 수 있고 웨이퍼 표면을 가로질러 이동할 수 있다. 또한, 웨이퍼 표면 상에 생성된 베르누이 타입 효과는 근접 헤드 (106') 와 웨이퍼 (108) 사이에, 근접 헤드 (106) 를 웨이퍼 (108) 에 근접하게 유지시키는 힘 (346) 을 생성한다. 따라서, 일 실시형태에서, 유체 메니스커스 (116) 내에 있는 유체의 속도에 따라, 근접 헤드 (116) 와 웨이퍼 (108) 사이의 거리 (382) 는 변화할 수 있다.
특정한 경로 내의 특정한 유속은 상이한 타입의 저압력 지역을 생성할 수 있다. 따라서, 유체를 더 빠른 유속으로 유체 메니스커스 (116) 내로 제공하여, 저압력의 영역은 더 낮은 압력의 영역으로 되고, 따라서 웨이퍼 (108) 와 근접 헤드 (106') 사이의 인력은 증가한다. 따라서, 유체 메니스커스 (116) 내의 유속 을 제어함으로써, 웨이퍼 (108) 와 근접 헤드 사이의 저압력의 양은 관리될 수 있다. 그 결과, 유체를 특정한 속도로 유체 메니스커스 (116) 를 통해 제공하여, 특정 레벨의 저압력이 획득될 수 있다. 그 결과, 전면 (유체 메니스커스를 가진 면) 상의 압력이 낮아지지 않고, 웨이퍼의 후면에 대한 압력은 일정하게 유지될 수 있다. 따라서, 웨이퍼 (108) 의 후면 상의 압력은 웨이퍼를 근접 헤드 (106') 에 반발하는 방향으로 푸시한다.
저압력의 양은 근접 헤드 (106') 와 유체 메니스커스 (116) 사이의 인력의 양과 연관되기 때문에, 근접 헤드 (106) 와 웨이퍼 (108) 사이의 공간은 유속에 의해 변화할 수 있다. 다른 실시형태에서, 거리 (382) 는 고정될 수 있고, 방향 (344) 내의 최적 처리 유속은 최적 유체 메니스커스 점착을 생성하도록 이용될 수 있다.
또한, 유체 메니스커스 (116) 를 생성하기 위해 고속 유체를 제공하여, 고속 유체에 의해 생성된 저압력 영역은 웨이퍼 (108) 를 이동시키고, 웨이퍼 (108) 를 배치하고, 웨이퍼 (108) 를 정렬할 수 있다.
또한, 높이를 매우 짧은 거리로 감소시킴으로써, 유량은 작아지고 여전히 빠른 속도의 유체를 획득할 수 있다. 따라서, 더 적은 양의 유체가 웨이퍼 처리에 사용될 수 있다. 이것이 웨이퍼 처리 비용을 절감하고 웨이퍼 처리 공정을 향상시킬 수 있다. 또한, 근접 헤드 (106') 는 임의의 적절한 타입의 유체를 사용하여 유체 메니스커스 (116) 를 생성할 수 있다는 것을 인식해야 한다. 그 결과, 이용되는 유체에 따라, 예를 들어, 에칭, 세정, 린싱, 건조 등과 같은 임의 의 적절한 타입의 웨이퍼 처리 공정이 수행될 수 있다. 또한, 유체 메니스커스 (116) 는 근접 헤드 (106') 의 처리 표면 상의 도관 구조에 따라 건식 또는 습식 표면을 처리할 수 있다.
따라서, 바람직한 웨이퍼 처리 공정에 따라, 고속 유체층을 가진 유체 메니스커스 (116) 가 근접 헤드 (106) 와 웨이퍼 (108) 사이에 생성될 수 있는 한, 거리 (382) 는 임의의 적절한 거리일 수 있다. 일 실시형태에서,거리 (382) 는 약 5 미크론 내지 약 500 미크론 사이이다. 다른 실시형태에서, 거리 (382) 는 약 50 미크론 내지 약 200 미크론일 수 있고, 반면 바람직한 실시형태에서, 거리 (382) 는 약 70 미크론일 수 있다. 또한, 입구와 출구 사이를 이동할때 유체의 속도는 5 cm/초 내지 200 cm/초일 수 있다. 다른 실시형태에서, 근접 헤드는, 유체를 웨이퍼 표면을 따라 입구로부터 출구까지 10 cm/초 내지 100 cm/초의 속도로 이동시킬 수 있다.
유체의 유량 및 높이 (예를 들어, 근접 헤드 (106') 와 웨이퍼 (108) 사이의 거리) 는 이하 표 1에 나타난 관계식을 가진다.
표 1
속도 = 유량/2(LH)
표 1에 나타난 식에서, L은 메니스커스 (116) 의 길이이고, H 는 유체 메니스커스의 높이이다. 바람직한 웨이퍼 처리 공정에 따라 유체 메니스커스 (116) 는 임의의 적절한 치수일 수 있다는 것을 인식해야 한다. 유체 메니스커스 (116) 에 대한 다음 치수들은 단지 예시의 목적으로 이용되는 것이고, 유체 메니스커스를 그러한 치수로 제한하도록 이해되어서는 안된다. 일 실시형태에서, 유체 메니스커스 (116) 는 50 mm의 길이 및 약 0.25 인치의 폭을 가질 수 있다. 표 1에 나타난 식을 이용하여, 높이 H 가 1.5mm 이면, 1000 ml/분의 유량에서 10 cm/초의 속도가 얻어지고, 100 ml/분의 유량에서 1 cm/초의 속도가 얻어진다. 다른 예에서, 유체 메니스커스의 높이 (예를 들어, 근접 헤드 (106) 와 웨이퍼 (108) 사이의 갭) 가 약 0.005cm 이면, 1 L/분의 유량에서 167 cm/초의 속도가 얻어지고, 500 ml/분의 유량에 대해 83 cm/초의 속도가 얻어지고, 100 ml/분의 유량에 대해 17 cm/초의 속도가 얻어진다. 예시적인 계산은 단지 예시적인 목적으로 수행되었고, 유체 메니스커스 (116) 의 치수에 따라 이상의 계산은 변할 수 있다는 것을 인식해야 한다.
도 6b 는 본 발명의 일 실시형태에 따른, 웨이퍼 (108) 의 평면에 대해 편향된 근접 헤드 (106') 를 도시한다. 일 실시형태에서, 구성 및 바람직한 처리 공정에 따라 근접 헤드 (106') 및 웨이퍼 (108) 사이의 거리가 변할 수 있는 각도 (θ452) 로 근접 헤드 (106') 가 편향된다. 유체 메니스커스 (116) 가 웨이퍼 표면 상에 형성될 수 있는 한, 각도 (θ452) 는 임의의 적절한 각도일 수 있다. 일 실시형태에서, 각도 (θ452) 는 0 내지 2 도 (degree) 일 수 있다. 다른 실시형태에서, 각도 (θ452) 는 2 내지 10 도일 수 있고, 바람직한 실시형태에서 각도 (θ452) 는 약 0.3 도일 수 있다.
각도 (θ452) 를 가짐으로써, 메니스커스 (116) 의 모양은 변화할 수 있다. 일 실시형태에서, 각도 (θ452) 를 포함함으로써, 근접 헤드 (106') 는 특정한 방향으로 작동하도록 최적화될 수 있다. 예를 들어, 도 6b 에서 나타난 바와 같이, 근접 헤드 (106') 의 우측이 근접 헤드 (106') 의 좌측보다 더 올려진다. 따라서, 근접 헤드 (106') 에 의해 생성된 유체 메니스커스 (316) 는 좌측보다 우측에서 더 큰 높이를 가진다. 이러한 실시형태에서, 유체 메니스커스 (316) 는 좌측으로의 최적화 이동 패턴을 가질 수 있고 (예를 들어, 유체 메니스커스 (316) 의 더 얇은 말단이 선도하는 말단이 된다), 처리될 웨이퍼 표면은 유체 메니스커스 (316) 의 좌측을 우선 만나게 될 것이다. 이 경우, 유체 메니스커스 (316) 의 우측은 처리된 영역을 마지막으로 벗어나게 된다.
도시된 바와 같이 유체 메니스커스 (316) 의 우측 경계 (374) 에서의 유체-공기 상호작용때문에, 남겨진 웨이퍼 표면의 처리된 영역은, 유체 메니스커스 (316) 의 우측이 처리된 영역에 접촉하는 마지막인 경우에 더 효과적으로 건조된다. 또한, 도 6b 에 도시된 구성에서, 유체 메니스커스 (316) 의 선도하는 말단이 유체층의 더 얇은 층을 가진 측인 경우, 근접 헤드 (106') 가 더 빠르게 이동될 수 있어서 임의의 시간범위 내에서 더 많은 웨이퍼 영역이 처리될 수 있다. 일 실시형태에서, 웨이퍼 (108) 는 고정되어 유지될 수 있어서, 근접 헤드 (106') 와 웨이퍼 (108) 의 어떠한 부분 사이의 거리도 변하지 않는다. 이러한 방식에서, 처리 환경은 일정하게 유지될 것이다.
도 7 은 본 발명의 일 실시형태에 따른, 웨이퍼 (108) 의 지름을 넘어서 연장된 근접 헤드 (106") 를 도시한다. 일 실시형태에서, 근접 헤드 (106") 는 웨이퍼 (108) 의 일 말단으로부터 출발하고 웨이퍼 (108) 의 지름을 따라 방향 (502) 으로 이동하여 웨이퍼 (108) 를 처리할 수 있다. 일 실시형태에서, 근접 헤드는 웨이퍼의 위 또는 아래에 존재할 수 있어서 유체 메니스커스 (116) 는 근접 헤드 사이에서 형성될 수 있다.
도 8 은 본 발명의 일 실시형태에 따른, 웨이퍼 (108) 상에서 작동하고 있는 근접 헤드 (106a" 및 106b") 를 도시한다. 근접 헤드 (106a" 및 106b") 의 일 실시형태에서, 근접 헤드 (106a" 및 106b") 의 입구 (306) 는 근접 헤드 (106a" 및 106b") 사이에 프로세싱 유체 제공을 통해 고속 유체층을 생성한다. 거리 (346) 는 근접 헤드 (106a" 및 106b") 를 분리할 수 있고, 따라서, 유체 메니스커스는 거리 (346) 만큼의 높이로 생성될 수 있다. 고속 유체층은 근접 헤드 (106a" 및 106b") 사이에 저압력 영역을 생성할 수 있고 따라서, 근접 헤드 (106a" 및 106b") 사이에 인력을 생성할 수 있다. 따라서, 근접 헤드 (106a") 에 의해 생성된 유체 메니스커스는, 유체 메니스커스를 형성하는 유체의 속도에 따라 매우 얇을 수 있다. 근접 헤드 (106a" 및 106b") 사이에 형성된 저압력때문에, 유체 메니스커스는 매우 안정적이고 이동가능하다. 또한, 이동중인 생성된 유체 메니스커스는 물방울을 남기지 않고 처리된 표면을 건조하게 남겨두며, 따라서 오염없이 웨이퍼 처리 공정을 향상시킨다.
도 9 는 본 발명의 일 실시형태에 따른, 제 1 피스 (piece) 및 제 2 피스를 가진 근접 헤드 (106"') 를 도시한다. 일 실시형태에서, 근접 헤드 (106"') 는 웨이퍼 (108) 에 근접하도록 구성되는 제 1 피스 (600) 및 제 1 피스 (600) 를 둘러쌀 수 있는 제 2 피스 (602) 를 포함한다. 이러한 실시형태에서, 제 1 피스 (600) 는 예를 들어, 사파이어와 같은 조밀한 공차 능력을 가진 재료일 수 있다. 제 2 피스 (602) 는 예를 들어, 플라스틱, PET 등과 같은 구성하기 더 용이한 재료일 수 있다. 제 1 피스 및 제 2 피스는 함께 볼트로 조여지거나 함께 접착제로 접착될 수 있다. 일 실시형태에서, 제 1 피스 (600) 및 제 2 피스 (602) 는, 제 1 피스 (600) 가 제 2 피스 (602) 보다 웨이퍼 (108) 에 더 근접하도록 구성될 수 있다. 이러한 방식에서, 큰 치수 공차를 가진 근접 헤드 (106"') 의 부분이 유체 메니스커스 (116) 가 생성되는 처리 영역에 배치될 수 있다.
일 실시형태에서, 근접 헤드 (106"') 는 하나 이상의 소스 입구 (306) 및 하나 이상의 소스 출구 (304) 를 포함할 수 있다. 하나 이상의 소스 입구 (306) 는 웨이퍼 (108) 의 표면에 프로세싱 유체를 제공할 수 있다. 유체는, 웨이퍼의 표면으로부터 유체를 제거하기 위해 진공을 이용할 수 있는 소스 출구 (304) 를 향해 고속층 내를 이동하는 동안 유체 메니스커스 (116) 를 형성할 수 있다. 이러한 방식에서, 얇은 고속층은 웨이퍼와 근접 헤드 (106"') 사이에서 웨이퍼 표면 상에 생성될 수 있다. 따라서, 베르누이 효과가 웨이퍼 표면 상에 생성되어, 웨이퍼 표면으로부터 유체의 최적의 제거를 통해 물방울 또는 오염을 남기지 않으면서, 유체 메니스커스 (116) 를 안정화하고, 웨이퍼 표면 상에서의 유체 메니스커스 (116) 의 이동을 가능하게 한다.
다음의 도면들은 유체 메니스커스를 생성할 수 있는 예시적인 근접 헤드를 가진 예시적인 웨이퍼 처리 시스템을 설명한다. 여기서 설명하는 본 발명의 실시형태에 따라 유체 메니스커스를 생성할 수 있는 임의의 적절한 타입의 근접 헤드를 가진 임의의 적절한 타입의 시스템이 사용될 수 있다는 것을 인식해야 한다.
도 10 은 본 발명의 일 실시형태에 따른, 웨이퍼 처리 시스템 (1100) 을 도시한다. 웨이퍼를 유지하고 이동시키는 임의의 적절한 방식 예를 들어, 롤러, 핀, 플래튼 등과 같은 방식이 사용될 수 있다는 것을 인식해야 한다. 시스템 (1100) 은 웨이퍼를 회전시켜 웨이퍼 표면이 처리되도록 하는 롤러 (1102a, 1102b, 및 1102c) 를 포함할 수 있다. 일 실시형태에서, 시스템 (1100) 은 또한 각각 상부 암 (1104a) 및 하부 암 (1104b) 에 부착될 수 있는 근접 헤드 (106a 및 106b) 를 포함할 수 있다. 상부 암 (1104a) 및 하부 암 (1104b) 은, 웨이퍼의 반경을 따라 근접 헤드 (106a 및 106b) 의 실질적인 선형이동을 가능하게 하는 근접 헤드 캐리어 어셈블리 (1104) 의 일부일 수 있다. 일 실시형태에서, 근접 헤드 캐리어 어셈블리 (1104) 는 근접 헤드 (106a) 를 웨이퍼의 위에, 근접 헤드 (106b) 를 웨이퍼의 아래에 웨이퍼에 근접하여 유지하도록 구성될 수 있다. 이것은, 상부 암 (1104a) 및 하부 암 (1104b) 을 수직으로 이동가능하게 하여, 근접 헤드 (106a 및 106b) 가 웨이퍼 처리가 시작되는 위치로 수평으로 이동하게 되면, 근접 헤드 (106a 및 106b) 가 웨이퍼에 근접한 위치로 수직 이동 가능하게 함으로써 달성될 수 있다. 다른 실시형태에서, 유체 메니스커스는 두 근접 헤드 (106a 및 106b) 사이에 형성되어 웨이퍼의 상면 및 하면 위로 이동될 수 있다. 상부 암 (1104a) 및 하부 암 (1104b) 은 임의의 적절한 방식으로 구성되어, 근접 헤드 (106a 및 106b) 는 여기서 설명하는 바와 같이 웨이퍼 처리를 가능하게 하도록 이동될 수 있다. 근접 헤드 또는 근접 헤드들이 웨이퍼에 근접하여 이동하여 웨이퍼 표면 상에 메니스커스를 생성하고 제어할 수 있는 한, 시스템 (1100) 은 임의의 적절한 방식으로 구성될 수 있다. 다른 예시적인 실시형태에서, 근접 헤드 (106) 는, 암의 제 2 말단으로 한정되는 축 주위를 회전하는, 암의 제 1 말단에 배치될 수 있다. 따라서, 이러한 실시형태에서, 근접 헤드는 웨이퍼 표면 위에서 아크 (arc) 로 이동될 수 있다. 또다른 실시형태에서, 암은 회전이동 및 선형이동의 조합으로 이동될 수 있다. 웨이퍼의 각 측에 대해 근접 헤드 (106) 가 도시되었지만, 웨이퍼의 한 측에 대해 하나의 헤드가 사용될 수 있다. 다른 표면 준비 처리들은, 웨이퍼 스크럽 브러쉬 (wafer scrub brush) 와 같이 근접 헤드 (106) 가 사용되지 않는 측 상에서 수행될 수 있다.
다른 실시형태에서, 시스템 (1100) 은 웨이퍼에 인접한 천이 (transition) 표면을 가진 근접 헤드 도킹부를 포함할 수 있다. 이러한 실시형태에서, 유체 메니스커스는 제어 및 조작 상태동안 도킹부와 웨이퍼의 표면 사이에서 천이할 수 있다. 또한, 단지 웨이퍼의 일 면만 처리하려는 경우, 하나의 근접 헤드를 가진 하나의 암이 이용될 수 있다.
도 11a 는 본 발명의 실시형태에 따른, 웨이퍼 처리 공정을 수행하는 근접 헤드 (106) 를 도시한다. 일 실시형태에서, 근접 헤드 (106) 는 웨이퍼 (108) 의 상면 (108a) 에 근접하여 이동하여, 웨이퍼 처리 공정을 수행한다. 웨이퍼 (108) 에 제공되는 유체의 타입에 따라, 근접 헤드 (106) 에 의해 웨이퍼 상면 (108a) 에 생성되는 유체 메니스커스 (116) 는 예를 들어, 세정, 린싱, 건조, 에칭, 도금 등과 같은 임의의 적절한 웨이퍼 처리 공정일 수 있다는 것을 인식해야 한다. 근접 헤드는 또한, 웨이퍼 (108) 의 하면 (108b) 을 처리하도록 사용될 수 있다는 것을 인식해야 한다. 일 실시형태에서, 웨이퍼 (108) 는 회전할 수 있어서, 근접 헤드 (106) 는 유체 메니스커스가 상면 (108a) 을 처리하는 동안 이동될 수 있다. 일 실시형태에서, 웨이퍼 (108) 는 근접 헤드 (106) 가 웨이퍼 표면 상에 유체 메니스커스를 생성하는 동안 계속 유지될 수 있다. 그 후, 근접 헤드는 웨이퍼 표면 위를 이동하거나 스캔하여 유체 메니스커스를 웨이퍼 표면을 따라 이동시킬 수 있다. 또다른 실시형태에서, 근접 헤드 (106) 는 충분히 크게 형성되어 유체 메니스커스는 전체 웨이퍼의 표면 영역을 포함할 수 있다. 이러한 실시형태에서, 유체 메니스커스를 웨이퍼의 표면에 제공하여, 웨이퍼의 전체 표면은 근접 헤드의 이동없이 처리될 수 있다.
일 실시형태에서, 근접 헤드 (106) 는 소스 입구 (1302 및 1306) 및 소스 출구 (1304) 를 포함한다. 이러한 실시형태에서, 질소 가스 내의 IPA 증기 IPA/N2 (1310) 는 웨이퍼 표면으로 소스 입구 (1302) 를 통해 제공될 수 있고, 진공 (1312) 은 웨이퍼 표면으로 소스 출구 (1304) 를 통해 제공될 수 있고, 프로세싱 유체 (1314) 는 웨이퍼 표면으로 소스 입구 (1306) 를 통해 제공될 수 있다.
일 실시형태에서, 웨이퍼 표면 (108a) 으로부터 IPA/N2 (1310) 및 프로세싱 유체 (1314) 의 제거를 위한 진공 (1313) 뿐만 아니라 IPA/N2 (1310) 및 프로세싱 유체 (1314) 의 제공은 유체 메니스커스 (116) 를 생성할 수 있다. 유체 메니스커스 (116) 는 근접 헤드 (106) 와 웨이퍼 표면 사이에 정의되는, 웨이퍼 표면 (108a) 을 가로질러 안정되고 제어가능한 방식으로 이동될 수 있는 유체층일 수 있다. 일 실시형태에서, 유체 메니스커스 (116) 는 프로세싱 유체 (1314) 의 일정한 제공 및 제거에 의해 한정될 수 있다. 유체 메니스커스 (116) 를 정의하는 유체층은, 소스 입구 (1306), 소스 출력 (1304) 및 소스 입구 (1302) 의 크기, 수, 모양, 및/또는 패턴에 따라, 임의의 적절한 모양 및/또는 크기일 수 있다.
또한, 진공, IPA/N2, 및 프로세싱 유체의 임의의 적절한 유량은 바람직하게 생성되는 유체 메니스커스의 타입에 따라 사용될 수 있다. 또다른 실시형태에서, 근접 헤드 (106) 와 웨이퍼 표면 사이의 거리에 따라, IPA/N2 는 유체 메니스커스 (116) 를 생성하고 이용할때 생략될 수 있다. 이러한 실시형태에서, 근접 헤드 (106) 는 소스 입구 (1312) 를 포함하지 않고, 따라서 소스 입구 (1306) 에 의한 프로세싱 유체 (1314) 의 제공 및 소스 출구 (1304) 에 의한 프로세싱 유체 (1314) 의 제거만이 유체 메니스커스 (116) 를 생성한다.
근접 헤드 (106) 의 다른 실시형태에서, 근접 헤드 (106) 의 처리 표면 (소스 입구과 소스 출구가 배치되어 있는 근접 헤드의 영역) 은 생성되는 유체 메니스커스의 구성에 따라 임의의 적절한 구조를 가질 수 있다. 일 실시형태에서, 근접 헤드의 처리 표면은 주위 표면으로부터 만입되거나 돌출될 수 있다.
도 11b 는 본 발명의 일 실시형태에 따른, 근접 헤드 (106) 의 일부에 대한 평면도를 도시한다. 도 8b 를 참조하여 설명한 바와 같이, 근접 헤드 (106) 의 구성은 본질적으로 예시적인 것임을 인식해야 한다. 따라서, 프로세싱 유체가 웨이퍼 표면에 제공되고 웨이퍼 표면으로부터 제거되어 웨이퍼 표면 상에 안정적인 유체 메니스커스를 생성할 수 있는 한, 근접 헤드의 다른 구성들이 유체 메니스커스를 생성하도록 이용될 수 있다. 또한, 이상 설명한 바와 같이, 근접 헤드 (106) 의 다른 실시형태들은, 근접 헤드 (106) 가 N2/IPA 의 사용없이 유체 메니스커스를 생성하도록 구성되는 경우, 소스 입구 (1316) 를 가질 필요가 없다.
일 실시형태의 평면도에서는, 일련의 소스 입구 (1302), 일련의 소스 출구 (1304), 일련의 소스 입구 (1306), 일련의 소스 출구 (1304), 및 일련의 소스 입구 (1302) 가 좌로부터 우로 존재한다. 따라서, N2/IPA 및 처리 화학물질이 근접 헤드 (106) 와 웨이퍼 (108) 사이의 영역으로 입력됨에 따라, 진공은 웨이퍼 (108) 상에 존재할 수 있는 유체막 및/또는 오염물에 따라 N2/IPA 및 처리 화학물질을 제거한다. 여기서 설명되는 소스 입구 (1302), 소스 입구 (1306), 및 소스 출구 (1304) 는 또한, 예를 들어 원형 개구부, 삼각형 개구부, 사각형 개구부, 등과 같은 임의의 적절한 기하학적 타입일 수 있다. 일 실시형태에서, 소스 입구 (1302 및 1306) 및 소스 출구 (1304) 는 원형 개구부를 가진다. 근접 헤드 (106) 는, 생성되도록 기대되는 유체 메니스커스 (116) 의 크기 및 모양에 따라, 임의의 적절한 크기, 모양, 및/또는 구조일 수 있다는 것을 인식해야 한다. 일 실시형태에서, 근접 헤드는 웨이퍼의 반경보다 덜 연장될 수 있다. 다른 실시형태에서, 근접 헤드는 웨이퍼의 반경보다 더 연장될 수 있다. 다른 실시형태에서, 근접 헤드는 웨이퍼의 지름보다 더 연장될 수 있다. 따라서, 유체 메니스커스의 크기는, 임의의 주어진 시간에 처리되도록 기대되는 웨이퍼 표면 영역의 크기에 따라 임의의 적절한 크기일 수 있다. 또한, 근접 헤드 (106) 는, 웨이퍼 처리 공정에 따라, 예를 들어, 수평, 수직, 또는 그 사이의 임의의 다른 적절한 위치와 같이 임의의 적절한 배향으로 배치될 수 있다는 것을 인식해야 한다. 근접 헤드 (106) 는 또한, 웨이퍼 처리 공정 중 하나 이상의 타입이 실시될 수 있는 웨이퍼 처리 시스템으로 포함될 수 있다.
도 11c 는 본 발명의 일 실시형태에 따른, 근접 헤드 (106) 의 입구/출구를 도시한다. 이 실시형태에서, 근접 헤드 (106) 는 소스 입구 (1302 및 1306) 및 소스 출구 (1304) 를 포함한다. 일 실시형태에서, 소스 출구 (1304) 는 소스 입구 (1306) 를, 소스 입구 (1302) 는 소스 출구 (1304) 를 둘러쌀 수 있다.
도 11d 는 본 발명의 실시형태에 따른, 근접 헤드 (106) 의다른 입구/출구 패턴을 도시한다. 이 실시형태에서, 근접 헤드 (106) 는 소스 입구 (1302 및 1306) 및 소스 출구 (1304) 를 포함한다. 일 실시형태에서, 소스 출구 (1304) 는 소스 입구 (1306) 를 둘러싸고, 소스 입구 (1302) 는 소스 출구 (1304) 를 적어도 부분적으로 둘러싼다.
도 11e 는 본 발명의 일 실시형태에 따른, 근접 헤드 (106) 의 또다른 입구/출구 패턴을 도시한다. 이 실시형태에서, 근접 헤드 (106) 는 소스 출구 (1304) 및 소스 입구 (1302 및 1306) 를 포함한다. 일 실시형태에서, 소스 출구 (1304) 는 는 소스 입구 (1306) 를 둘러쌀 수 있다. 일 실시형태에서, 근접 헤드 (106) 는 IPA/N2 의 제공없이 유체 메니스커스를 생성할 수 있기 때문에, 일 실시형태에서, 근접 헤드 (106) 는 소스 입구를 포함하지 않는다. 이상 설명된 입구/출구 패턴은 본질적으로 예시적인 것이며, 안정적이고 제어가능한 유체 메니스커스가 생성될 수 있는 한, 임의의 적절한 타입의 입구/출구 패턴들이 사용될 수 있다는 것을 인식해야 한다.
본 발명은 다양한 바람직한 실시형태에 따라 설명되었으나, 선행 명세서를 판독하고 도면을 연구하는 당업자는 다양한 변형, 부가, 치환, 및 균등물을 실현할 수 있을 것을 인식해야 할 것이다. 따라서 본 발명은 이러한 모든 변형, 부가, 치환 및 균등물을 본 발명의 진정한 사상 및 범위 내로 포함하도록 의도되었다.
본 발명은 고속 유체로 웨이퍼 표면을 처리할 수 있는 기판 처리 장치를 제공하여, 웨이퍼 오염을 현저하게 감소시키는 반면 웨이퍼의 생산을 증가시키고, 웨이퍼 생산 비용을 감소시킬 수 있다.

Claims (25)

  1. 기판의 표면 상에, 유체 메니스커스를 정의하는 유체층을 생성하는 단계를 포함하며,
    상기 생성하는 단계는,
    상기 표면에 근접하게 헤드를 이동시키는 단계;
    상기 유체층을 정의하기 위하여 상기 헤드가 상기 기판의 표면에 근접한 상태에 있는 동안 상기 헤드로부터 상기 표면으로 유체를 제공하는 단계; 및
    진공에 의해 상기 표면으로부터 근접 헤드를 통하여 상기 유체를 제거하는 단계를 포함하고,
    상기 유체는, 상기 헤드가 상기 표면에 더 근접함에 따라 증가하는 속도로 상기 헤드와 상기 기판 사이의 유체층을 따라 이동하는, 기판 처리방법.
  2. 제 1 항에 있어서,
    상기 헤드는 상기 유체층의 생성동안 5 미크론 내지 500 미크론의 거리에 있고, 상기 속도는 5 cm/초 내지 200 cm/초인, 기판 처리방법.
  3. 제 2 항에 있어서,
    상기 유체는 50 ml/초 내지 500 ml/초의 유량을 가지는, 기판 처리방법.
  4. 제 1 항에 있어서,
    상기 유체 메니스커스는 에칭 공정, 세정 공정, 도금 공정, 또는 건조 공정 중 하나의 공정을 수행하는, 기판 처리방법.
  5. 제 1 항에 있어서,
    상기 유체층을 생성하는 단계는 상기 유체를 유체 입구를 통해 상기 기판의 표면으로 제공하는 단계 및 상기 유체를 상기 기판의 표면으로부터 유체 출구를 통해 제거하는 단계를 포함하는, 기판 처리방법.
  6. 제 5 항에 있어서,
    상기 유체는 에칭 유체, 도금 유체, 세정 유체, 또는 린싱 유체 중 하나의 유체인, 기판 처리방법.
  7. 제 1 항에 있어서,
    상기 유체층을 생성하는 단계는 상기 유체 메니스커스에 표면장력 감소 유체를 제공하는 단계를 포함하는, 기판 처리방법.
  8. 제 5 항에 있어서,
    상기 유체를 제거하는 단계는 상기 유체 출구를 통해 상기 진공을 제공하는 단계를 포함하는, 기판 처리방법.
  9. 기판의 표면에 근접하게 이동할 수 있으며, 유체 메니스커스를 정의하기 위하여 상기 기판의 표면 상에 유체층을 생성할 수 있는 헤드를 포함하고,
    상기 근접 헤드는,
    상기 유체층을 정의하기 위하여 상기 기판의 표면에 유체를 제공하도록 구성되는 하나 이상의 입구, 및
    상기 기판의 표면로부터 상기 유체를 제거하도록 구성되는 하나 이상의 출구를 포함하고,
    상기 헤드는, 상기 헤드가 상기 표면에 더 근접함에 따라 증가하는 속도로 상기 유체를 상기 헤드와 상기 기판 사이의 유체층을 따라 이동시킬 수 있는, 기판 처리장치.
  10. 제 9 항에 있어서,
    상기 헤드는 동작시에 상기 표면으로부터 5 미크론 내지 500 미크론의 거리로 이동하도록 구성되는, 기판 처리장치.
  11. 제 9 항에 있어서,
    상기 헤드는 50 ml/초 내지 4000 ml/초의 유량으로 상기 표면에 상기 유체를 제공할 수 있는, 기판 처리장치.
  12. 제 9 항에 있어서,
    상기 유체 메니스커스는 에칭 공정, 세정 공정, 도금 공정, 또는 건조 공정 중 하나의 공정을 수행하는, 기판 처리장치.
  13. 제 9 항에 있어서,
    상기 출구는 상기 표면으로부터 상기 유체를 제거하기 위해 진공을 제공하는, 기판 처리장치.
  14. 제 9 항에 있어서,
    상기 헤드는 10 cm/초 내지 100 cm/초의 속도로 상기 입구로부터 상기 출구로 상기 기판의 상기 표면을 따라 상기 유체를 이동시킬 수 있는, 기판 처리장치.
  15. 제 9 항에 있어서,
    상기 유체층은 에칭 유체, 도금 유체, 세정 유체, 또는 린싱 유체 중 하나의 유체를 포함하는, 기판 처리장치.
  16. 제 9 항에 있어서,
    상기 유체 메니스커스의 경계에 표면장력 감소 유체를 제공할 수 있는 부가적인 입구를 더 포함하는, 기판 처리장치.
  17. 제 16 항에 있어서,
    상기 표면장력 감소 유체는 질소 가스 내의 이소프로필 알코올 증기인, 기판 처리장치.
  18. 동작시에 기판 표면에 근접하게 이동될 수 있고, 기판의 표면 상에 유체 메니스커스를 정의하는 유체층을 생성할 수 있는 헤드;
    상기 헤드를 통해 상기 기판 표면으로 유체를 전달하기 위한 제 1 도관; 및
    상기 기판 표면으로부터, 동작시에 상기 기판 표면 위에 유체층을 형성하는 상기 유체를 제거하기 위한 제 2 도관을 포함하며,
    상기 유체는, 상기 헤드가 상기 기판 표면에 더 근접함에 따라 증가하는 속도로 상기 헤드와 상기 기판 표면 사이의 유체층을 따라 이동하는, 기판 준비 시스템.
  19. 제 18 항에 있어서,
    상기 헤드는, 동작시에 상기 기판 표면으로부터 5 미크론 내지 500 미크론의 거리로 이동하도록 구성되는, 기판 준비 시스템.
  20. 제 18 항에 있어서,
    상기 헤드는 10 cm/초 내지 100 cm/초의 유량으로 상기 유체를 제공할 수 있는, 기판 준비 시스템.
  21. 제 18 항에 있어서,
    상기 유체층은 에칭 공정, 세정 공정, 도금 공정, 또는 건조 공정 중 하나의 공정을 수행하는, 기판 준비 시스템.
  22. 제 18 항에 있어서,
    상기 제 2 도관은 상기 유체를 상기 기판 표면으로부터 제거하기 위해 진공을 제공하는, 기판 준비 시스템.
  23. 제 18 항에 있어서,
    상기 유체는 에칭 유체, 도금 유체, 세정 유체, 또는 린싱 유체 중 하나의 유체인, 기판 준비 시스템.
  24. 제 18 항에 있어서,
    상기 기판 표면에 표면장력 감소 유체를 제공할 수 있는 부가적인 입구를 더 포함하는, 기판 준비 시스템.
  25. 제 24 항에 있어서,
    상기 표면장력 감소 유체는 질소 가스 내의 이소프로필 알코올 증기인, 기판 준비 시스템.
KR1020050027774A 2004-04-01 2005-04-01 얇은 고속 유체층을 사용하여 웨이퍼 표면들을 처리하는방법 및 장치 KR101117051B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/817,355 US7293571B2 (en) 2002-09-30 2004-04-01 Substrate proximity processing housing and insert for generating a fluid meniscus
US10/817,355 2004-04-01
US10/882,835 2004-06-30
US10/882,835 US7383843B2 (en) 2002-09-30 2004-06-30 Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer

Publications (2)

Publication Number Publication Date
KR20060045449A KR20060045449A (ko) 2006-05-17
KR101117051B1 true KR101117051B1 (ko) 2012-02-22

Family

ID=34890642

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050027774A KR101117051B1 (ko) 2004-04-01 2005-04-01 얇은 고속 유체층을 사용하여 웨이퍼 표면들을 처리하는방법 및 장치

Country Status (7)

Country Link
US (2) US7383843B2 (ko)
EP (2) EP2169706A1 (ko)
JP (1) JP4759300B2 (ko)
KR (1) KR101117051B1 (ko)
CN (1) CN101783285B (ko)
MY (1) MY139627A (ko)
SG (1) SG115843A1 (ko)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US20040031167A1 (en) 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7329321B2 (en) * 2002-09-30 2008-02-12 Lam Research Corporation Enhanced wafer cleaning method
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7383843B2 (en) 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US9482966B2 (en) 2002-11-12 2016-11-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US10503084B2 (en) 2002-11-12 2019-12-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100568101C (zh) * 2002-11-12 2009-12-09 Asml荷兰有限公司 光刻装置和器件制造方法
DE10261775A1 (de) 2002-12-20 2004-07-01 Carl Zeiss Smt Ag Vorrichtung zur optischen Vermessung eines Abbildungssystems
KR101506408B1 (ko) 2003-02-26 2015-03-26 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
EP1611486B1 (en) * 2003-04-10 2016-03-16 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
KR101364889B1 (ko) 2003-04-10 2014-02-19 가부시키가이샤 니콘 액침 리소그래피 장치용 진공 배출을 포함하는 환경 시스템
KR101861493B1 (ko) 2003-04-11 2018-05-28 가부시키가이샤 니콘 액침 리소그래피 머신에서 웨이퍼 교환동안 투영 렌즈 아래의 갭에서 액침 액체를 유지하는 장치 및 방법
US7213963B2 (en) 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101940892B1 (ko) * 2003-06-13 2019-01-21 가부시키가이샤 니콘 노광 방법, 기판 스테이지, 노광 장치, 및 디바이스 제조 방법
KR101686762B1 (ko) 2003-06-19 2016-12-28 가부시키가이샤 니콘 노광 장치 및 디바이스 제조방법
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
JP2007527615A (ja) * 2003-07-01 2007-09-27 株式会社ニコン 同位体特定流体の光学素子としての使用方法
EP2264531B1 (en) 2003-07-09 2013-01-16 Nikon Corporation Exposure apparatus and device manufacturing method
EP1643543B1 (en) 2003-07-09 2010-11-24 Nikon Corporation Exposure apparatus and method for manufacturing device
WO2005006418A1 (ja) * 2003-07-09 2005-01-20 Nikon Corporation 露光装置及びデバイス製造方法
EP3346485A1 (en) 2003-07-25 2018-07-11 Nikon Corporation Projection optical system inspecting method and inspection apparatus, and a projection optical system manufacturing method
KR101343720B1 (ko) * 2003-07-28 2013-12-20 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법, 그리고 노광 장치의제어 방법
EP1503244A1 (en) * 2003-07-28 2005-02-02 ASML Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7779781B2 (en) 2003-07-31 2010-08-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI263859B (en) 2003-08-29 2006-10-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2005022616A1 (ja) * 2003-08-29 2005-03-10 Nikon Corporation 露光装置及びデバイス製造方法
JP4288426B2 (ja) 2003-09-03 2009-07-01 株式会社ニコン 液浸リソグラフィのための流体の供給装置及び方法
JP4444920B2 (ja) * 2003-09-19 2010-03-31 株式会社ニコン 露光装置及びデバイス製造方法
KR101323396B1 (ko) 2003-09-29 2013-10-29 가부시키가이샤 니콘 노광장치, 노광방법 및 디바이스 제조방법
JP4335213B2 (ja) 2003-10-08 2009-09-30 株式会社蔵王ニコン 基板搬送装置、露光装置、デバイス製造方法
KR101203028B1 (ko) 2003-10-08 2012-11-21 가부시키가이샤 자오 니콘 기판 반송 장치 및 기판 반송 방법, 노광 장치 및 노광 방법, 디바이스 제조 방법
JP2005136364A (ja) * 2003-10-08 2005-05-26 Zao Nikon Co Ltd 基板搬送装置、露光装置、並びにデバイス製造方法
TW201738932A (zh) 2003-10-09 2017-11-01 Nippon Kogaku Kk 曝光裝置及曝光方法、元件製造方法
KR101682884B1 (ko) 2003-12-03 2016-12-06 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법, 그리고 광학 부품
US20070081133A1 (en) * 2004-12-14 2007-04-12 Niikon Corporation Projection exposure apparatus and stage unit, and exposure method
KR101681852B1 (ko) * 2003-12-15 2016-12-01 가부시키가이샤 니콘 스테이지 장치, 노광 장치, 및 노광 방법
JPWO2005057635A1 (ja) * 2003-12-15 2007-07-05 株式会社ニコン 投影露光装置及びステージ装置、並びに露光方法
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
KR101377815B1 (ko) 2004-02-03 2014-03-26 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
TW201816844A (zh) * 2004-03-25 2018-05-01 日商尼康股份有限公司 曝光裝置、曝光方法、及元件製造方法
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8054448B2 (en) 2004-05-04 2011-11-08 Nikon Corporation Apparatus and method for providing fluid for immersion lithography
US7486381B2 (en) * 2004-05-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101257960B1 (ko) 2004-06-04 2013-04-24 칼 짜이스 에스엠테 게엠베하 광학적 결상 시스템의 결상 품질을 측정하기 위한 시스템
US7463330B2 (en) 2004-07-07 2008-12-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE602005016429D1 (de) 2004-07-12 2009-10-15 Nippon Kogaku Kk Hren
US7701550B2 (en) 2004-08-19 2010-04-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7397533B2 (en) * 2004-12-07 2008-07-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20180125636A (ko) * 2005-01-31 2018-11-23 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US8692973B2 (en) * 2005-01-31 2014-04-08 Nikon Corporation Exposure apparatus and method for producing device
US7282701B2 (en) * 2005-02-28 2007-10-16 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
KR101255048B1 (ko) 2005-04-01 2013-04-16 에프에스아이 인터내쇼날 인크. 하나 이상의 처리 유체를 이용하여 마이크로일렉트로닉 워크피이스를 처리하는데 이용되는 장치용 배리어 구조 및 노즐 장치
USRE43576E1 (en) 2005-04-08 2012-08-14 Asml Netherlands B.V. Dual stage lithographic apparatus and device manufacturing method
US20070124987A1 (en) * 2005-12-05 2007-06-07 Brown Jeffrey K Electronic pest control apparatus
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100590173C (zh) * 2006-03-24 2010-02-17 北京有色金属研究总院 一种荧光粉及其制造方法和所制成的电光源
JP4641964B2 (ja) * 2006-03-30 2011-03-02 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
CN104319249B (zh) * 2006-07-07 2017-11-07 Tel Fsi股份有限公司 用于处理微电子工件的设备
US8059646B2 (en) * 2006-07-11 2011-11-15 Napo Enterprises, Llc System and method for identifying music content in a P2P real time recommendation network
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US7946303B2 (en) 2006-09-29 2011-05-24 Lam Research Corporation Carrier for reducing entrance and/or exit marks left by a substrate-processing meniscus
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US8309470B2 (en) * 2006-12-18 2012-11-13 Lam Research Corporation In-situ reclaim of volatile components
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20080149147A1 (en) * 2006-12-22 2008-06-26 Lam Research Proximity head with configurable delivery
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US7780825B2 (en) * 2007-05-21 2010-08-24 Lam Research Corporation Substrate gripper with integrated electrical contacts
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
KR101060664B1 (ko) * 2007-08-07 2011-08-31 에프에스아이 인터내쇼날 인크. 하나 이상의 처리유체로 전자소자를 처리하는 장비의 배리어 판 및 벤튜리 시스템의 세정방법 및 관련 장치
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
US8143206B2 (en) 2008-02-21 2012-03-27 S.C. Johnson & Son, Inc. Cleaning composition having high self-adhesion and providing residual benefits
US9410111B2 (en) 2008-02-21 2016-08-09 S.C. Johnson & Son, Inc. Cleaning composition that provides residual benefits
KR20110005699A (ko) 2008-05-09 2011-01-18 에프에스아이 인터내쇼날 인크. 개방 동작 모드와 폐쇄 동작 모드사이를 용이하게 변경하는 처리실 설계를 이용하여 마이크로일렉트로닉 워크피이스를 처리하는 공구 및 방법
JP5097166B2 (ja) * 2008-05-28 2012-12-12 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び装置の動作方法
US7849554B2 (en) * 2009-04-28 2010-12-14 Lam Research Corporation Apparatus and system for cleaning substrate
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US20100294742A1 (en) * 2009-05-22 2010-11-25 Enrico Magni Modifications to Surface Topography of Proximity Head
JP4927158B2 (ja) * 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
TWI541378B (zh) * 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
EP2515323B1 (en) * 2011-04-21 2014-03-19 Imec Method and apparatus for cleaning semiconductor substrates
US20120308346A1 (en) * 2011-06-03 2012-12-06 Arthur Keigler Parallel single substrate processing system loader
US8658588B2 (en) 2012-01-09 2014-02-25 S.C. Johnson & Son, Inc. Self-adhesive high viscosity cleaning composition
US11791212B2 (en) * 2019-12-13 2023-10-17 Micron Technology, Inc. Thin die release for semiconductor device assembly

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6230722B1 (en) * 1997-07-24 2001-05-15 Alps Electric Co., Ltd. Liquid feed nozzle, wet treatment, apparatus and wet treatment method
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4086870A (en) * 1977-06-30 1978-05-02 International Business Machines Corporation Novel resist spinning head
US4367123A (en) * 1980-07-09 1983-01-04 Olin Corporation Precision spot plating process and apparatus
JPS5852034B2 (ja) 1981-08-26 1983-11-19 株式会社ソニツクス 部分メツキ方法及びその装置
US4444492A (en) * 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPS62150828A (ja) 1985-12-25 1987-07-04 Mitsubishi Electric Corp ウエハ乾燥装置
JPH0712035B2 (ja) 1989-04-20 1995-02-08 三菱電機株式会社 噴流式液処理装置
JPH02309638A (ja) 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
JPH0628223Y2 (ja) * 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5294257A (en) * 1991-10-28 1994-03-15 International Business Machines Corporation Edge masking spin tool
US5343234A (en) * 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
JP2877216B2 (ja) * 1992-10-02 1999-03-31 東京エレクトロン株式会社 洗浄装置
US5472502A (en) * 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5807522A (en) * 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
ES2135752T3 (es) 1994-06-30 1999-11-01 Procter & Gamble Bandas de transporte de fluido que exhiben gradientes de energia superficial.
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5558111A (en) * 1995-02-02 1996-09-24 International Business Machines Corporation Apparatus and method for carrier backing film reconditioning
US5601655A (en) * 1995-02-14 1997-02-11 Bok; Hendrik F. Method of cleaning substrates
JPH08277486A (ja) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd リードフレームのめっき装置
TW386235B (en) 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5975098A (en) 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
US5985031A (en) * 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
US5997653A (en) * 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
US5830334A (en) 1996-11-07 1998-11-03 Kobayashi; Hideyuki Nozzle for fast plating with plating solution jetting and suctioning functions
JPH10163138A (ja) * 1996-11-29 1998-06-19 Fujitsu Ltd 半導体装置の製造方法および研磨装置
JPH10232498A (ja) * 1997-02-19 1998-09-02 Nec Kyushu Ltd 現像装置
JPH1131672A (ja) 1997-07-10 1999-02-02 Hitachi Ltd 基板処理方法および基板処理装置
US6103636A (en) * 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
JP4616948B2 (ja) 1997-09-24 2011-01-19 アイメック 回転基材の表面から液体を除去する方法および装置
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
ATE287126T1 (de) 1997-09-24 2005-01-15 Imec Inter Uni Micro Electr Verfahren zum entfernen einer flüssigkeit von einer oberfläche einer substrat
WO1999041434A2 (en) * 1998-02-12 1999-08-19 Acm Research, Inc. Plating apparatus and method
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6108932A (en) * 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
JPH11350169A (ja) 1998-06-10 1999-12-21 Chemitoronics Co ウエットエッチング装置およびウエットエッチングの方法
US6132586A (en) 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US6689323B2 (en) * 1998-10-30 2004-02-10 Agilent Technologies Method and apparatus for liquid transfer
US6092937A (en) * 1999-01-08 2000-07-25 Fastar, Ltd. Linear developer
JP3653198B2 (ja) * 1999-07-16 2005-05-25 アルプス電気株式会社 乾燥用ノズルおよびこれを用いた乾燥装置ならびに洗浄装置
US20020121290A1 (en) * 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
JP3635217B2 (ja) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US6341998B1 (en) * 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
US6214513B1 (en) * 1999-11-24 2001-04-10 Xerox Corporation Slot coating under an electric field
US6433541B1 (en) * 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US20030091754A1 (en) * 2000-02-11 2003-05-15 Thami Chihani Method for treating cellulosic fibres
US6474786B2 (en) * 2000-02-24 2002-11-05 The Board Of Trustees Of The Leland Stanford Junior University Micromachined two-dimensional array droplet ejectors
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
CN1247314C (zh) * 2000-05-16 2006-03-29 明尼苏达大学评议会 电喷射方法和设备
JP2004515053A (ja) 2000-06-26 2004-05-20 アプライド マテリアルズ インコーポレイテッド ウェーハ洗浄方法及び装置
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7000622B2 (en) * 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US6530823B1 (en) * 2000-08-10 2003-03-11 Nanoclean Technologies Inc Methods for cleaning surfaces substantially free of contaminants
JP2002075947A (ja) * 2000-08-30 2002-03-15 Alps Electric Co Ltd ウェット処理装置
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6555017B1 (en) * 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
US6550988B2 (en) * 2000-10-30 2003-04-22 Dainippon Screen Mfg., Co., Ltd. Substrate processing apparatus
US6531206B2 (en) 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
WO2002101798A2 (en) 2001-06-12 2002-12-19 Verteq, Inc. Method of applying liquid to a megasonic apparatus for improved cleaning control
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
JP2003115474A (ja) * 2001-10-03 2003-04-18 Ebara Corp 基板処理装置及び方法
JP4003441B2 (ja) 2001-11-08 2007-11-07 セイコーエプソン株式会社 表面処理装置および表面処理方法
US6799584B2 (en) * 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7252097B2 (en) * 2002-09-30 2007-08-07 Lam Research Corporation System and method for integrating in-situ metrology within a wafer process
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
CN100350552C (zh) 2002-09-30 2007-11-21 拉姆研究公司 使用弯液面、负压、ipa蒸汽、干燥歧管进行基板处理的系统
US7383843B2 (en) 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1489461A1 (en) 2003-06-11 2004-12-22 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7003899B1 (en) * 2004-09-30 2006-02-28 Lam Research Corporation System and method for modulating flow through multiple ports in a proximity head

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6230722B1 (en) * 1997-07-24 2001-05-15 Alps Electric Co., Ltd. Liquid feed nozzle, wet treatment, apparatus and wet treatment method
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying

Also Published As

Publication number Publication date
JP4759300B2 (ja) 2011-08-31
US20050145265A1 (en) 2005-07-07
EP1583138A1 (en) 2005-10-05
US7534307B2 (en) 2009-05-19
CN101783285B (zh) 2012-02-22
US7383843B2 (en) 2008-06-10
EP2169706A1 (en) 2010-03-31
JP2005328039A (ja) 2005-11-24
KR20060045449A (ko) 2006-05-17
SG115843A1 (en) 2005-10-28
CN101783285A (zh) 2010-07-21
MY139627A (en) 2009-10-30
US20080230097A1 (en) 2008-09-25

Similar Documents

Publication Publication Date Title
KR101117051B1 (ko) 얇은 고속 유체층을 사용하여 웨이퍼 표면들을 처리하는방법 및 장치
KR101160100B1 (ko) 동심 근접 처리 헤드
US7387689B2 (en) Methods for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7198055B2 (en) Meniscus, vacuum, IPA vapor, drying manifold
EP1583136B1 (en) Control of ambient environment during wafer drying using proximity head
KR101118006B1 (ko) 비친화적 배리어 메니스커스 분리 및 억제
JP5331865B2 (ja) 基板処理においてメニスカスを用いるための装置および方法
EP1582269B1 (en) Proximity meniscus manifold
US7069937B2 (en) Vertical proximity processor
KR101164826B1 (ko) 기판을 처리하기 위한 장치 및 방법
CN1707759B (zh) 使用薄的、高速液体层处理晶片表面的方法和装置
JP4621055B2 (ja) 基板とメニスカスとの境界面およびその取り扱い方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150127

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160125

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190125

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200130

Year of fee payment: 9