KR101061407B1 - 전자 빔 노출 시스템 - Google Patents

전자 빔 노출 시스템 Download PDF

Info

Publication number
KR101061407B1
KR101061407B1 KR1020107016869A KR20107016869A KR101061407B1 KR 101061407 B1 KR101061407 B1 KR 101061407B1 KR 1020107016869 A KR1020107016869 A KR 1020107016869A KR 20107016869 A KR20107016869 A KR 20107016869A KR 101061407 B1 KR101061407 B1 KR 101061407B1
Authority
KR
South Korea
Prior art keywords
array
beamlet
electron
target
scan
Prior art date
Application number
KR1020107016869A
Other languages
English (en)
Other versions
KR20100103651A (ko
Inventor
마르코 잰-자코 윌랜드
베르트 잰 캠퍼비크
빈 알렉산더 핸드릭 빈센트 반
피터 크루이트
Original Assignee
마퍼 리쏘그라피 아이피 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마퍼 리쏘그라피 아이피 비.브이. filed Critical 마퍼 리쏘그라피 아이피 비.브이.
Publication of KR20100103651A publication Critical patent/KR20100103651A/ko
Application granted granted Critical
Publication of KR101061407B1 publication Critical patent/KR101061407B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J3/00Details of electron-optical or ion-optical arrangements or of ion traps common to two or more basic types of discharge tubes or lamps
    • H01J3/02Electron guns
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06308Thermionic sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06375Arrangement of electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30433System calibration
    • H01J2237/3045Deflection calibration

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Electron Beam Exposure (AREA)
  • X-Ray Techniques (AREA)

Abstract

본 발명은: 복수의 전자 빔릿들(5a, 5b)을 발생하는 빔릿 발생기; 전자 빔릿의 세기를 변조하는 복수의 변조기들을 포함하고, 상기 복수의 전자 빔릿들을 제공받는 변조 어레이; 변조 어레이에 연결되고, 변조기를 개별적으로 제어하는 제어기; 각각의 변조기에 조작적으로 연결되고, 각각의 변조기에 대한 제어 신호를 개별적으로 조절하는 조절기; 정전기적 렌즈들의 어레이(7)를 포함하고, 각각의 렌즈는 상기 변조 어레이를 지나서 투과된 해당 개별적 빔릿의 초점을 300 nm보다 작은 단면에 맞추는 집속 전자 광 시스템; 및 집속 전자 광 시스템의 제1 초점면에서 패턴이 전사되는 노출 표면을 구비한 표적을 홀드하는 표적 홀더를 포함하는, 표적의 표면상에 패턴을 전사하는 전자 빔 노출 장치에 관한 것이다.

Description

전자 빔 노출 시스템{ELECTRON BEAM EXPOSURE SYSTEM}
본 발명은 전자 빔 노출 시스템에 관한 것이다.
몇몇 종류의 전자 빔 노출 시스템(electron beam exposure system)들이 기술분야에서 알려져 있다. 대부분의 이러한 시스템들은 기판의 노출 표면상에 매우 정밀한 패턴들을 전사(transfer)하기 위하여 제공된다. 무어의 법칙(Moore's law) 이후에 리소그래피 특징(lithography feature)들이 점점 더 작아지도록 추구되므로, 현재보다 더욱 작은 특징들로의 흐름을 계속하기 위하여 고 해상도의 전자빔이 사용될 수 있다.
종래의 전자 빔 노출 장치는 약 1/100 wafer/hr의 처리율(throughput)을 갖는다. 그러나, 리소그래피용으로는 적어도 몇 wafer/hr라는 상업적으로 받아들여질 수 있는 처리율이 필요하다. 전자 빔 노출 장치의 처리율을 증가시키기 위한 몇몇 아이디어들이 제안되어 왔다.
예를 들면, US-A1-5.760.410과 US-A1-6.313.476은 단면(cross section)을 갖는 전자 빔을 사용하는 리소그래피 시스템을 개시하고, 이 단면(cross section)은 표적(target)의 노출 표면으로 패턴을 전사(transfer)하는 동안 수정된다. 빔의 구체적 단면(cross section) 또는 외형(shape)은 정전기적 편향(electrostatic deflection)을 사용하여 간극(aperture) 내부에서 방출된 빔을 이동시키는 동안 정해진다. 선택된 간극은 부분적으로 소거되고 그것에 의하여 전자 빔의 모양이 형성된다. 표적 노출 표면을 리프레쉬(refresh)하기 위하여 표면은 빔 아래에서 이동한다. 이 방식으로 패턴이 기록된다. 이 시스템의 처리율은 여전히 제한된다.
US-A1-20010028042, US-A1-20010028043 및 US-A1-20010028044에는 복수의 전자 빔릿(beamlet)들을 발생하기 위하여 복수의 지속파(Continuous Wave: CW) 방출체(emitter)들을 이용함으로써 복수의 전자빔들을 사용하는 전자 빔 리소그래피 시스템이 개시된다.
각각의 빔릿은 그후에 개별적으로 모양이 형성되고 소거되어 아래에 있는 기판(substrate)상에 패턴을 생성한다. 이러한 모든 방출체들은 약간 다른 방출(emission) 특성들을 갖기 때문에, 빔릿들의 동질성(homogeneity)이 문제된다. 이것은 개별적인 빔 전류 모두를 기준 전류(reference current)에 맞춤으로써 교정된다. 불일치에 대한 교정치들은 계산하기가 극도로 어렵고 그것은 상당한 양의 시간이 걸리며, 이것은 시스템의 처리율을 감소시킨다.
진공 과학 및 기술(Vacuum Science and Technology)이라는 저널의 B18(6) 페이지 3061-3066에는, 하나의 전자 빔을 발생하기 위하여 하나의 LaB6-소스를 사용하는 시스템이 개시되는데, 발생된 전자 빔은 그 후에, 퍼지고, 평행하게 되며 복수의 빔릿들로 분열된다. 표적 노출 표면은 제1 방향에서 복수의 빔릿들과 관련하여 기계적으로 이동되고, 빔릿들은 정전기적 편향 장치(electrostatic deflector)들의 소거를 이용하여 스위치 온(on) 및 오프(off)되며, 동시에 주사 편향 장치(scanning deflector)들은 제1 방향에 수직인 방향에서 표적 노출 표면상의 소거기 어레이(blanker array)를 통과하는 빔릿들을 스위프(sweep)하고, 이리하여 그때마다 화상을 생성한다. 이 공지 시스템에서, 화상이 표적 노출 표면상에 투사되기 전에 화상을 축소시키기 위하여 정전기적 및/또는 자기적 렌즈들이 사용된다. 축소 프로세스에서 적어도 하나의 완전한 중간 화상이 생성되고, 생성된 중간 화상은 이전 것보다 작다. 전체 화상이 원하는 치수(dimension)들을 가질 때, 그것은 표적 노출 표면상에 투사된다. 이러한 방식의 주요 단점은 복수의 전자 빔들이 일제히(together) 적어도 하나의 완전한 크로스오버(crossover)를 지나서 통과해야만 한다는 것이다. 이 크로스오버(crossover)에서는, 다른 빔릿들에 있는 전자 사이의 쿨롱 상호작용(Coulomb interaction)들이 화상을 훼손할 것이고, 이리하여 해상도를 감소시킨다. 게다가, 화상의 심한 축소에 기인하여, 동시에 노출되는 영역은 오히려 작고, 그래서 많은 웨이퍼 주사(wafer scan)들은 다이(die)를 노출할 필요가 있다: 상업적으로 만족할만한 처리율에 도달하기 위한 매우 높은 스테이지(stage) 속도를 요구할 때, 16 주사(scan)들은 하나의 다이(die)를 노출할 필요가 있다.
GB-A1-2.340.991에는, 복수의 이온(ion) 서브-빔(sub-beam)들을 생성하는 방사 시스템(illumination system)을 구비한 멀티빔(multibeam) 입자(particle) 리소그래피 시스템이 개시된다. 방사 시스템들은 서브-빔들에서 빔을 분열시키기 위한 간극 플레이트(aperture plate)들을 구비한 이온 소스를 사용하거나 복수의 소스들을 사용한다. 단일 이온 소스를 사용하는 시스템에서, 간극 플레이트는 멀티빔 광 시스템을 사용하여 기판상에 투사된다(축소된다). 시스템은 더욱이 기록하는 동안 서브-빔의 개별적인 촬상 수차(imaging aberration)들을 교정하고 서브-빔을 위치시키기 위하여, 멀티빔 광 시스템 이후에 위치되는, 정전기적 다중극(multipole) 시스템들의 편향 장치(deflection unit)를 사용한다. 출판물(publication)은 어떻게 각 서브-빔이 변조되는지를 개시하지 않는다. 게다가, 개별적인 서브-빔들을 제어하는 것과, 상호-서브-빔 균일성(inter-sub-beam uniformity)을 유지하는 것이 문제이다.
Jpn. J. Appl. Phys. Vol. 34 (1995) 6689-6695에는, 자기장에 담긴 방출체 팁(emitter tip)을 구비한 특정 ZrO/W-TFE 열 방출 소스(thermal emission source)를 갖는 멀티-전자 빔 ('프로브들(probes)') 리소그래피 시스템이 개시된다. 이러한 소스의 단점은 그것의 제한된 출력이다. 게다가, 이 소스는 크로스오버(crossover)를 필요로 한다. '프로브들(probes)'의 상호 균질성(mutual homogeneity)은 더 논의되지 않는다. 게다가, 소스 세기(intensity)가 문제이다.
논문은 더욱이 스테이지(stage)가 한 방향에서 이동하고 편향 장치들이 스테이지 이동 방향에 수직으로 같은 거리를 통해 동시에 '프로브들'을 이동시키는 기록 방법을 일반적인 방식으로 언급한다. 이 출판물에서 인지되지 않은 그 이상의 문제는, 전자 빔릿들의 의도된 위치(intended position)들로부터 전자 빔릿들의 편이(deviation)를 교정하는 것이다.
본 발명의 목적은 공지된 전자 빔 노출 장치의 성능(performance)을 향상시키는 데 있다.
본 발명의 다른 목적은 공지된 전자 빔 노출 장치의 해상도를 향상시키는 데 있다.
본 발명의 또다른 목적은 공지된 전자 빔 노출 장치의 처리율을 향상시키는 데 있다.
본 발명의 또다른 목적은 종래 기술에서 쿨롱 상호작용 및 축소(demagnification) 방법들과 관련된 문제점들을 극복하는데 있다.
본 발명의 다른 목적은 특히 기록하는 동안, 빔릿들의 균질성(uniformity) 제어를 단순화하는 데 있다.
본 발명은:
- 복수의 전자 빔릿들을 발생하는 빔릿 발생기;
- 전자 빔릿의 세기(intensity)를 변조하는 복수의 변조기들을 포함하고, 상기 복수의 전자 빔릿들을 제공받는 변조 어레이;
- 상기 변조 어레이에 조작적으로 연결되고, 제어 신호를 사용하여 상기 변조기를 개별적으로 제어하는 제어기;
- 상기 각각의 변조기에 연결되고, 상기 각각의 변조기에 대한 제어 신호를 개별적으로 조절하는 조절기;
- 정전기적 렌즈들의 어레이를 포함하고, 상기 각각의 렌즈는 상기 변조 어레이를 지나서 투과된 해당 개별적 빔릿의 초점을 300 nm보다 작은 단면에 맞추는 집속 전자 광 시스템, 및
- 상기 집속 전자 광 시스템의 제1 초점면에서 패턴이 전사되는 노출 표면을 구비한 표적을 홀드하는 표적 홀더
를 포함하는, 표적의 표면상에 패턴을 전사하는 전자 빔 노출 장치에 관한 것이다.
이 장치는 완전한 (부분적) 화상을 축소하지 않으므로, 이 장치에서 전자 크로스오버가 회피될 수 있다. 이 방식으로, 해상도 및 기록 속도가 증가한다. 게다가, 그것은 개별적인 빔릿 각각에서 전류를 제어할 필요성을 회피한다. 본 장치는 위치 교정 및 변조가 통합되므로 덜 복잡하다.
본 발명에 따른 전자 빔 노출 장치의 일 실시예에서, 상기 변조 어레이는:
- 통과하는 전자 빔릿을 편향시키는 복수의 빔릿 소거기들을 포함하는 빔릿 소거기 어레이,
- 상기 빔릿 소거기 어레이의 상기 빔릿 소거기들에 정렬된 복수의 간극들을 갖는 빔릿 스톱 어레이
를 포함한다.
이 방식에서는, 하나의 단일 초점에서 전자 빔릿들의 크로스오버를 회피하는 것이 가능하고, 고속 변조를 가능하게 한다. 일 실시예에서, 모든 빔릿의 개별적인 변조를 가능하게 하기 위하여, 실질적으로 모든 빔릿 소거기가 전자 빔릿에 정렬된다. 게다가, 빔릿 스톱 어레이는 적어도 하나의 간극들 평면(plane of apertures)을 포함하는데, 실질적으로 모든 간극은 하나의 빔릿에 정렬되고 바람직하게는 빔릿에 대하여 중심에 있는 간극에 정렬된다. 이 방식에서, 전자 빔릿이 편향되지 않을 때 빔릿은 간극을 통과하고, 빔릿이 편향될 때 빔릿은 봉쇄되거나 정지된다. 이 변조 어레이의 일 실시예에서, 제어기가 상기 빔릿 소거기들에 조작적으로 연결된다.
일 실시예에서, 전자 빔 노출 장치에는 상기 빔릿들중 적어도 하나의 실제 위치를 측정하는 측정 수단이 더 제공되고, 제어기에는 상기 실제 위치 및 희망 위치를 저장하는 메모리 수단, 상기 빔릿들의 희망 위치와 실제 위치를 비교하는 비교기가 제공되고, 그리고 여기서 조절기는 상기 전자 빔릿들의 상기 희망 위치와 상기 실제 위치 사이에서 측정된 차를 보상하기 위하여 변조기들로 송신되는 제어 신호들을 조절하기 위한 명령어들을 수신하기 위하여 제어기에 조작적으로 연결된다. 이 방식에서는, 제어 신호들을 조절하는 것에 의하여, 빔릿들의 위치이동(positioning)이 용이한 방식으로 교정될 수 있다. 실제 위치들의 측정은 예를 들어 US-S1-5.929.454에 기술된 대로 행해진다.
일 실시예에서, 조절기를 경유하는 일 실시예에서, 제어기는 빔릿 소거기들에 조작적으로 연결된다.
일 실시예에서, 조절기는 조절량을 지시하는 명령어들을 수신하기 위하여 제어기에 조작적으로 연결된다. 조절량은 상기에서-언급된 비교기의 결과값에 기초하여 결정될 수 있다.
추가적인 실시예에서, 조절기는 각 제어 신호의 타이밍을 개별적으로 조절하기 위하여 개조된다. 이러한 매우 용이한 방식으로, 교정이 이루어질 수 있다.
본 발명에 따른 전자 빔 노출 장치의 일 실시예에서, 빔릿 생성 수단은:
- 적어도 하나의 전자 빔을 방출하는 소스,
- 상기 적어도 하나의 방출된 전자 빔을 상기 복수의 전자 빔릿들로 분열시키기는 적어도 하나의 빔분열기(beamsplitter)
를 포함한다.
이 방식에서, 만일 소스가 모든 관련 방향들에서 균일하게 방출한다면 빔릿들 사이에 균일한 세기 분배(uniform intensity distribution)가 용이하게 달성된다. 일 실시예에서, 전자 빔 노출 장치는 상기 복수의 전자 빔릿들의 초점을 맞추기 위하여 상기 빔 분열 수단과 상기 빔릿 소거기 어레이 사이에 위치한 제2 정전기적 렌즈 어레이를 더 포함한다. 이 실시예에서, 실질적으로 모든 정전기적 렌즈들이 정렬되어 하나의 전자 빔릿의 초점을 맞춘다. 추가적인 실시예에서, 빔릿 소거기 어레이는 상기 제2 정전기적 렌즈 어레이의 초점면에 위치한다.
빔분열기를 구비한 본 발명에 따른 전자 빔 노출 장치의 일 실시예에서, 빔 분열기는 공간 필터(spatial) 필터, 바람직하게는 간극 어레이를 포함한다. 이 방식에서, 하나의 빔을 구비한 하나의 소스, 또는, 소스 세기(source intensity)가 불충분하거나 세기(intensity)가 빔을 가로질러(across) 변동할 때는, 몇몇의 소스들이 복수의 빔릿들로 쉽게 분열된다.
소스 세기(intensity)들이 높을 때, 소스 세기(intensity)들은 전자 빔 또는 복수 빔릿들의 경로를 따라 직렬인 다수의 간극 어레이들을 포함할 수 있고, 간극 어레이들은 상호 정렬된 간극들을 포함하며, 소스로부터 표적까지의 경로를 따라서 각각의 다음 간극 어레이는 이전 간극 어레이의 간극들보다 작은 간극들을 갖는다. 이것은 열 부하(heat load)를 감소시킨다.
간극 어레이의 일 실시예에서, 각 간극 어레이의 간극들은 육각형 구조로 정렬되고, 이것은 밀접한 통합(close integration)의 달성을 가능하게 한다.
간극 어레이를 포함하는 분열 수단을 포함하는 전자 빔 노출 장치의 추가적인 실시예에서, 간극 어레이의 각 간극은 동일 간극을 통해 투과되는 빔릿에 기초한 전류 밀도에 반비례하는 면적을 갖는다.
빔분열기를 포함하는 전자 빔 노출 장치의 추가적인 실시예에서, 빔분열기는 간극 어레이를 포함하고, 간극 어레이에서 간극 사이즈들은 소정 빔릿 전류들의 이산 집합(discrete set)을 생성하기 위하여 개조된다.
이 실시예들은 전자 빔릿들의 균일성(uniformity)을 향상시킨다.
빔분열기를 포함하는 전자 빔 노출 장치의 다른 추가적 실시예에서, 빔분열기는 4중극(quadrupole) 렌즈 어레이를 포함한다.
일 실시예에서, 본 발명에 따른 전자 빔 노출 시스템은 열이온 소스(thermionic source)를 포함한다. 일 실시예에서, 열이온 소스는 공간 전하 제한 체제(space charge limited regime)에서 조작되기 위하여 개조된다. 공간 전하는 이 특정 어플리케이션에서 선호되는, 균질화 효과(homogenising effect)를 갖는다. 더욱이, 어떤 환경들에서는, 공간 전하는 음 렌즈 효과(negative lens effect)를 가질 수 있다.
열이온 소스를 구비한 추가적인 실시예에서, 열이온 전자 소스는 구형 음전극 표면(spherical cathode surface)을 갖는다. 일 실시예에서, 열이온 소스는 적어도 하나의 추출기 전극(extractor electrode)을 포함한다. 다른 실시예에서, 추출기 전극은 평면 추출기 전극(planar extractor electorde)이다. 그것의 일 실시예에서, 추출기는 공간 전하 영역 다음에 위치하고 추출기에는 음 렌즈 효과를 유도하기 위한 양 전압이 제공된다. 이 전압들은 방출된 전자 빔에 대하여 음 렌즈 효과를 생성하기 위해서 미리 결정된 값들로 설정될 수 있다.
다른 실시예에서, 추출기 전극은 쓰루 홀(through hole)들을 구비한 구면의 표면(spherical surface)을 갖는다. 이 모든 실시예들은 전자 빔에 대한 음 렌즈 영향을 생성하는 것을 제공하고, 이리하여 전자 빔에서 크로스오버를 회피한다.
본 발명에 따른 전자 빔 노출 장치의 다른 실시예에서, 장치는 상기 소스에서 방출된 전자 빔이 상기 분열 수단에 도달하기 전에, 상기 소스에서 방출된 전자 빔을 평행한 전자 빔으로 변형시킨다.
전자 빔 노출 장치의 또다른 실시예에서 상기 빔릿 발생기는 소스들의 어레이를 포함하고, 각 소스는 전자 빔릿의 발생을 초래한다. 그것의 추가적인 실시예에서, 전자 빔 노출 장치는 상기 복수의 전자 빔릿들의 초점을 맞추기 위하여 상기 소스들의 어레이와 상기 빔릿 소거기 어레이 사이에 위치하는 제2 정전기적 렌즈 어레이를 포함한다.
빔릿 소거 수단을 구비한 전자 빔 노출 장치의 일 실시예에서, 상기 빔릿 소거기는 정전기적 편향기들을 포함한다.
본 발명에 따른 전자 빔 노출 장치의 또다른 실시예에서, 그것은 상기 표적 노출 표면을 주사하는 전자 빔릿들의 편향을 위하여 변조 어레이와 집속 전자 광 시스템 사이에 제공되는 주사 편향 수단을 포함한다. 그것의 일 실시예에서, 주사 편향 수단은 정전기적 주사 편향기들을 포함한다. 그것의 추가적인 실시예에서, 전자 빔 노출 장치에는 상기 정전기적 주사 편향기들을 이동시키는 작동 수단이 제공되고 상기 정전기 주사 편향기들에 의해 실행되는 편향의 방향과 다른 방향으로 패턴이 전사되는 표면의 평면에서 표적을 서로 상대적으로 홀드하는 상기 수단이 제공된다.
일 실시예에서, 주사 편향 수단 및 작동기(actuator)들의 타이밍 기준(base)을 서로에 대하여 시프트(shift)하기 위해서 조절기 또는 타임 시프터(time shifter)가 개조된다. 그것의 일 실시예에서, 변조기들의 제어 신호들은 타이밍 기준을 갖고 표적 홀더의 작동기들은 제2 타이밍 베이스를 갖고, 거기서 타이밍 베이스들은 서로에 대하여 시프트될 수 있다. 이것은 예를 들어 오직 하나의 빔릿을 사용하여 기록되는 중요 성분(critical component)으로서 표적 표면상에 기록되어야 하고 두개의 빔릿들 사이에 놓여지는 것을 갖기 위하여 사용될 수 있다.
그것의 추가적인 실시예에서, 전자 빔 노출 장치는 변조 어레이와 집속 전자 광 시스템 사이에 부가적 간극 플레이트(plate)를 더 포함하고, 부가적 간극 플레이트는 표적의 노출 표면을 향하면서 실질적으로 노출 표면에 평행한 하나의 표면을 갖는데, 여기서 상기 정전기적 주사 편향기들은 소거기 어레이와 집속 전자 광 시스템의 정전기적 렌즈 어레이 사이에 위치한 표적의 노출 표면을 향하는 부가적 간극 플레이트의 측면에 놓인 전도(conducting) 스트립(strip)들이다. 그것의 다른 실시예에서, 정전기적 주사 편향기들은 집속 전자 광 시스템에 존재하는 렌즈 플레이트(plate)들 중 어느 것의 표적 노출 표면 측면에 놓인 전도 스트립(strip)들이다. 그것의 일 실시예에서, 전도판들은 양자택일적으로 양 또는 음의 전위(potential)을 갖는다.
소거 정전기적 편향기(blanking electrostatic deflector)들을 구비한 전자 빔 노출 장치의 일 실시예에서, 이 편향기들은 빔릿의 소정 섹션(section)이 빔릿 스톱 어레이에 의해 정지되는 방식으로 전자 빔릿들을 편향시킨다.
본 발명에 따른 전자 빔 노출 장치의 추가적인 실시예에서, 복수의 투과된 전자 빔릿들에서 전자들을 가속하기 위하여, 그것은 집속 전자 광 시스템의 정전기적 렌즈 어레이와 상기 보호 수단 사이에 위치하는, 사후-축소 가속 스테이지(post-reduction acceleration stage)를 더 포함한다.
제어기의 일 실시예에서, 그것에는
- 상기 빔릿들의 이론상 위치와 실제 위치를 비교하는 것
- 상기 빔릿들의 이론상 위치와 실제 위치 사이에서 측정된 차를 보상하기 위하여 제어 신호들을 조절하는 것
에 의하여 표적 노출 표면상 전자 빔릿들의 부정확한 위치이동을 보상하는 교정 수단이 더 제공된다.
본 발명에 따른 전자 빔 노출 장치의 일 실시예에서, 그것은 보호 수단을 더 포함하고, 보호 수단은 충돌하는 전자들에 의해 방출되는 입자들이 간극 어레이들, 렌즈 어레이들 또는 차단기 어레이들 중 어느 하나에 도달하는 것을 방지하고, 바람직하게는 집속 전자 광 시스템의 정전기 렌즈와 표적의 노출 표면 사이에 위치하며, 바람직하게는 간극 어레이를 포함하는데, 여기서 간극들은 20[㎛] 보다 작은 사이즈를 갖는다. 본 발명에 따른 전자 빔 노출 장치의 일 실시예에서, 모든 렌즈 어레이들, 간극 어레이들 및 소거기 어레이들은 전원 공급기(power supply)에 연결되고, 전원 공급기는 가스가 시스템 내부로 들어왔을 때 플레이트들을 청결하게 하고 모든 오염 물질들을 제거하는 플라즈마를 생성한다.
본 발명에 따른 전자 빔 노출 장치의 추가적인 실시예에서, 시스템은 장치를 청결하게 유지하기 위하여 약 200-600[℃]의 높은 온도에서 조작된다.
본 발명은
복수의 전자 빔릿들을 발생하는 빔릿 발생기;
전자 빔릿의 세기(intensity)를 변조하는 복수의 변조기들을 포함하고, 상기 복수의 전자 빔릿들을 제공받는 변조 어레이;
상기 변조 어레이에 조작적으로 연결되고, 제어 신호를 사용하여 상기 변조기를 개별적으로 제어하는 제어기;
정전기적 렌즈들의 어레이를 포함하고, 상기 각각의 렌즈는 상기 변조 어레이를 지나서 투과된 해당 개별적 빔릿의 초점을 300 nm보다 작은 단면에 맞추는 집속 전자 광 시스템;
집속 전자 광 시스템의 제1 초점면에서 패턴이 전사되는 노출 표면을 구비한 표적을 홀드하는 표적 홀더를 포함하고,
상기 빔릿 발생기는 적어도 하나의 열이온 소스를 포함하고, 상기 소스는 공간 전하 제한 영역(space charge limited region)에서 조작되기 위하여 개조된 적어도 하나의 추출기 전극을 포함하고,
전자 빔을 발생하기 위하여 개조된 상기 소스 및 상기 빔릿 발생기에는 상기 전자 빔을 복수의 전자 빔릿들로 분열하는 빔분열기가 더 제공된다.
그러한 특정 빔릿 발생기의 사용은 높은 처리율을 제공하기에 충분한 전류를 균일한 빔릿들에 제공하는 것을 가능하게 한다. 그것의 일 실시예에서, 상기 추출기 전극은 상기 공간 전하 영역의 다음에 위치하고 상기 전자 빔에 음 렌즈 효과를 유도하기 위하여 양의 전압(positive voltage)이 제공된다.
본 발명은 더욱이 복수의 전자 빔릿들을 발생하기 위한 전자 빔 발생기에 관한 것이고, 여기서 상기 빔릿 발생기는 적어도 하나의 열이온 소스를 포함하고, 상기 소스는 공간 전하 제한 영역(space charge limited region)에서 조작되기 위하여 개조된 적어도 하나의 추출기 전극을 포함하고, 전자 빔을 발생하기 위하여 개조된 상기 소스, 및 상기 빔릿 발생기에는 상기 전자 빔을 복수의 전자 빔릿들로 분열시키기 위한 빔분열기가 더 제공된다.
본 발명은 복수의 전자 빔릿들을 발생하기 위한 빔릿 발생기, 각 전자 빔릿을 변조하기 위한 복수의 변조기들, 및 각 변조기에 타이밍 기준(base)을 갖는 제어 신호를 제공하기 위한 제어기를 더 포함하여, 표적의 표면상에 패턴을 전사하는 전자 빔 노출 장치에 관한 것이고, 여기서 상기 제어기는 나머지 제어 신호들에 대하여 제어 신호의 타이밍 기준(base)을 개별적으로 조절하기 위하여 개조된다.
이 장치에서, 위치 이동(positioning) 및 변조의 문제는, 구성요소들의 수를 감소시키고 강인한 장치를 제공하는 매우 용이하고 멋진 방식으로 해결된다.
본 발명은 더욱이 상기에서 기술된 전자 빔 노출 장치를 이용하여, 전자 빔으로 표적 노출 표면상에 패턴을 전사하는 방법, 및 본 발명의 장치를 이용하여 처리되는 웨이퍼(wafer)에 관한 것이다. 장치는 더욱이 예를 들어 최신식의 광 리소그래피 시스템들에서 사용되는 것처럼, 마스크(mask)의 제조에 사용될 수 있다.
개선된 전자 빔 노출 시스템이 제공된다.
도1은 본 발명에 따른 전자 빔 노출 장치를 도시한 것이다.
도2A는 종래 전자 빔 노출 장치의 상세도이다.
도2B는 본 발명에 따른 전자 빔 노출 장치의 상세도이다.
도3은 구면의 외부 표면을 구비한 전자 소스를 도시한 것이다.
도3A는 공간 전하 영역을 구비한 소스를 도시한 것이다.
도4는 빔릿들로부터 시작하는 전자 빔 노출 장치의 일 실시예를 도시한 것이다.
도5A, 5B는 본 발명의 주사 편향 어레이들의 실시예를 도시한 것이다.
도6A, 6B는 본 발명의 주사 경로(trajectory)들을 도시한 것이다.
도7A-7D는 변조 타이밍의 조절을 도시한 것이다.
8A, 8B는 변조 타이밍 조절의 효과들을 도시한 것이다.
본발명의 일 실시예가 도1에 개략적으로 도시되었다. 전자들은 하나의, 안정된 전자 소스(electron source)(1)로부터 방출된다. 방사 시스템(illumination system)은 간극 플레이트(aperture plate)(6)상의 희망 영역(desired area)에 균일하게 방사하기 위하여 방출된 전자 빔(5)의 초점을 맞추어 평행하게 한다. 이것은 예를 들면 렌즈들(3 및 4)을 이용함으로써 성립될 수 있다. 간극 플레이트(6)에 기인하여 전자 빔(5)은 복수의 전자 빔릿(beamlet)들로 분열되고, 분열된 복수의 빔릿들 중 두개(5a 및 5b)가 도시되었다. 복수의 전자 빔릿들을 생성하는 다른 방식은 전자 소스들의 어레이를 사용하는 것이다. 각 전자 소스는 전자 빔릿을 발생하고, 전자 빔릿은 하나의 소스와 분열 수단의 결합(combination)을 이용하여 생성되는 것과 같은 방식으로 변조된다. 각 소스의 방출 특성이 약간 다르므로, 빔분열기(beamsplitter)(6)을 구비한 단일 소스(1)가 바람직하다. 정전기적 렌즈들(7)의 어레이는 희망 직경(desired diameter)으로 각 빔릿의 초점을 맞춘다. 개별적인 빔릿과 빔릿 소거기 어레이(beamlet blanker array)(8)의 플레이트 내에 있는 간극이 부합하는 방식으로 빔릿 소거기 어레이(8)가 위치한다. 빔릿 소거기 어레이(8)는 빔릿-소거기(beamlet-blanker)들, 예를 들어 소거 정전기적 편향기(blanking electrostatic deflector)들을 포함한다. 소거 편향기(blanking deflector)에 전압이 인가되면 해당 간극을 가로질러 전기장이 성립된다. 통과하는 전자 빔릿(예를 들어 빔릿(9))은, 전자 빔릿 경로(trajectory)를 따라 빔릿 소거기 어레이(8) 뒤에 위치하는, 빔릿 스톱 어레이(beamlet stop array)(10)에서 편향되어 종결된다. 소거 편향기(blanking deflector)에 인가된 전압이 없을 때 전자 빔릿은 빔릿 스톱 어레이(10)를 통과할 것이고, 정전기적 렌즈들의 어레이(13)를 포함하는 집속 전자 광 시스템(focussing electron optical system)에 도달할 것이다. 이 어레이(13)는 투과되는 빔릿들(12) 각각의 초점을 표적 노출 표면(14)상에 개별적으로 맞춘다. 최종적으로 주사 편향 수단(scanning deflection means), 가장 많은 경우 정전기적 주사 편향기(electrostatic scan deflector)들은, 표적 노출 표면(14)상에 한 방향으로 일제히(together) 빔릿들을 이동시킨다. 도1에서 도시된 실시예에서 주사 편향기들은 빔릿 스톱 어레이(10)의 표적 노출 표면 측면(11a)에 위치하고, 이리하여 부가적 주사 편향 어레이(11)를 형성한다. 그러나, 다른 위치들도 또한 가능하다. 주사하는 동안 표적 노출 표면(14) 및 주사 편향기들은 주사 편향의 방향과 다른 방향에서 서로 상대적으로 이동한다. 보통 표적은 절연 레이어(resist layer)로 덮힌 웨이퍼(wafer) 또는 마스크(mask)이다.
도1에서 도시된 구성의 주목할만한 면은 빔릿 소거기 어레이(8)과 빔릿 스톱 어레이(10)의 결합에 의해 생성되는 완전한 화상(entire image)이 대체적으로 축소되지 않는다는 것이다. 대신에, 각각의 개별적인 빔릿은 집속 전자 광 시스템(focusing electron optics system)(13)에 의하여 표적 노출 표면(14)상에 개별적으로 초점이 맞춰진다. 이러한 두 방식들 사이의 차이가 도2a 및 도 2b에 도시되었다. 도2a에서 두개의 전자 빔릿들(5a 및 5b)을 포함하는 전체 화상(entire image)은 희망 해상도(desired resolution)를 획득하기 위하여 축소된다. 화상을 축소하는 것은 적어도 하나의 교차(X)를 필요로 한다. 이 교차에서, 모든 전자들은 작은 영역을 통과해야만 한다. 쿨롱 상호작용들은 그 교차(X)에서 해상도를 저하한다.
본 발명에서는 도2b에 도시된 방법이 사용된다. 표적 노출 표면(14)상에 투사되는 두개의 인접한 빔릿들(5a, 5b)을 고려해 보자. 축소 방식(demagnification approach)을 사용하면 두 빔릿들 사이의 거리도 또한 작아진다. 그러나, 본 발명의 집속 방식(focusing approach)은 두 빔릿들 사이의 이 거리를 변경하지 않는다(예를 들어, 도 2b의 d3=d4). 도 2b에 도시된 바와 같이, 오직 각 빔릿의 단면만이 축소된다.
도1의 전자 소스(1)는 전형적으로 약 30-300 [㎛2]의 영역으로부터 100 [A/㎠]을 분출한다(deliver). 일 실시예에서, 열이온 소스(thermionic source)가 사용된다. 공간 전하의 균질화 효과(homogenising effect)로부터 이익을 얻기 위하여 전자들은 바람직하게는 공간 전하 제한 방출 체제(space charge limited emission regime)에서 방출된다. 그러한 소스의 실례들은 LaB6 결정(crystal), 바륨 옥사이드(Barium Oxide)를 포함하는 분배 소스(dispenser source), 또는 스칸듐 옥사이드(Scandium Oxide)로 덮힌 바륨(Barium) 또는 텅스텐(Tungsten)의 레이어(layer)를 포함하는 분배 소스(dispenser source)이다.
추출기 전극(extractor electrode)들(2)은 보통, 항상 필요한 것은 아니지만, 빔의 초점을 맞춘다. 방사 렌즈들(3-4)은 간극 어레이(6)상에 전자들(5)의 평행 빔을 생성한다. 렌즈들(3-4)은 쿨롱 상호작용들의 결과로서 전개되는 빔 에너지의 제한을 최적화하고, 즉 빔의 개방 각(opening angle)이 가능한 한 크게 만들어진다. 더욱이 렌즈들(3-4)은 색 또는 구면 수차 효과들에 의해 생성되는 빔 흐림(blur)의 제한을 최적화한다. 후자에 있어서, 렌즈 전극으로서 간극 어레이(6)를 사용하는 것이 유리할 것이고, 왜냐하면 렌즈 전극으로서 간극 어레이(6)를 사용하는 것은 음의 색수차(negative chromatic aberration) 및 구면 수차(spherical aberratation)를 생성하여 렌즈들(3-4)의 수차들을 보상할 수 있게 되기 때문이다. 더욱이, 패턴의 촛점을 약간 맞추거나 흐리게 하는 것에 의해 패턴을 확대하기 위하여 렌즈(4)를 이용하는 것이 가능하다.
그러한 실시예에서, 그러나, 단일 방출체(emitter)로부터 방출된 전자 빔은 그것이 퍼지기 전에 작은 크로스오버 x에서 초점이 맞춰진다. 이 크로스오버 x 내에는 이 크로스오버 x에서의 전자-전자 상호작용들에 기인하여 발산되는 큰 에너지가 있다. 결국 크로스오버 x는 표적 노출 표면상에 축소된 상을 만들 것이다. 쿨롱 상호작용들에 기인하여 희망 해상도가 성취되지 않는다. 전자 빔을 퍼지게 하여 크로스오버 없이 평행하게 하는 방법이 그러므로 요구된다.
도3에서 도시된 제1 실시예에서, 방사 전자 광학(illumination electron optics)에서의 크로스오버는 구형의(spherical) 또는 반구상의(hemispherical) 외부 표면(15)을 구비한 전자 소스(1)를 사용하는 것에 의하여 회피된다. 이 구성에서는 큰 개방 각 α가 형성되고, 이것은 방출된 전자 빔(5)에서의 전자-전자 상호작용들에 기인한 흐림을 감소시킨다. 부가적으로 전자 빔들은 구면파 파면(spherical wave front)을 형성하고 있고, 이것은 결국 소스의 중심에 위치한 가상의 크로스오버(16)로 귀결된다. 가상의 크로스오버에 존재하는 전자들은 없고; 따라서 전자-전자 상호작용들의 방해가 없다.
전자들은 큰 홀(hole)들을 포함하는 구형의(spherical) 추출기로 추출될 수 있다. 추출기의 외형이 구형인 주요 이점은 더욱 균일한 필드(field)가 생성된다는 것이다.
도3A에 도시된 다른 실시예에서, 전압 Vs에 있고 원거리 평면 추출기(distant planar extractor)(11)를 갖는 소스/음전극(cathod)으로부터 전자들을 추출하는 것에 의하여 크로스오버가 회피된다. 평면 추출기는 소스(1)에 대하여 양의 전압 +V1을 갖는다. 소스와 추출기의 결합은 이제 음 렌즈로 알맞다. 추출기(l1)를 통과하는 추출된 전자들은 이와 같이 분기하는 전기장에 기인하여 퍼진다. 다시, 가상의 크로스오버가 생성되고, 이것은 쿨롱 상호작용들에 기인한 해상도 손실을 크게 감소시킨다. 도3A에 도시된 것처럼 소스(1)와 추출기(l1)사이에 공간 전하 영역(space charged region)(S)이 존재한다. 이 공간 전하의 존재는 소스-추출기 결합에 의해 생성되는 음 렌즈 효과를 향상시킨다.
V1을 조정(tuning)하는 것에 의해 소스(1)가 그것의 공간 전하 제한 방출 모드(space charge limited emission mode)에서 동작하는 것이 가능하다. 이 방출 모드의 주요 이점은 방출 균질성(homogeneity of the emisssion)의 현저한 증가이다. 총 전류의 증가는 한정된 방출 영역을 구비한 소스를 선택하는 것에 의하여 제한될 수 있다.
간극 어레이(6)는 약 50-500[㎛]의 피치(pitch)를 구비하고 전형적으로 직경 5-150[㎛]의 간극들을 갖는다. 간극들은 바람직하게는 육각형 패턴(hexagonal pattern)으로 정렬된다. 간극 어레이(6)는 유입되는 평행한 전자들(5)의 빔을 복수의 전자 빔릿들로 분열시키고, 전형적으로 약 5,000-30,000의 차수이다. 간극들의 사이즈는 방사(illumination)의 비-균일 전류 밀도를 보상하기 위하여 조절된다. 각 간극은 동일 간극을 통해 투과되는 개별적인 빔릿들에 기초한 전류 밀도에 반비례하는 면적을 갖는다. 결과적으로 각각의 개별적인 빔릿에서의 전류는 동일하다. 만일 간극 플레이트상의 열 부하가 너무 커지면, 몇몇의 간극 어레이들이 전자 빔 또는 복수의 전자 빔릿들의 경로를 따라 간극 직경들을 감소시키면서 직렬로 정렬된다. 이 간극 어레이들은 상호 정렬된 간극들을 갖는다.
평행하게 된 전자빔(5)을 복수의 전자 빔릿들로 분열시키는 다른 가능한 방식은 4중극 렌즈 어레이의 사용이다. 그러한 어레이의 가능한 구성은 US-6.333.508에 개시되고, 이 문서는 여기에 전체가 기재된 것처럼 참조문으로 인용된다.
도4는 복수의 빔릿들로부터 시작하는 본 발명의 실시예들 중 하나에서 리소그래피 시스템의 상세하고 보다 충실한 형태를 보여준다. 집광 렌즈(condensor lens) 어레이(7)는 약 0,1-1[㎛]의 직경에 각 빔릿의 초점을 맞춘다. 그것은 홀(hole)들을 갖는 두개의 정렬된 플레이트(plate)들을 포함한다. 홀들은 약 50-500[㎛]의 피치(pitch)를 구비하고 전형적으로 직경 5-150[㎛]의 간극들을 갖는 반면, 플레이트들의 두께는 전형적으로 약 10-500[㎛]이다. 빔릿들로부터 차폐되는 절연체(insulator)들(미도시)이 전형적으로 서로로부터 1-10[㎜] 거리에서 플레이트들을 지지한다.
변조 어레이는 빔릿 소거기 어레이(8) 및 빔릿 스톱 어레이(10)를 포함한다. 빔릿 소거기 어레이(8)에서, 전형적인 횡단선 에너지(transversal energy)는 1-20[meV]의 차수인 반면 전형적인 빔 직경은 약 0,1-5[㎛]이다. 빔릿 소거 수단(17)은 전자 빔릿들의 온(on) 및 오프(off)를 스위칭하기 위하여 사용된다. 그것들은 다수의 전극들을 포함하는 소거 정전기적 편향기(blanking electrostatic deflector)들을 포함한다. 바람직하게는 적어도 하나의 전극이 접지된다. 다른 전극은 회로에 연결된다. 이 회로 제어 데이터를 경유하여 데이터가 소거 정전기적 편향기들로 전송된다. 이 방식에서, 각 소거 편향기는 개별적으로 제어될 수 있다. 빔릿 소거 수단(17)을 사용하지 않으면 전자 빔릿은 간극들을 통해 빔릿 스톱 어레이(10)를 통과할 것이다. 빔릿 소거기 어레이(8)에서 소거 정전기적 편향기 전극(blanking electrostatic deflector electrode)상에 전압이 인가될 때, 해당 전자 빔릿은 편향되어 빔릿 스톱 어레이(10)상에서 종결할 것이다.
일 실시예에서, 빔릿 소거기 어레이(8)는 전자 빔릿들의 정전기적 초점면(electrostatic focal plane)에 위치한다. 이 위치의 소거기 어레이를 사용하는 것으로 인해, 시스템은 왜곡(distortion)들에 덜 민감하다. 이 실시예에서, 빔릿 스톱 어레이는 전자 빔릿들의 초점면 외부에 위치한다.
투과된 빔릿들은 이제 표적 노출 표면(14)상에 초점이 맞춰져야 한다. 이것은 정전기적 렌즈들을 구비한 적어도 하나의 어레이을 포함하는 전자 광 시스템(13)의 초점을 맞추는 것에 의하여 실행된다. 개별적으로 투과된 전자 빔릿 각각은 해당 정전기적 렌즈에 의하여 표적 노출 표면상에 초점이 맞춰진다. 렌즈 어레이는 둘 이상의 플레이트들(13a 및 13b)을 포함하고, 양자는 약 10-500[㎛]의 두께를 갖고 직경 약 50-250[㎛]의 간극들(13c)을 갖는다. 두개의 연속적인 플레이트들 사이의 거리는 약 50-800[㎛]사이이고 플레이트에 따라 다를 수 있다. 필요하다면, 집속 전자 광 시스템은 또한 자기 타입의 렌즈 어레이를 포함할 수 있다. 전자 광 시스템의 집속(focusing) 특성을 더 향상시키기 위하여, 그것은 빔릿 스톱 어레이(10)와 정전기적 타입의 대물 렌즈 어레이(13) 사이에 위치한다.
웨이퍼 또는 마스크에 패턴을 넣는 모든 전자 빔 리소그래피 시스템들에서의 주요한 문제점은 오염(contamination)이다. 그것은 절연 레이어(resist layer), 절연 디그레이즈(degrades)에서 전자들과 입자들 사이의 상호작용에 상당히 기인하여 리소그래피 시스템의 성능을 감소시킨다. 중합 절연체(polymeric resist)에서, 분자들이 크래킹(cracking)에 기인하여 방출된다. 방출된 절연 입자들은 진공을 통해 이동하고 시스템에 존재하는 구조들 중의 어느 것에 의하여 흡수될 수 있다.
오염 문제를 극복하기 위해서, 특정(particular) 실시예에서 표적 노출 표면에 아주 접근하여, 즉 표적 노출 표면과 집속 전자 광 시스템 사이에 보호 수단이 위치한다. 상기 보호 수단은 금속 박편(foil) 또는 플레이트일 수 있다. 양 옵션(option)들에는 직경 20[㎛]보다 작은 간극들이 제공된다. 방출된 절연 입자들이 리소그래피 시스템의 민감한 구성요소들 중 어느 것에 도달할 수 있기 전에 보호 수단은 방출된 절연 입자들을 흡수한다. 어떤 경우 소정 기간 이후에, 예를 들어 웨이퍼 또는 마스크가 모두 처리된 다음에 보호 수단을 리프레시(refresh)하는 것이 필요하다. 보호 수단의 경우 전체 플레이트는 대체될 수 있다. 특정 실시예에서, 금속 박편(foil)은 금속 박편 와인더(foil winder)들 둘레에 감긴다. 금속 박편(foil)의 작은 섹션(section)이 전체 표적 노출 표면(14)의 바로 위에 조여진다. 오직 이 섹션이 오염물질(contaminant)들에 노출된다. 일정 기간 이후에, 흡수된 입자들로 인하여 금속 박편(foil)의 보호 능력이 급속히 작아진다. 노출된 금속 박편(foil) 섹션은 그후에 대체될 필요가 있다. 이를 위하여 금속 박편(foil)은 하나의 코일 와인더에서 나머지 코일 와인더로 전달되고, 이렇게 새로운(fresh) 금속 박편(foil) 섹션이 오염 입자들에 노출된다. 상기에 기술된 전체 시스템은 비교적 낮은 전압들에서 동작한다. 고-에너지 전자들이 요구되는 동작들에서, 부가적 가속 스테이지(additional acceleration stage)가 집속 전자 광 시스템의 정전기적 렌즈 어레이와 보호 수단 사이에 위치한다. 이 가속 스테이지는 통과하는 전자들에 에너지를 부가한다. 빔은 부가적으로 수십 킬로전자볼트들(kiloelectronvolts), 예를 들어 50[keV] 가속될 것이다.
도1에서 앞서 설명한대로, 빔릿 스톱 어레이(10)를 성공적으로 통과한 빔릿들(12)은 두가지 수단에 의하여 표적 노출 표면(14)의 희망 위치를 향하여 보내진다. 우선 첫째로 작동 수단은 표적 노출 표면(14) 및 시스템의 나머지를 어떤 기계적 주사 방향에서 서로 상대적으로 이동시킨다. 두번째로 주사 편향 수단은 정전기적으로 투과된 빔릿들(12)을 기계적 주사 방향과 다른 방향에서 주사한다. 주사 편향 수단은 정전기적 주사 편향기들(18)을 포함한다. 도1 및 도3에서 이 주사 편향기들(18)은 부가적 간극 어레이(11)상에 위치하고, 도4에 도시되었다.
일 실시예에서, 편향이 필수적으로 대물 렌즈들의 앞 초점면에서 발생하기 위하여, 정전기적 주사 편향기들(18)은 대물 정전기적 렌즈 어레이(objective electrostatic lens array)(13)의 플레이트들 중 하나의 표적 노출 표면 측면상에 놓인다. 희망 결과는 편향된 빔릿들이 표적 노출에 수직으로 충돌하는 것이다.
다른 실시예에서 두개의 편향기 어레이들이 존재하고, 하나는 제1 방향에서 편향시키고 나머지는 반대편의 제2 방향에서 편향시킨다. 결합된 편향은 표적 표면에 대한 빔릿의 수직축 변경 없이, 표적 표면 위치에서 빔릿들의 변위(displacement)를 초래한다.
제2 실시예에서, 정전기적 주사 편향기들(18)은 보호 수단상에 위치한다.
정전기적 주사 편향기들(18)은 주사 편향 전극(scan deflection electrode)들을 포함하고, 주사 편향 전극들은 동일 방향에서 전자 빔릿들의 어셈블리를 편향시키기 위하여 정렬된다. 주사 편향 전극들은 도5A에 도시된 것처럼 표적 노출 표면 측면의 적합한 플레이트(20)상에 스트립(strip)들(19)의 형태로 놓일 수 있다. 스트립들(19)이 빔릿들 가까이 놓여서 간극(21)에 근접할 때 최선의 결과를 초래할 수 있기 때문이다. 더욱이, 주사 편향 전극들은 개별적인 빔릿 크로스오버 면(individual beamlet crossover plane)의 외부에 위치하는 것이 바람직하다.
일 실시예에서 도5B에 도시된 것처럼 연속적인 스트립들(19)상에 교류 전압들을 인가함으로써, 제1 어셈블리가 한 방향으로 주사되는 반면 다음 것은 반대편 방향에서 주사된다. 제1 스트립은 예를 들어 양의 전위, 제2의 것은 음의 전위, 다음 것은 양 등을 갖는다. 주사 방향은 y로 표시된다. 투과된 전자 빔릿들 중 하나의 라인은 그후에 -y 방향에서 주사되고, 반면 동시에 다음 라인은 +y 를 향하여 보내진다.
이미 언급되었듯이 기계적 주사(mechanical scan) 방향 M과 편향 주사(deflection scan) 방향 S의, 두개의 주사 방향들이 있고, 양자는 도6A 및 6B에 도시되었다. 기계적 주사는 세개의 방식들로 실행될 수 있다. 표적 노출 표면이 이동하거나, 시스템의 나머지가 이동하거나 그것들 양자가 다른 방향들로 이동한다. 편향 주사는 기계적 스캔과 비교하여 다른 방향으로 실행된다. 기계적 주사 방향에 수직이거나 거의 수직인 것이 바람직하고, 왜냐하면 주사 편향 길이 Dx는 동일한 편향 주사 각 αsd에 대하여 더 크기 때문이다. 두개의 바람직한 주사 경로들이 있고, 양자는 도6에서 명확히 도시되었다. 첫번째 것은 삼각형 모양의 주사 경로(도6A), 두번째 것은 톱니 모양의 주사 경로(도6B)이다.
기계적 주사 길이가 처리율을 제한하는 요소일 때, 상기에서 기술된 전자 빔 노출 장치의 어셈블리는 동시에 전체 웨이퍼를 노출하기 위하여 사용된다.
이상적인 격자(grid)가 웨이퍼상에 존재해서 전자 빔릿들이 격자 좌표상에 정확하게 위치될 수 있다고 가정한다. 전자 빔릿이 최소 특징(feature) 크기의 1/30 내에 위치될 수 있을 때 정확한 패턴이 생성된다고 가정한다. 그후에 하나의 픽셀을 기록하기 위하여, 30 주사 라인들 그리고 이에 따라 30*30=900 격자 포인트들이 요구된다. 45 [nm]-모드에 있어서 전자 빔릿의 위치이동은 1.5[nm]의 범위 내에서 제어가능해야 한다. 데이터 경로는 따라서 엄청난 양의 데이터를 처리할 수 있어야 한다.
상기에 기술한 기록 방법은 빔릿이 오직 온(on) 또는 오프(off)로 스위칭될 수 있다는 가정에 기초한다. 더 적은 격자 라인들, 따라서 더 적은 격자 셀(cell)들에 의해 데이터량을 감소시키기 것이 논리적인 방식이다. 그러나, 희망 패턴(desired pattern)의 길이(dimension) 제어는 상당히 어렵다(suffer). 이 문제를 극복하는 방식은 이산 용량 제어(discrete dose control)를 이용하여 표적 노출 표면(14)에 패턴을 넣는 것이다. 다시 패턴은 구형(rectangular) 격자에 따라 분리된다. 그러나, 격자 라인들의 수는 훨씬 더 작아서 예를 들면 길이(dimension)당 2-5이고, 이것은 약 4-25의 많은 격자 포인트들로 귀결된다. 더 정교한 격자에 관한 동일한 패턴 신뢰도(reliability)를 얻기 위하여, 각 격자 셀의 세기(intensity)는 가변적이다. 세기(intensity)는 소위 그레이 값(gray value)에 의해 표현된다. 3비트 그레이값으로 표현하는 경우, 값들은 초대 용량의 0, 1/7, 2/7, 3/7, 4/7, 5/7, 6/7 및 1 배이다. 각각의 셀은 제어된 용량(dose) 변동에 기인한 더 많은 정보로 표현됨에도 불구하고, 빔릿의 위치이동을 위하여 요구되는 데이터의 수는 감소한다.
본 발명에서 그레이 스케일 기록은 몇몇 방식으로 도입될 수 있다. 우선 첫째로 빔들의 편향은 빔의 일부가 표적 노출 표면(14)으로의 이동을 계속하는 동안, 빔의 일부가 빔릿 스톱 어레이(10)를 통과하는 방식으로 제어될 수 있다. 이 방식에서는 예를 들어 빔의 1/3 또는 2/3가 정지될 수 있고, 결국 표적 노출 표면상에서는 네개의 용량(dose)들, 말하자면 2 비트 그레이 값 표현에 해당하는, 최대 용량의 0, 1/3, 2/3 및 1배가 가능해진다.
그레이 레벨들을 생성하는 다른 방법은 빔릿들이 소정량의 시간 T동안 표적 표면에 대하여 이동하지 않는 방식으로 빔릿들을 편향하는 것이고, 시간 T의 소정 량은 소거기들의 최소 온(on)/오프(off) 시간보다 길다. 이러한 긴 시간 T 동안, 변조기는 이제 1,2,3,등의 샷(shot)들을 하나의 위치에 둘 수 있고, 이리하여 그레이 레벨들을 생성한다.
이러한 네개의 소위 그레이값들을 생성하는 다른 방법은 간극 어레이(6)에서 간극 사이즈를 변경하는 것이다. 만일 예를 들어 세개의 간극 사이즈들, 원래 사이즈, 원래 전류의 절반이 통과하는 것을 허가하는 사이즈 및 원래 전류의 오직 4분의 1만 통과하는 영역을 구비한 간극들이 있다면, 앞서 언급한 바와 동일한 이산 용량(dose) 값들이 생성될 수 있다. 빔릿 소거기 배열(8)의 편향 전극들(17)로 빔릿들의 온(on) 및 오프(off)를 스위칭함으로써 희망 용량(desired dose)이 표적 노출 표면(14)상에 두어질 수 있다. 후자의 단점은 하나의 픽셀을 기록하기 위하여 더 많은 빔릿들이 필요하다는 것이다. 앞서 언급한 이산 용량 제어 방법들을 포함하는 대부분은 또한 4 그레이 값들 이상, 예를 들어 8, 16, 32 또는 64 그레이 값들을 생성하기 위하여 사용될 수도 있다.
표적 노출 표면상의 빔릿들의 위치는 흔히 희망 위치들과 정확히 일치하지 않는다. 이것은 예를 들어 다른 어레이들의 서로에 대한 비정렬에 기인한다. 부가적으로, 제조상의 에러들 또한 개별적인 빔릿들의 오프셋(offset)에 기여할 수 있다.제어기로부터 표적의 노출 표면상에 정확한 패턴을 전사하기 위하여, 교정(correction)들이 실행되어야 한다. 이 때문에, 특정 실시예에서, 우선 빔릿들의 위치가 측정되고 저장된다. 각 위치는 그후에 빔릿이 가져야 하는 위치와 비교된다. 위치차는 그후에 변조 수단으로 전송되는 패턴 정보에 통합된다.
변조 수단으로 전송되는 신호 시퀀스(signal sequence)를 변경하는 것은 많은 시간이 걸리므로, 측정된 위치차는 그것을 빔릿 변조 제어에서 해당하는 타이밍 차(difference in timing)로 변형시키는 것에 의하여 패턴 정보에 통합된다. 도7A-7D 및 8A-8D는 변조 타이밍의 조절이 어떻게 실행되는지를 설명한다. 이미 언급한대로 빔릿 주사는 두개의 주사 기법(mechanism)들인 기계적 주사 및 편향 주사를 결합하는 것에 의해 실행된다. 각 빔릿으로 전송되는 모든 패턴 데이터는, 편향 주사 라인(deflection scan line)별로 공급된다. 도7A 및 7B에 도시된 바와 같이, 패턴이 넣어지는 표적의 노출 표면상에서 희망 편향 주사 폭(desired deflection scan width), Wscan은 장치가 처리할 수 있는 편향 주사 폭, Woverscan보다 작다. 과도 주사 능력(overscan ability)은 편향 주사 방향에서 교정을 가능하게 한다. 도7A에서 빔릿은 정확히 위치된다. 그러나, 도7B에서, 빔릿은 우측으로 이동한다. 빔릿이 희망 영역(desired area)으로 들어갈 때 패턴 데이터가 적용(apply)되는 방식으로 타이밍을 조절함으로써, 오프셋(offset)이 보상될 수 있다. 기계적 주사 방향의 조절은 도7B에 묘사된 것보다 덜 정밀하다. 패턴 데이터가 주사 라인별로 기록되므로, 오직 이산의 시간 지연(discrete time delay)만 가능하고, 다시 말하면 패턴 발생은 주사 라인별로 지연되거나 가속될 수 있다. 임의의 시간 지연(random time delay)은 완전히 새로운 제어 데이터 시퀀스(sequence)로 귀결된다. 그러한 시퀀스(sequence)의 계산은 많은 시간이 걸리고 따라서 바람직하지 않다. 도7C 및 7D에는 결과가 무엇인지가 묘사된다. 도7C에서 다시 빔릿의 희망 위치(desired location)가 그것의 첫번째 다섯개의 해당 주사 라인들과 함께 보여진다. 도7D에서 빔릿의 실제 위치 및 그것의 경로가 보여진다. 희망 빔릿 및 주사 라인들이 각각 속이 빈 원과 단선(dashed line)으로 또한 명확하게 묘사되었다. 희망 상태(desired situation)에서의 제1 주사 라인은 빔릿에 의해 패턴이 넣어질 필요가 있는 영역을 덮지(cover) 않는다. 따라서 빔릿은 제2 주사 라인의 중간에서 패턴을 넣기 시작한다. 효과적으로 정보의 지연은 하나의 편향 주사 라인을 주사하는데 필요한 시간적 기간(time period)만큼 소요된다.
도8A 및 8B는 이상적으로 위치되지 않은 빔릿에 의해 기록된 구조의 최초 부정확한 위치를 타이밍의 변화가 어떻게 교정하는 지를 보여준다. 도8A는 어떤 타이밍 교정도 없는 상황을 묘사한다. 속이 빈 점은 정확한 위치에 있는 빔릿을 나타내고, 반면 속이 채워진 것은 빔릿의 실제 위치를 나타낸다. 패턴을 기록하기 위하여 빔릿은 그려진 라인을 따라 주사된다. 라인은 이상적인 경우 파선이고 실제의 경우 실선이다. 이 실례에서 기록된 구조는 단일 라인이다. 흑색 또는 백색을 기록하는 방법을 고려해 보면, 즉 빔릿이 "온(on)" 또는 "오프(off)"이다. 패턴은 "온(on)" 신호가 변조 수단으로 전송되었을 때 기록된다. 단일 라인을 기록하기 위하여 위쪽 그래프에서 보여지는 것과 같은 어떤 신호 시퀀스(signal sequence)가 변조 수단으로 전송된다. 동일한 신호 시퀀스(signal sequence)가 실제로 전송되었을 때, 라인은 희망 위치와 다른 위치에 기록된다. 빔릿의 오프셋은 기록된 구조의 오프셋으로 인도한다.
도8B는 타이밍 교정이 적용된 상황을 보여준다. 다시 이론상 스폿(spot) 및 실제 스폿 및 경로들이 각각 파선 및 실선 및 점들로 묘사되었다. 실제 상황(아래쪽 그래프)에서의 신호 시퀀스(signal sequence)는 동일한 시퀀스(sequence)가 이상적 구성(위쪽 그래프)에서 전송되는 것과 다른 시간에 전송된다는 사실에서, 실제 상황에서의 신호 시퀀스(signal sequence)는 이론적 패턴 정보와 상이하다. 결과적으로 단일 라인은 이제 편향 주사 방향에서 정확한 위치에 기록된다. 더욱이 패턴 처리(processing)는 하나의 주사 라인을 더 일찍 시작하여 기계적 주사 방향에서 단일 라인의 더 양호한 위치 이동으로도 귀착시킨다. 단일 라인이 정확한 위치에 정밀하게 위치되지 않는다는 것을 주목하라. 이것은 이상적 상황 및 실제 상황에서 주사 라인들 사이의 근소한 오프셋에 기인한다.
본 전자 빔 노출 시스템은 이와 같이 타이밍 교정들을 이용하여 주사된 라인의 위치를 동적으로 조절할 수 있다. 이것은 두개의 주사 라인들의 두개의 절반들(two halves of two scan lines)을 이용하여 패턴 내의 중요한 컴포넌트(critical component)를 두개의 주사 라인들 상에 전개하는 대신에, 중요한 컴포넌트(critical component)가 하나의 주사 라인에서 기록되게 한다. 이 교정은 또한 국부적으로 행해질 수 있고, 즉 타이밍은 작은 타임 윈도우(time window) 상에서 교정될 수 있다. 제어기는 따라서 두 주사 라인들 상에 보통으로 전개될 중요한 구성요소들을 식별해야 한다. 계속해서, 제어기는 교정된 타이밍 윈도우를 계산해야 하고, 교정된 타이밍 윈도우를 전자 빔릿의 주사에 사용되는 타이밍 기준(base)에 적용해야 한다. 도7D는 이것을 위하여 사용될 수 있는 조절 원리를 도시한 것이며, 도 7D에서 점선은 중요한 특징(critical feature)이 (그리드의) 두 개의 주사 라인 위에 위치될 수 있는 타이밍을 보여주고, 실선은 적합한 지연 계산 후에 중요한 특징이 하나의 주사 라인으로 쓰여지는 주사 라인을 보여준다. 모든 렌즈 플레이트들, 간극 플레이트들 및 소거기 플레이트들은 가스가 시스템으로 들어왔을 때 플라즈마(plasma)를 생성하는 전원 공급기(power supply)에 연결될 수 있다. 플라즈마는 플레이트들을 청결하게 하고 모든 오염들을 제거한다. 만일 한 종류의 플라즈마가 플레이트들을 완전하게 충분히 청결하게 하지 않는다면, 두 종류의 가스가 연속으로 시스템에 들어올 수 있다. 예를 들어 산소가 시스템 내에 존재하는 모든 탄화수소(hydrocarbon)들을 제거하기 위하여 먼저 들어올 수 있다. 산소 플라즈마의 제거 이후에, 예를 들어 HF를 포함하는, 제2 플라즈마가 모든 존재하는 산화물(oxide)들을 제거하기 위하여 생성된다.
오염을 감소시키는 다른 가능성은 상승된 온도, 말하자면 150-400[℃]에서 모든 조작들을 실행하는 것이다. 1000-1500[℃]에서의 사전처리(pretreatment)가 필요할 수 있다. 이 온도들에서 탄화수소들은 시스템 내의 어디에도 응축될 기회를 얻지 못한다. 시스템 내로 조금의 산소(fraction of oxygen)을 허용하는 것은 청결 처리를 더 향상시킬 수 있다.
상기의 설명은 바람직한 실시예들의 조작을 설명하기 위한 것이고 본 발명의 범위를 제한하는 것을 의미하지 않는다는 것이 이해되어야 한다. 본 발명의 범위는 다음의 청구항들에 의해서만 제한될 것이다. 상기의 논의로부터, 본 발명의 사상과 범위에 포함되는 기술 분야에 숙련된 자에게 많은 변경들이 명백할 것이다.
1: 전자 소스
2: 추출기 전극
3, 4: 렌즈
5: 전자 빔
6: 간극 플레이트
7: 정전기적 렌즈
8: 빔릿 소거기 어레이
9: 빔릿
10: 빔릿 스톱 어레이
11: 주사 편향 어레이
12: 빔릿
13: 정전기적 렌즈 어레이
14: 표적 노출 표면

Claims (11)

  1. 표적(target)의 표면 상으로 패턴을 전사하기 위한 리소그래피 시스템에 있어서,
    전자 빔을 발생시키기 위한 전자 빔 발생기;
    상기 전자 빔을 복수의 전자 빔릿(electron beamlet)으로 분할하기 위한 빔분열기;
    상기 복수의 빔릿을 변조하기 위한 변조 어레이;
    상기 표적의 표면을 주사하도록 전자 빔릿을 편향시키기 위한 정전기적 주사 편향기들의 어레이로서, 상기 정전기적 주사 편향기들의 어레이는 주사 편향 전극들을 포함하며, 각각의 주사 편향 전극은 한 그룹의 전자 빔릿을 동일한 방향으로 편향시키도록 배열된 것인, 상기 정전기적 주사 편향기들의 어레이;
    정전기적 렌즈들의 어레이를 포함하며 상기 빔릿을 상기 표적의 노출 표면 상에 포커싱하기 위한 전자 광학 시스템;
    상기 패턴이 전사될 상기 표적의 노출 표면이 상기 전자 광학 시스템의 초점면에 있도록 상기 표적을 홀딩하기 위한 표적 홀더
    를 포함하는, 리소그래피 시스템.
  2. 제1항에 있어서, 상기 편향 전극들 각각은 스트립(strip) 형태인 것인, 리소그래피 시스템.
  3. 제2항에 있어서, 복수의 인접한 상기 편향 전극들 각각에는 서로 상반되는 극성의 전위가 인가되는 것인, 리소그래피 시스템.
  4. 제2항에 있어서, 상기 스트립들은 플레이트(plate) 상에 배치되는 것인, 리소그래피 시스템.
  5. 제4항에 있어서, 상기 편향 전극들은 표적 노출 표면측에서 상기 플레이트의 측면 상에 배치되는 것인, 리소그래피 시스템.
  6. 제1항에 있어서, 상기 편향 전극들은 스트립 형태의 전극들을 포함하는 것인, 리소그래피 시스템.
  7. 제6항에 있어서, 상기 편향 전극들은 전송된 빔릿에 근접하게 배치되는 것인, 리소그래피 시스템.
  8. 제7항에 있어서, 상기 변조 어레이와 상기 정전기적 주사 편향기들의 어레이 사이에 위치한 빔릿 스톱 어레이(beamlet stop array)를 더 포함하고, 상기 편향 전극들은, 상기 정전기적 렌즈들의 정면 초점면에서 편향이 발생하도록, 상기 빔릿 스톱 어레이의 타겟 노출 표면측 상에 배치되는 것인, 리소그래피 시스템.
  9. 제8항에 있어서, 상기 편향 전극들은 상기 변조 어레이에 있는 개개의 빔릿 크로스오버 평면 외부에 위치하는 것인, 리소그래피 시스템.
  10. 제1항에 있어서, 상기 주사 편향 전극들은 제1 그룹의 스트립과 제2 그룹의 스트립을 포함하고, 상기 제1 그룹의 스트립은 제1 방향으로 주사하도록 배열되고, 상기 제2 그룹의 스트립은 제2 방향으로 주사하도록 배열되며, 상기 제1 방향은 상기 제2 방향과 반대인 것인, 리소그래피 시스템.
  11. 표적의 노출 표면 상으로 패턴을 전사하기 위한 방법에 있어서,
    복수의 전자 빔릿을 발생시키고;
    상기 복수의 전자 빔릿을 변조하며;
    스트립 형태로 된 주사 전극들을 포함하는 정전기적 주사 편향기들의 어레이를 제공하고;
    상기 스트립들에게 연속된 스트립들상에서 교류 전압을 제공하며;
    상기 표적의 노출 표면을 제1 방향으로 주사하기 위해 정전기적 주사 편향기들의 어레이를 이용하여 상기 전자 빔릿을 편향시키고;
    상기 표적의 노출 표면을 상기 제1 방향과는 상이한 제2 방향으로 기계적으로 주사하며;
    상기 패턴이 전사되는 상기 표적의 노출 표면 상으로 상기 복수의 빔릿을 포커싱하는 것
    을 포함하는, 패턴 전사 방법.
KR1020107016869A 2002-10-30 2003-10-30 전자 빔 노출 시스템 KR101061407B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US42275802P 2002-10-30 2002-10-30
US60/422,758 2002-10-30
PCT/NL2003/000745 WO2004040614A2 (en) 2002-10-30 2003-10-30 Electron beam exposure system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057007705A Division KR101016728B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템

Publications (2)

Publication Number Publication Date
KR20100103651A KR20100103651A (ko) 2010-09-27
KR101061407B1 true KR101061407B1 (ko) 2011-09-01

Family

ID=32230384

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020107016868A KR101119703B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템
KR1020107016867A KR101119890B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템
KR1020057007705A KR101016728B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템
KR1020107016869A KR101061407B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템
KR1020107016866A KR101077098B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020107016868A KR101119703B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템
KR1020107016867A KR101119890B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템
KR1020057007705A KR101016728B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020107016866A KR101077098B1 (ko) 2002-10-30 2003-10-30 전자 빔 노출 시스템

Country Status (7)

Country Link
US (5) US6897458B2 (ko)
EP (5) EP3671804A1 (ko)
JP (2) JP5053514B2 (ko)
KR (5) KR101119703B1 (ko)
CN (11) CN101414535A (ko)
AU (1) AU2003276779A1 (ko)
WO (1) WO2004040614A2 (ko)

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3671804A1 (en) * 2002-10-30 2020-06-24 ASML Netherlands B.V. Electron beam exposure system
EP1515359A1 (en) * 2003-09-12 2005-03-16 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Chamber with low electron stimulated desorption
CN102005358B (zh) * 2004-05-17 2012-09-12 迈普尔平版印刷Ip有限公司 带电粒子束曝光系统
JP2008527750A (ja) * 2005-01-14 2008-07-24 アラディアンス インコーポレイテッド 同期ラスタ走査リソグラフィ・システム
JP5154232B2 (ja) * 2005-02-18 2013-02-27 アイエムエス ナノファブリケーション エージー 荷電粒子暴露装置
JP4708854B2 (ja) * 2005-05-13 2011-06-22 株式会社日立ハイテクノロジーズ 荷電粒子線装置
JP5663717B2 (ja) * 2005-09-06 2015-02-04 カール ツァイス マイクロスコピー ゲーエムベーハーCarl Zeiss Microscopy Gmbh 荷電粒子システム
JP4981806B2 (ja) * 2005-09-16 2012-07-25 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィシステム
US7883839B2 (en) * 2005-12-08 2011-02-08 University Of Houston Method and apparatus for nano-pantography
US7569834B1 (en) 2006-10-18 2009-08-04 Kla-Tencor Technologies Corporation High resolution charged particle projection lens array using magnetic elements
EP2019415B1 (en) * 2007-07-24 2016-05-11 IMS Nanofabrication AG Multi-beam source
EP2250660A1 (en) * 2008-02-26 2010-11-17 Mapper Lithography IP B.V. Projection lens arrangement
US8890094B2 (en) 2008-02-26 2014-11-18 Mapper Lithography Ip B.V. Projection lens arrangement
US8445869B2 (en) 2008-04-15 2013-05-21 Mapper Lithography Ip B.V. Projection lens arrangement
CN102017053B (zh) * 2008-02-26 2014-04-02 迈普尔平版印刷Ip有限公司 投影透镜装置
JP5268170B2 (ja) 2008-04-15 2013-08-21 マッパー・リソグラフィー・アイピー・ビー.ブイ. 投影レンズ構成体
US7851774B2 (en) * 2008-04-25 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for direct writing to a wafer
WO2009141428A1 (en) * 2008-05-23 2009-11-26 Mapper Lithography Ip B.V. Imaging system
WO2009147202A1 (en) * 2008-06-04 2009-12-10 Mapper Lithography Ip B.V. Writing strategy
NL2003304C2 (en) * 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
TWI544294B (zh) * 2008-08-18 2016-08-01 瑪波微影Ip公司 帶電粒子射束微影系統以及目標物定位裝置
US8796644B2 (en) 2008-08-18 2014-08-05 Mapper Lithography Ip B.V. Charged particle beam lithography system and target positioning device
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20140353526A1 (en) * 2008-09-01 2014-12-04 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8395752B2 (en) 2008-09-23 2013-03-12 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390786B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8670106B2 (en) 2008-09-23 2014-03-11 Pinebrook Imaging, Inc. Optical imaging writer system
US8390781B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8253923B1 (en) 2008-09-23 2012-08-28 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
DE102008049655A1 (de) 2008-09-30 2010-04-08 Carl Zeiss Nts Gmbh Partikelstrahlsystem und Verfahren zum Betreiben desselben
JP5680557B2 (ja) 2009-02-22 2015-03-04 マッパー・リソグラフィー・アイピー・ビー.ブイ. 荷電粒子リソグラフィ装置
EP2399273B1 (en) 2009-02-22 2017-06-28 Mapper Lithography IP B.V. Charged particle lithography apparatus and method of generating vacuum in a vacuum chamber
WO2010094801A1 (en) 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. A method and arrangement for realizing a vacuum in a vacuum chamber
JP5539406B2 (ja) 2009-02-22 2014-07-02 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィマシン及び基板処理構成体
CN102414621B (zh) * 2009-03-06 2014-12-10 麦克罗尼克迈达塔有限责任公司 扫掠期间剂量可变的转子光学部件成像方法及系统
TWI497557B (zh) * 2009-04-29 2015-08-21 Mapper Lithography Ip Bv 包含靜電偏轉器的帶電粒子光學系統
WO2010134017A1 (en) 2009-05-20 2010-11-25 Mapper Lithography Ip B.V. Method of generating a two-level pattern for lithographic processing and pattern generator using the same
KR101614460B1 (ko) 2009-05-20 2016-04-21 마퍼 리쏘그라피 아이피 비.브이. 리소그래피 시스템을 위한 패턴 데이터 전환
EP3144955A1 (en) 2009-05-20 2017-03-22 Mapper Lithography IP B.V. Method for exposing a wafer
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
NL2003619C2 (en) 2009-10-09 2011-04-12 Mapper Lithography Ip Bv Projection lens assembly.
US8987679B2 (en) * 2009-10-09 2015-03-24 Mapper Lithography Ip B.V. Enhanced integrity projection lens assembly
WO2011051305A1 (en) 2009-10-26 2011-05-05 Mapper Lithography Ip B.V. Charged particle multi-beamlet lithography system, with modulation device
US8952342B2 (en) 2009-12-17 2015-02-10 Mapper Lithography Ip B.V. Support and positioning structure, semiconductor equipment system and method for positioning
NL1037639C2 (en) 2010-01-21 2011-07-25 Mapper Lithography Ip Bv Lithography system with lens rotation.
JP5988537B2 (ja) * 2010-06-10 2016-09-07 株式会社ニコン 荷電粒子線露光装置及びデバイス製造方法
JP6092111B2 (ja) 2010-10-26 2017-03-08 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィシステム、変調装置およびファイバ固定基板を製造する方法
US8884255B2 (en) 2010-11-13 2014-11-11 Mapper Lithography Ip B.V. Data path for lithography apparatus
TWI562186B (en) 2010-11-13 2016-12-11 Mapper Lithography Ip Bv Charged particle lithography system and method for transferring a pattern onto a surface of a target and modulation device for use in a charged particle lithography system
US9305747B2 (en) 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus
KR101755577B1 (ko) 2010-11-13 2017-07-07 마퍼 리쏘그라피 아이피 비.브이. 애퍼처 어레이 냉각장치를 갖춘 하전 입자 리소그래피 시스템
US8586949B2 (en) 2010-11-13 2013-11-19 Mapper Lithography Ip B.V. Charged particle lithography system with intermediate chamber
JP6158091B2 (ja) 2010-12-14 2017-07-05 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィシステム及びこのようなリソグラフィシステムで基板を処理する方法
KR101873612B1 (ko) 2011-02-07 2018-07-31 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 화학적 이산화탄소 기체 발생기
JP5902201B2 (ja) 2011-02-16 2016-04-13 マッパー・リソグラフィー・アイピー・ビー.ブイ. 磁気シールド用システム
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8362425B2 (en) * 2011-03-23 2013-01-29 Kla-Tencor Corporation Multiple-beam system for high-speed electron-beam inspection
US9244726B2 (en) 2011-04-22 2016-01-26 Mapper Lithography Ip B.V. Network architecture for lithography machine cluster
NL2007604C2 (en) * 2011-10-14 2013-05-01 Mapper Lithography Ip Bv Charged particle system comprising a manipulator device for manipulation of one or more charged particle beams.
TWI486723B (zh) 2011-04-28 2015-06-01 Mapper Lithography Ip Bv 在微影系統中處理基板的方法
US9328512B2 (en) 2011-05-05 2016-05-03 Eversealed Windows, Inc. Method and apparatus for an insulating glazing unit and compliant seal for an insulating glazing unit
JP5905209B2 (ja) * 2011-05-18 2016-04-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および荷電粒子ビーム描画方法
NL2006868C2 (en) * 2011-05-30 2012-12-03 Mapper Lithography Ip Bv Charged particle multi-beamlet apparatus.
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8698094B1 (en) 2011-07-20 2014-04-15 Kla-Tencor Corporation Permanent magnet lens array
US9224580B2 (en) * 2011-09-28 2015-12-29 Mapper Litohgraphy Ip B.V. Plasma generator
KR101902469B1 (ko) 2012-03-08 2018-09-28 마퍼 리쏘그라피 아이피 비.브이. 정렬 센서 및 빔 측정 센서를 갖는 하전 입자 리소그래피 시스템
RU2642494C2 (ru) 2012-03-20 2018-01-25 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Агрегат и способ переноса радикалов
JP6128744B2 (ja) * 2012-04-04 2017-05-17 キヤノン株式会社 描画装置、描画方法、および、物品の製造方法
KR102154105B1 (ko) 2012-04-18 2020-09-09 디2에스, 인코포레이티드 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
KR20150001834A (ko) 2012-04-18 2015-01-06 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템
CN104471669B (zh) 2012-05-14 2017-02-22 迈普尔平版印刷Ip有限公司 带电粒子多子束光刻系统和冷却配置制造方法
US10586625B2 (en) 2012-05-14 2020-03-10 Asml Netherlands B.V. Vacuum chamber arrangement for charged particle beam generator
CN107359101B (zh) 2012-05-14 2019-07-12 Asml荷兰有限公司 带电粒子射束产生器中的高电压屏蔽和冷却
US11348756B2 (en) 2012-05-14 2022-05-31 Asml Netherlands B.V. Aberration correction in charged particle system
NL2010759C2 (en) 2012-05-14 2015-08-25 Mapper Lithography Ip Bv Modulation device and power supply arrangement.
NL2010760C2 (en) 2013-05-03 2014-11-04 Mapper Lithography Ip Bv Beam grid layout.
JP6212299B2 (ja) * 2013-06-26 2017-10-11 キヤノン株式会社 ブランキング装置、描画装置、および物品の製造方法
US9922801B2 (en) 2013-08-23 2018-03-20 Mapper Lithography Ip B.V. Drying apparatus for use in a lithography system
CN108962708A (zh) 2013-11-14 2018-12-07 迈普尔平版印刷Ip有限公司 电极堆栈布置
US8975601B1 (en) * 2013-11-25 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for electron beam lithography
RU2689391C2 (ru) 2013-12-30 2019-05-28 АСМЛ Недерландс Б.В. Катодное устройство, электронная пушка и установка литографии, содержащая такую электронную пушку
US9460260B2 (en) 2014-02-21 2016-10-04 Mapper Lithography Ip B.V. Enhanced stitching by overlap dose and feature reduction
US9514912B2 (en) 2014-09-10 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Control of ion angular distribution of ion beams with hidden deflection electrode
KR20170084240A (ko) 2014-11-14 2017-07-19 마퍼 리쏘그라피 아이피 비.브이. 리소그래피 시스템에서 기판을 이송하기 위한 로드 로크 시스템 및 방법
DE102015202172B4 (de) 2015-02-06 2017-01-19 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem und Verfahren zur teilchenoptischen Untersuchung eines Objekts
US9691588B2 (en) 2015-03-10 2017-06-27 Hermes Microvision, Inc. Apparatus of plural charged-particle beams
US9484188B2 (en) 2015-03-11 2016-11-01 Mapper Lithography Ip B.V. Individual beam pattern placement verification in multiple beam lithography
TWI751911B (zh) 2015-03-24 2022-01-01 美商克萊譚克公司 用於具有改良之影像光束穩定性及詢問之帶電粒子顯微鏡之方法及系統
US10096450B2 (en) * 2015-12-28 2018-10-09 Mapper Lithography Ip B.V. Control system and method for lithography apparatus
EP3268979A4 (en) * 2016-04-13 2019-05-08 Hermes Microvision Inc. DEVICE WITH MULTIPLE LOADED PARTICLE RAYS
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
CN106019854A (zh) * 2016-07-18 2016-10-12 无锡宏纳科技有限公司 图形可变的电子束光刻机
JP2018098268A (ja) * 2016-12-08 2018-06-21 株式会社ニューフレアテクノロジー ブランキング偏向器及びマルチ荷電粒子ビーム描画装置
CN110268330B (zh) * 2016-12-23 2022-01-28 Asml荷兰有限公司 一种使用无掩模光刻曝光系统制造电子器件的方法和系统
US10242839B2 (en) * 2017-05-05 2019-03-26 Kla-Tencor Corporation Reduced Coulomb interactions in a multi-beam column
TWI742223B (zh) * 2017-01-14 2021-10-11 美商克萊譚克公司 電子束系統及方法,以及掃描電子顯微鏡
KR102634195B1 (ko) 2017-09-29 2024-02-07 에이에스엠엘 네델란즈 비.브이. 하전 입자의 빔 조건을 조정하기 위한 방법 및 장치
JP2019114748A (ja) * 2017-12-26 2019-07-11 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
DE102018202428B3 (de) 2018-02-16 2019-05-09 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenmikroskop
DE102018202421B3 (de) 2018-02-16 2019-07-11 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenstrahlsystem
CN112055886A (zh) 2018-02-27 2020-12-08 卡尔蔡司MultiSEM有限责任公司 带电粒子多束系统及方法
US10438769B1 (en) * 2018-05-02 2019-10-08 Kla-Tencor Corporation Array-based characterization tool
US10811215B2 (en) 2018-05-21 2020-10-20 Carl Zeiss Multisem Gmbh Charged particle beam system
US10483080B1 (en) * 2018-07-17 2019-11-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US10593509B2 (en) 2018-07-17 2020-03-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
DE102018007455B4 (de) 2018-09-21 2020-07-09 Carl Zeiss Multisem Gmbh Verfahren zum Detektorabgleich bei der Abbildung von Objekten mittels eines Mehrstrahl-Teilchenmikroskops, System sowie Computerprogrammprodukt
DE102018007652B4 (de) 2018-09-27 2021-03-25 Carl Zeiss Multisem Gmbh Teilchenstrahl-System sowie Verfahren zur Stromregulierung von Einzel-Teilchenstrahlen
DE102018124044B3 (de) 2018-09-28 2020-02-06 Carl Zeiss Microscopy Gmbh Verfahren zum Betreiben eines Vielstrahl-Teilchenstrahlmikroskops und Vielstrahl-Teilchenstrahlsystem
NL2022156B1 (en) 2018-12-10 2020-07-02 Asml Netherlands Bv Plasma source control circuit
CN113272934A (zh) * 2018-12-28 2021-08-17 Asml荷兰有限公司 用于多个带电粒子束的装置
CN111477530B (zh) 2019-01-24 2023-05-05 卡尔蔡司MultiSEM有限责任公司 利用多束粒子显微镜对3d样本成像的方法
TWI743626B (zh) 2019-01-24 2021-10-21 德商卡爾蔡司多重掃描電子顯微鏡有限公司 包含多束粒子顯微鏡的系統、對3d樣本逐層成像之方法及電腦程式產品
EP3863040A1 (en) * 2020-02-07 2021-08-11 ASML Netherlands B.V. Charged particle manipulator device
US10937630B1 (en) 2020-04-27 2021-03-02 John Bennett Modular parallel electron lithography
KR20240055696A (ko) * 2021-04-16 2024-04-29 인터그레이티드 다이나믹 일렉트론 솔루션즈, 인크. 전자 현미경 기술을 위한 임의의 전자 선량 파형
CN117501399A (zh) 2021-06-16 2024-02-02 卡尔蔡司MultiSEM有限责任公司 失真优化的多射束扫描系统
US11848173B1 (en) 2023-01-31 2023-12-19 Integrated Dynamic Electron Solutions, Inc. Methods and systems for event modulated electron microscopy
CN117850168A (zh) * 2023-11-28 2024-04-09 上海集成电路材料研究院有限公司 一种电子束直写装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043102A1 (fr) 2000-11-27 2002-05-30 Advantest Corporation Appareil de production de faisceau d'electrons et appareil d'exposition de faisceau d'electron

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1100237A (en) * 1977-03-23 1981-04-28 Roger F.W. Pease Multiple electron beam exposure system
US4684848A (en) * 1983-09-26 1987-08-04 Kaufman & Robinson, Inc. Broad-beam electron source
JPS6261328A (ja) * 1985-09-12 1987-03-18 Toshiba Corp 荷電ビ−ム露光装置
US4723695A (en) * 1986-11-04 1988-02-09 Farber Hugh A Fisherman's garment and landing net scabbard
US4942339A (en) * 1988-09-27 1990-07-17 The United States Of America As Represented By The United States Department Of Energy Intense steady state electron beam generator
US4980988A (en) * 1989-06-12 1991-01-01 Peter Whitman Combination fish landing net holster and creel
DE69226553T2 (de) * 1991-03-13 1998-12-24 Fujitsu Ltd Vorrichtung und Verfahren zur Belichtung mittels Ladungsträgerstrahlen
US5384463A (en) * 1991-06-10 1995-01-24 Fujisu Limited Pattern inspection apparatus and electron beam apparatus
JP2615411B2 (ja) * 1993-12-27 1997-05-28 工業技術院長 多重電子ビーム照射装置および照射方法
JPH097538A (ja) * 1995-06-26 1997-01-10 Nippon Telegr & Teleph Corp <Ntt> 荷電ビーム描画装置
EP0794552B1 (en) * 1996-03-04 2007-11-14 Canon Kabushiki Kaisha Electron beam exposure apparatus and method, and device manufacturing method
JP3512946B2 (ja) 1996-04-26 2004-03-31 株式会社東芝 電子ビーム描画装置および電子ビーム描画方法
US5651141A (en) * 1996-05-22 1997-07-29 Schneider; Jeff D. Garment and landing net combination
US5929454A (en) 1996-06-12 1999-07-27 Canon Kabushiki Kaisha Position detection apparatus, electron beam exposure apparatus, and methods associated with them
JP4018197B2 (ja) * 1997-07-02 2007-12-05 キヤノン株式会社 電子ビーム露光方法及び電子ビーム露光装置
US6107636A (en) * 1997-02-07 2000-08-22 Canon Kabushiki Kaisha Electron beam exposure apparatus and its control method
US6194838B1 (en) * 1997-02-24 2001-02-27 International Business Machines Corporation Self stabilizing non-thermionic source for flat panel CRT displays
JPH10241615A (ja) * 1997-02-25 1998-09-11 Nikon Corp 電子線露光装置
US6464839B1 (en) * 1997-04-14 2002-10-15 Yuan Da International Group Limited Beta-elemene, method to prepare the same and uses thereof
JPH11195589A (ja) * 1998-01-05 1999-07-21 Canon Inc マルチ電子ビーム露光方法及び装置、ならびにデバイス製造方法
JPH11195590A (ja) * 1998-01-05 1999-07-21 Canon Inc マルチ電子ビーム露光方法及び装置、ならびにデバイス製造方法
US5981962A (en) * 1998-01-09 1999-11-09 International Business Machines Corporation Distributed direct write lithography system using multiple variable shaped electron beams
US6014200A (en) * 1998-02-24 2000-01-11 Nikon Corporation High throughput electron beam lithography system
JP4077933B2 (ja) * 1998-06-24 2008-04-23 キヤノン株式会社 マルチ電子ビーム露光方法及び装置、ならびにデバイス製造方法
US6989546B2 (en) * 1998-08-19 2006-01-24 Ims-Innenmikrofabrikations Systeme Gmbh Particle multibeam lithography
JP3147227B2 (ja) * 1998-09-01 2001-03-19 日本電気株式会社 冷陰極電子銃
JP3241011B2 (ja) * 1998-11-20 2001-12-25 日本電気株式会社 電子線露光装置及び電子線露光用マスク
US6313476B1 (en) 1998-12-14 2001-11-06 Kabushiki Kaisha Toshiba Charged beam lithography system
US6036067A (en) * 1998-12-21 2000-03-14 Alcorn; A. Shane Carrier for fish landing net
CN1264850A (zh) * 1999-02-24 2000-08-30 日本电气株式会社 电子束曝光系统及其方法
JP4410871B2 (ja) * 1999-03-25 2010-02-03 キヤノン株式会社 荷電粒子線露光装置及び該装置を用いたデバイス製造方法
US6333508B1 (en) 1999-10-07 2001-12-25 Lucent Technologies, Inc. Illumination system for electron beam lithography tool
WO2001039243A1 (en) * 1999-11-23 2001-05-31 Ion Diagnostics, Inc. Electron optics for multi-beam electron beam lithography tool
JP4401614B2 (ja) 2000-04-04 2010-01-20 株式会社アドバンテスト 多軸電子レンズを用いたマルチビーム露光装置、複数の電子ビームを集束する多軸電子レンズ、半導体素子製造方法
KR20020084290A (ko) 2000-04-04 2002-11-04 주식회사 아도반테스토 다축전자렌즈를 이용한 멀티빔 노광장치, 복수의 전자빔을집속하는 다축전자렌즈, 반도체소자 제조방법
KR20020084288A (ko) * 2000-04-04 2002-11-04 주식회사 아도반테스토 다축전자렌즈를 이용한 멀티빔 노광장치, 반도체소자제조방법
WO2001075950A1 (fr) 2000-04-04 2001-10-11 Advantest Corporation Appareil d'exposition multifaisceau comprenant une lentille electronique multiaxe, procede de fabrication de ladite lentille, et procede de fabrication d'un dispositif a semi-conducteur
JP3728217B2 (ja) * 2000-04-27 2005-12-21 キヤノン株式会社 荷電粒子線露光装置およびデバイス製造方法
JP3597757B2 (ja) 2000-06-27 2004-12-08 東芝三菱電機産業システム株式会社 無停電電源装置の並列運転システム
DE60134922D1 (de) * 2000-08-14 2008-09-04 Elith Llc Lithographischer Apparat
JP4112791B2 (ja) * 2000-10-03 2008-07-02 株式会社アドバンテスト 電子ビーム補正方法及び電子ビーム露光装置
JP2002158156A (ja) * 2000-11-17 2002-05-31 Advantest Corp 電子ビーム露光装置、電子ビーム露光方法、及び半導体素子製造方法
US6797953B2 (en) * 2001-02-23 2004-09-28 Fei Company Electron beam system using multiple electron beams
EP1300870B1 (en) * 2001-10-05 2007-04-04 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Multiple electron beam device
US20030132382A1 (en) * 2001-12-18 2003-07-17 Sogard Michael R. System and method for inspecting a mask
JP3940310B2 (ja) * 2002-04-04 2007-07-04 株式会社日立ハイテクノロジーズ 電子ビーム描画方法及び描画装置、並びにこれを用いた半導体製造方法
US7161162B2 (en) * 2002-10-10 2007-01-09 Applied Materials, Inc. Electron beam pattern generator with photocathode comprising low work function cesium halide
EP3671804A1 (en) 2002-10-30 2020-06-24 ASML Netherlands B.V. Electron beam exposure system
US7301263B2 (en) * 2004-05-28 2007-11-27 Applied Materials, Inc. Multiple electron beam system with electron transmission gates
DE102004052994C5 (de) * 2004-11-03 2010-08-26 Vistec Electron Beam Gmbh Multistrahlmodulator für einen Partikelstrahl und Verwendung des Multistrahlmodulators zur maskenlosen Substratsstrukturierung
KR101614460B1 (ko) * 2009-05-20 2016-04-21 마퍼 리쏘그라피 아이피 비.브이. 리소그래피 시스템을 위한 패턴 데이터 전환
US8884255B2 (en) * 2010-11-13 2014-11-11 Mapper Lithography Ip B.V. Data path for lithography apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043102A1 (fr) 2000-11-27 2002-05-30 Advantest Corporation Appareil de production de faisceau d'electrons et appareil d'exposition de faisceau d'electron

Also Published As

Publication number Publication date
JP2006505124A (ja) 2006-02-09
EP2523207A2 (en) 2012-11-14
EP1556881B1 (en) 2013-08-28
CN101414128B (zh) 2012-04-04
KR101119890B1 (ko) 2012-03-13
EP2701178A3 (en) 2017-06-28
CN101414536A (zh) 2009-04-22
CN101414126A (zh) 2009-04-22
KR20100101681A (ko) 2010-09-17
KR101119703B1 (ko) 2012-03-20
CN101414534A (zh) 2009-04-22
EP3671804A1 (en) 2020-06-24
CN101414127A (zh) 2009-04-22
CN1708826A (zh) 2005-12-14
CN101414125B (zh) 2012-02-22
CN100437882C (zh) 2008-11-26
EP2565902A2 (en) 2013-03-06
US6897458B2 (en) 2005-05-24
KR101016728B1 (ko) 2011-02-25
EP2701178B1 (en) 2020-02-12
WO2004040614A2 (en) 2004-05-13
JP5053514B2 (ja) 2012-10-17
KR20100101682A (ko) 2010-09-17
EP2523207A3 (en) 2015-08-26
AU2003276779A1 (en) 2004-05-25
EP2565902A3 (en) 2017-07-05
WO2004040614A3 (en) 2004-09-16
CN101414124B (zh) 2012-03-07
USRE45049E1 (en) 2014-07-29
USRE44908E1 (en) 2014-05-27
CN101414536B (zh) 2011-01-19
CN101414129B (zh) 2012-11-28
CN101414125A (zh) 2009-04-22
CN101414128A (zh) 2009-04-22
JP2010171452A (ja) 2010-08-05
KR20050065659A (ko) 2005-06-29
KR20100103650A (ko) 2010-09-27
CN101414534B (zh) 2012-10-03
EP1556881A2 (en) 2005-07-27
CN101414535A (zh) 2009-04-22
US20040141169A1 (en) 2004-07-22
KR20100103651A (ko) 2010-09-27
USRE44240E1 (en) 2013-05-28
CN101414126B (zh) 2012-02-15
JP5069331B2 (ja) 2012-11-07
CN101414124A (zh) 2009-04-22
CN101414129A (zh) 2009-04-22
EP2701178A2 (en) 2014-02-26
US20050211921A1 (en) 2005-09-29
US7091504B2 (en) 2006-08-15
KR101077098B1 (ko) 2011-10-26
CN101414533A (zh) 2009-04-22

Similar Documents

Publication Publication Date Title
KR101061407B1 (ko) 전자 빔 노출 시스템
US6157039A (en) Charged particle beam illumination of blanking aperture array

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140729

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150708

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160804

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170711

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180626

Year of fee payment: 8