KR100897288B1 - 비휘발성 메모리 소자 및 그 형성방법 - Google Patents

비휘발성 메모리 소자 및 그 형성방법 Download PDF

Info

Publication number
KR100897288B1
KR100897288B1 KR1020060102580A KR20060102580A KR100897288B1 KR 100897288 B1 KR100897288 B1 KR 100897288B1 KR 1020060102580 A KR1020060102580 A KR 1020060102580A KR 20060102580 A KR20060102580 A KR 20060102580A KR 100897288 B1 KR100897288 B1 KR 100897288B1
Authority
KR
South Korea
Prior art keywords
pattern
layer
film
charge storage
forming
Prior art date
Application number
KR1020060102580A
Other languages
English (en)
Other versions
KR20080035917A (ko
Inventor
손호민
장원준
형용우
지정근
김형기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060102580A priority Critical patent/KR100897288B1/ko
Priority to US11/653,346 priority patent/US20080093657A1/en
Publication of KR20080035917A publication Critical patent/KR20080035917A/ko
Application granted granted Critical
Publication of KR100897288B1 publication Critical patent/KR100897288B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate

Abstract

비휘발성 메모리 소자 및 그 형성방법이 제공된다. 상기 비휘발성 메모리 소자의 형성방법은 반도체 기판 상에 터널링 절연막을 형성하는 것 그리고 플루오르를 포함하는 플라즈마 공정을 진행하는 것을 포함한다.
플라즈마 공정, 터널링 절연 패턴, 층간 절연 패턴, 신뢰성

Description

비휘발성 메모리 소자 및 그 형성방법{NONVOLATILE MEMORY DEVICE AND METHOD FOR FORMING THE SAME}
도 1a 내지 1c는 본 발명의 일 실시예에 따른 비휘발성 메모리 소자의 형성방법을 설명하기 위한 단면도이다.
도 2a 내지 2c는 본 발명의 다른 실시예에 따른 비휘발성 메모리 소자의 형성방법을 설명하기 위한 단면도이다.
도 3a 내지 3c는 본 발명의 또 다른 실시예에 따른 비휘발성 메모리 소자의 형성방법을 설명하기 위한 단면도이다.
도 4는 본 발명의 실시예에 따른 비휘발성 메모리 소자를 설명하기 위한 단면도이다.
*도면의 주요부분에 대한 부호의 설명*
110a: 터널링 절연 패턴 120a: 전하저장 패턴
130a: 층간 절연 패턴 132a: 제 1 산화막 패턴
134a: 질화막 패턴 136a: 제 2 산화막 패턴
본 발명은 반도체 소자 및 그 형성방법에 관한 것으로, 더욱 상세하게는 비휘발성 메모리 소자 및 그 형성방법에 관한 것이다.
일반적으로 반도체 메모리 소자는 전기의 공급이 중단됨에 따라 저장된 정보가 소멸되는 휘발성 메모리 소자(volatile memory device)와 전기의 공급이 중단되더라도 저장된 정보를 계속 유지할 수 있는 비휘발성 메모리 소자(nonvolatile memory device)로 구분된다. 플래시 메모리 소자는 비휘발성 메모리 소자로서, 프로그램 및 소거가 가능한 이피롬(EPROM:Erasable Programmable Read Only Memory)과 전기적으로 프로그램 및 소거가 가능한 이이피롬(EEPROM:Electrically Erasable Programmable Read Only Memory)의 장점을 조합하여 개발된 고집적 장치이다.
플래시 메모리 소자는 반도체 기판과 부유 게이트막 사이에 터널링 절연막을 가진다. 터널링 절연막은 열 산화 공정에서 소스 가스로서 산소 가스와 수소 가스를 사용하여 형성될 수 있다. 또한, 터널링 절연막이 형성된 후, 수소 가스를 포함하는 공정들이 진행될 수 있다. 이에 의하여, 터널링 절연막과 반도체 기판의 계면은 수소를 함유한다. 상기 계면의 수소는 실리콘 원자와 결합하여, 터널링 절연막의 신뢰성(reliability)을 저하시킬 수 있다.
본 발명의 목적은 신뢰성이 향상된 비휘발성 메모리 소자 및 그 형성방법을 제공하는 것이다.
본 발명의 실시예는 비휘발성 메모리 소자 및 그 형성방법을 제공한다. 본 발명의 일 실시예에 따른 비휘발성 메모리 소자의 형성방법은 반도체 기판 상에 터널링 절연막을 형성하는 것 그리고 플루오르를 포함하는 플라즈마 공정을 진행하는 것을 포함한다.
상기 터널링 절연막을 형성한 후, 상기 플라즈마 공정을 진행할 수 있다. 상기 반도체 기판에 상기 플라즈마 공정을 진행한 후, 상기 터널링 절연막을 형성할 수 있다.
일 실시예에 따른 비휘발성 메모리 소자의 형성방법은 상기 플라즈마 공정을 진행한 후, 상기 반도체 기판에 열처리 공정을 진행하는 것을 더 포함할 수 있다.
본 발명의 다른 실시예에 따른 비휘발성 메모리 소자의 형성방법은 반도체 기판 상에 전하저장막을 형성하는 것, 상기 전하저장막 상에 층간 절연막을 형성하는 것, 플루오르를 포함하는 플라즈마 공정을 진행하는 것 그리고 상기 층간 절연막 상에 게이트 전극을 형성하는 것을 포함한다.
상기 층간 절연막을 형성한 후, 상기 플라즈마 공정을 진행할 수 있다. 상기 전하저장막에 상기 플라즈마 공정을 진행한 후, 상기 층간 절연막을 형성할 수 있다.
본 발명의 다른 실시예에 따른 비휘발성 메모리 소자의 형성방법은 상기 플라즈마 공정을 진행한 후, 상기 반도체 기판에 열처리 공정을 진행하는 것을 더 포함할 수 있다.
상기 비휘발성 메모리 소자는 반도체 기판 상의 터널링 절연 패턴, 상기 터널링 절연 패턴 상의 전하저장 패턴, 상기 전하저장 패턴 상의 층간 절연 패턴 및 상기 층간 절연 패턴 상의 게이트 전극을 포함하되, 상기 반도체 기판과 상기 터널링 절연 패턴의 계면에 플루오르를 포함한다.
상기 층간 절연 패턴은 상기 전하저장 패턴 상의 제 1 산화막 패턴, 상기 제 1 산화막 패턴 상의 질화막 패턴 및 상기 질화막 패턴 상의 제 2 산화막 패턴을 포함하되, 상기 전하저장 패턴과 상기 제 1 산화막 패턴, 상기 제 1 산화막 패턴과 상기 질화막 패턴, 상기 질화막 패턴과 상기 제 2 산화막 패턴의 계면에 플루오르를 포함할 수 있다.
이하, 본 발명의 실시예에 따른 비휘발성 메모리 소자 및 그 형성방법을 첨부한 도면을 참조하여 상세히 설명한다. 본 발명은 여기서 설명되는 실시예에 한정되지 않고 다른 형태로 구체화될 수 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다.
도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장된 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.
도 1a 내지 1c는 본 발명의 일 실시예에 따른 비휘발성 메모리 소자의 형성방법을 설명하기 위한 단면도이다.
도 1a를 참조하면, 반도체 기판(100) 상에 터널링 절연막(110)이 형성된다. 상기 터널링 절연막(110)은 열 산화 공정으로 형성된 실리콘 산화막을 포함할 수 있다. 상기 터널링 절연막(110)을 형성하는 것은 소스 가스로 산소 가스와 수소 가스를 사용하는 것을 포함할 수 있다. 또는 후속 공정에서 수소를 포함하는 가스가 사용될 수 있다. 따라서, 상기 터널링 절연막(110)에 실리콘-수소 결합(Si-H)이 존재할 수 있다. 특히, 상기 터널링 절연막(110)과 상기 반도체 기판(100)의 계면에 존재하는 상기 실리콘-수소 결합(Si-H)은 비휘발성 메모리 소자의 신뢰성을 열화시킨다.
도 1b를 참조하면, 상기 반도체 기판(100)에 플루오르(F)를 포함하는 플라즈마 공정이 진행된다. 상기 플라즈마 공정을 진행하는 것은 상기 터널링 절연막(110)을 형성하기 전에 진행될 수 있다. 상기 플라즈마 공정에 의하여, 플루오르(F)가 상기 터널링 절연막(110)에 공급된다. 상기 플라즈마 공정을 진행한 후, 열처리 공정이 진행될 수 있다. 상기 열처리 공정은 600℃ 이상의 온도에서 진행될 수 있다. 상기 열처리 공정은 후속의 고온 공정으로 대체될 수 있다. 상기 터널링 절연막(110)에 공급된 플루오르(F)는 상기 열처리 공정 또는 고온 공정에 의하여, 상기 터널링 절연막(110)과 상기 반도체 기판(100)의 계면에 존재하는 수소와 치환된다. 실리콘-수소(Si-H) 결합 에너지(bond energy)는 3.1eV이지만, 실리콘-플루오르(Si-H) 결합 에너지(bond energy)는 5.73eV이다. 따라서, 실리콘-플루오르 결합이 더 강하고 안정하므로, 상기 열처리 공정 또는 고온 공정에 의하여 플루오르(F)와 수소의 치환이 가능할 수 있다. 또한, 상기 터널링 절연막(110)과 상기 반도체 기판(100)의 계면에 존재하는 댕글링 본드(dangling bond)가 플루오르(F)에 의하여 감소될 수 있다. 상기 실리콘-수소 결합 또는 댕글링 본드(dangling bond)가 감소됨으로써, 비휘발성 메모리 소자의 신뢰성이 향상될 수 있다.
도 1c를 참조하면, 상기 터널링 절연막(110) 상에 전하저장막, 층간 절연막, 게이트 도전막, 금속 실리사이드막 및 하드 마스크막이 차례로 형성된다. 상기 전하저장막과 상기 게이트 도전막은 화학 기상 증착 방법으로 형성된 폴리 실리콘막을 포함할 수 있다. 다시 말하면, 상기 전하저장막과 상기 게이트 도전막은 각각 부유 게이트막 및 제어 게이트막으로 기능할 수 있다. 상기 하드 마스크막 상에 포토 레지스트 패턴이 형성된다. 상기 포토 레지스트 패턴을 마스크로 식각 공정을 진행하여, 하드 마스크 패턴(160a)이 형성된다. 상기 하드 마스크 패턴(160a)을 마스크로 식각 공정을 진행하여, 금속 실리사이드 패턴(150a), 게이트 전극(140a), 층간 절연 패턴(130a), 전하 저장 패턴(120a) 및 터널링 절연 패턴(110a)이 차례로 형성된다. 상기 층간 절연 패턴(130a)은 제 1 산화막 패턴(132a), 질화막 패턴(134a) 그리고 제 2 산화막 패턴(136a)이 적층된 구조로 형성될 수 있다.
도 2a 내지 2c는 본 발명의 다른 실시예에 따른 비휘발성 메모리 소자의 형성방법을 설명하기 위한 단면도이다.
도 2a를 참조하면, 상기 반도체 기판(100) 상에 터널링 절연막(110)이 형성된다. 상기 터널링 절연막(110)은 열산화 공정으로 형성될 수 있다. 상기 터널링 절연막(110) 상에 전하저장막(120)이 형성된다. 상기 전하저장막(120)은 폴리 실리콘으로 형성된 부유 게이트막을 포함할 수 있다. 상기 전하저장막(120) 상에 층간 절연막(130)이 형성된다. 상기 층간 절연막(130)은 화학 기상 증착 방법으로 형성 될 수 있다. 상기 층간 절연막(130)은 제 1 산화막(132), 질화막(134) 및 제 2 산화막(136)으로 형성될 수 있다. 상기 전하저장막(120)과 상기 제 1 산화막(132)의 계면, 상기 제 1 산화막(132)과 상기 질화막(134)의 계면, 상기 질화막(134)과 상기 제 2 산화막(136)의 계면에 실리콘-수소 결합이 포함될 수 있다. 상기 층간 절연막(130)이 형성되는 과정에서 수소 가스가 사용되거나 후속 공정에서 수소를 포함하는 가스가 사용되기 때문이다.
도 2b를 참조하면, 상기 반도체 기판(100)에 플루오르(F)를 포함하는 플라즈마 공정이 진행된다. 상기 플라즈마 공정은 상기 층간 절연막(130)이 형성되기 전에 진행될 수 있다. 상기 플라즈마 공정에 의하여, 플루오르(F)가 상기 층간 절연막(130)에 공급될 수 있다. 상기 플라즈마 공정이 진행된 후, 상기 반도체 기판(100)에 열처리 공정이 진행될 수 있다. 상기 열처리 공정은 600℃ 이상의 온도에서 진행될 수 있다. 상기 열처리 공정은 후속의 고온 공정으로 대체될 수 있다. 상기 열처리 공정 또는 후속의 고온 공정에 의하여, 상기 플루오르(F)가 상기 전하저장막(120)과 상기 제 1 산화막(132)의 계면, 상기 제 1 산화막(132)과 상기 질화막(134)의 계면 및 상기 질화막(134)과 상기 제 2 산화막(136)의 계면의 수소와 치환될 수 있다. 상기 실리콘-수소 결합이 감소됨으로써, 비휘발성 메모리 소자의 신뢰성이 향상될 수 있다.
도 2c를 참조하면, 상기 층간 절연막(130) 상에 게이트 도전막이 형성된다. 상기 게이트 도전막은 화학 기상 증착 방법으로 형성된 폴리 실리콘막을 포함할 수 있다. 상기 게이트 도전막은 제어 게이트막으로서 기능할 수 있다. 상기 게이트 도 전막 상에 금속 실리사이드막과 하드 마스크막이 차례로 형성된다. 상기 하드 마스크막 상에 포토 레지스트 패턴을 형성하고, 상기 포토 레지스트 패턴을 마스크로 식각 공정을 진행하여 하드 마스크 패턴(160a)이 형성된다. 상기 하드 마스크 패턴(160a)을 마스크로 식각 공정을 진행하여, 금속 실리사이드 패턴(150a), 게이트 전극(140a), 층간 절연 패턴(130a), 전하저장 패턴(120a) 및 터널링 절연 패턴(110a)이 차례로 형성된다. 상기 층간 절연 패턴(130a)은 제 1 산화막 패턴(132a), 질화막 패턴(134a) 그리고 제 2 산화막 패턴(136a)이 적층된 구조로 형성될 수 있다.
도 3a 내지 3c는 본 발명의 또 다른 실시예에 따른 비휘발성 메모리 소자의 형성방법을 설명하기 위한 단면도이다.
도 3a를 참조하면, 반도체 기판(100) 상에 터널링 절연막(110)이 형성된다. 상기 터널링 절연막(110)은 열 산화 공정으로 형성된 실리콘 산화막을 포함할 수 있다. 상기 터널링 절연막(110)과 상기 반도체 기판(100)의 계면은 실리콘-수소 결합 또는 댕글링 본드(dangling bond)을 포함할 수 있다. 상기 실리콘-수소 결합 또는 댕글링 본드(dangling bond)는 비휘발성 메모리 소자의 신뢰성을 저하시킬 수 있다.
도 3b를 참조하면, 상기 반도체 기판(100)에 플루오르(F)를 포함하는 플라즈마 공정이 진행된다. 상기 플라즈마 공정은 상기 터널링 절연막(110)이 형성되기 전에 진행될 수 있다. 상기 플라즈마 공정을 진행한 후, 열처리 공정이 진행될 수 있다. 상기 열처리 공정은 후속의 고온 공정으로 대체될 수 있다. 상기 열처리 공 정 또는 후속의 고온 공정에 의하여, 플라즈마 공정으로 공급된 플루오르는 상기 실리콘-수소 결합의 수소와 치환될 수 있다. 또는, 플루오르는 댕글링 본드를 감소시킬 수 있다.
도 3c를 참조하면, 상기 터널링 절연막(110) 상에 전하저장막이 형성된다. 상기 전하저장막은 화학 기상 증착 방법으로 형성될 수 있다. 상기 전하저장막은 전하트랩층(charge trap layer)으로 사용되는 실리콘 질화막을 포함할 수 있다. 상기 전하저장막 상에 층간 절연막이 형성된다. 상기 층간 절연막은 화학 기상 증착 방법으로 형성된 알루미늄 산화막(Al2O3)을 포함할 수 있다. 상기 층간 절연막을 형성한 후, 상기 플라즈마 공정이 진행될 수 있다. 상기 플라즈마 공정에 의하여, 상기 터널링 절연막(110)과 상기 반도체 기판(100)의 계면, 상기 터널링 절연막(110)과 상기 전하저장막의 계면 및 상기 전하저장막과 상기 층간 절연막의 계면에 존재하는 실리콘-수소 결합의 수소가 플루오르와 치환될 수 있다.
상기 층간 절연막 상에 게이트 도전막이 형성된다. 상기 게이트 도전막은 화학 기상 증착 방법 또는 스퍼터링 방법으로 형성된 탄탈륨 나이트라이드(TaN)를 포함할 수 있다. 상기 게이트 도전막 상에 하드 마스크막이 형성된다. 상기 하드 마스크막은 화학 기상 증착 방법으로 형성된 실리콘 질화막을 포함할 수 있다. 상기 하드 마스크막 상에 포토 레지스트 패턴을 형성하고, 포토 레지스트 패턴을 마스크로 식각 공정을 진행하여 하드 마스크 패턴(160a)이 형성된다. 상기 하드 마스크 패턴(160a)을 마스크로 식각 공정을 진행하여 게이트 전극(140a), 층간 절연 패 턴(130a), 전하저장 패턴(120a) 그리고 터널링 절연 패턴(110a)이 형성된다. 본 발명의 또 다른 실시예에 따르면, 전하 트랩 플래시 메모리 소자(charge trap flash memory device)의 신뢰성이 향상될 수 있다.
도 4는 본 발명의 실시예에 따른 비휘발성 메모리 소자를 설명하기 위한 단면도이다.
도 4를 참조하면, 반도체 기판(100) 상에 터널링 절연 패턴(110a)이 제공된다. 상기 터널링 절연 패턴(110a)은 실리콘 산화막을 포함할 수 있다. 상기 터널링 절연 패턴(110a) 상에 전하저장 패턴(120a)이 제공된다. 상기 전하저장 패턴(120a)은 부유 게이트막으로서 기능하는 폴리 실리콘막을 포함할 수 있다. 상기 전하저장 패턴(120a)은 전하트랩층(charge trap layer)으로서 기능하는 실리콘 질화막을 포함할 수 있다. 상기 전하저장 패턴(120a) 상에 층간 절연 패턴(130a)이 제공된다. 상기 층간 절연 패턴(130a)은 제 1 산화막 패턴(132a), 질화막 패턴(134a) 그리고 제 2 산화막 패턴(136a)이 적층된 구조일 수 있다. 또는, 상기 층간 절연 패턴(130a)은 블로킹 절연막(blocking insulating layer)으로서 기능하는 알루미늄 산화막(Al2O3)을 포함할 수 있다. 상기 층간 절연 패턴(130a) 상에 게이트 전극(140a)이 제공된다. 상기 게이트 전극(140a)은 제어 게이트막으로서 기능하는 폴리 실리콘막을 포함할 수 있다. 또는, 상기 게이트 전극(140a)은 탄탈륨 나이트라이드(TaN)를 포함할 수 있다. 상기 게이트 전극(140a) 상에 금속 실리사이드 패턴(150a)이 제공될 수 있다. 상기 금속 실리사이드 패턴(150a)은 코발트 실리사이 드 또는 텅스텐 실리사이드를 포함할 수 있다. 상기 금속 실리사이드 패턴(150a) 상에 하드 마스크 패턴(160a)이 제공될 수 있다. 상기 하드 마스크 패턴(160a)은 실리콘 질화막 또는 실리콘 산화질화막을 포함할 수 있다. 이에 의하여, 비휘발성 메모리 소자의 게이트 패턴은 하드 마스크 패턴(160a), 금속 실리사이드 패턴(150a), 게이트 전극(140a), 층간 절연 패턴(130a), 전하저장 패턴(120a) 및 터널링 절연 패턴(110a)을 포함할 수 있다. 상기 게이트 패턴의 측벽에 스페이서(170)가 제공된다. 상기 스페이서(170)는 실리콘 질화막을 포함할 수 있다. 상기 게이트 패턴과 인접한 반도체 기판(100)에 불순물 영역(105)이 제공된다. 상기 불순물 영역(105)은 소오스/드레인 영역일 수 있다.
상기 터널링 절연 패턴(110a)과 상기 반도체 기판(100)의 계면은 플루오르(F)를 포함할 수 있다. 상기 계면의 플루오르(F)는 실리콘-수소 결합의 수소와 치환된 것일 수 있다. 상기 터널링 절연 패턴(110a)과 상기 반도체 기판(100) 계면의 실리콘-수소 결합이 감소됨으로써, 비휘발성 메모리 소자의 신뢰성이 향상될 수 있다. 상기 전하 저장 패턴(120a)과 상기 제 1 산화막 패턴(132a)의 계면, 상기 제 1 산화막 패턴(132a)과 상기 질화막 패턴(134a)의 계면 및 상기 질화막 패턴(134a)과 상기 제 2 산화막 패턴(136a)의 계면은 플루오르(F)를 포함할 수 있다. 상기 전하 저장 패턴(120a)과 상기 층간 절연 패턴(130a)에 포함된 플루오르(F)는 실리콘-수소 결합의 수소와 치환된 것이다. 이에 따라, 비휘발성 메모리 소자의 신뢰성이 향상될 수 있다.
본 발명의 일 실시예에 따르면, 터널링 절연막과 반도체 기판 계면의 실리콘-수소 결합 또는 댕글링 본드(dangling bond)가 감소될 수 있다.
본 발명의 다른 실시예에 따르면, 전하저장막과 층간 절연막에 존재하는 실리콘-수소 결합이 감소될 수 있다.
이에 따라, 비휘발성 메모리 소자의 신뢰성이 향상될 수 있다.

Claims (10)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 반도체 기판 상에 전하저장막을 형성하는 것;
    상기 전하저장막 상에 층간 절연막을 형성하는 것;
    상기 층간 절연막에 플루오르를 포함하는 플라즈마 공정을 진행하는 것; 그리고
    상기 층간 절연막 상에 게이트 전극을 형성하는 것을 포함하는 비휘발성 메모리 소자의 형성방법.
  6. 삭제
  7. 삭제
  8. 청구항 5에 있어서,
    상기 플라즈마 공정을 진행한 후,
    상기 반도체 기판에 열처리 공정을 진행하는 것을 더 포함하는 비휘발성 메모리 소자의 형성방법.
  9. 반도체 기판 상의 터널링 절연 패턴;
    상기 터널링 절연 패턴 상의 전하저장 패턴;
    상기 전하저장 패턴 상의 층간 절연 패턴; 및
    상기 층간 절연 패턴 상의 게이트 전극을 포함하되,
    상기 반도체 기판과 상기 터널링 절연 패턴의 계면에 플루오르를 포함하는 것을 특징으로 하는 비휘발성 메모리 소자.
  10. 청구항 9에 있어서,
    상기 층간 절연 패턴은:
    상기 전하저장 패턴 상의 제 1 산화막 패턴;
    상기 제 1 산화막 패턴 상의 질화막 패턴; 및
    상기 질화막 패턴 상의 제 2 산화막 패턴을 포함하되,
    상기 전하저장 패턴과 상기 제 1 산화막 패턴, 상기 제 1 산화막 패턴과 상기 질화막 패턴, 상기 질화막 패턴과 상기 제 2 산화막 패턴의 계면에 플루오르를 포함하는 것을 특징으로 하는 비휘발성 메모리 소자.
KR1020060102580A 2006-10-20 2006-10-20 비휘발성 메모리 소자 및 그 형성방법 KR100897288B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020060102580A KR100897288B1 (ko) 2006-10-20 2006-10-20 비휘발성 메모리 소자 및 그 형성방법
US11/653,346 US20080093657A1 (en) 2006-10-20 2007-01-16 Nonvolatile memory devices and methods of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060102580A KR100897288B1 (ko) 2006-10-20 2006-10-20 비휘발성 메모리 소자 및 그 형성방법

Publications (2)

Publication Number Publication Date
KR20080035917A KR20080035917A (ko) 2008-04-24
KR100897288B1 true KR100897288B1 (ko) 2009-05-14

Family

ID=39317096

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060102580A KR100897288B1 (ko) 2006-10-20 2006-10-20 비휘발성 메모리 소자 및 그 형성방법

Country Status (2)

Country Link
US (1) US20080093657A1 (ko)
KR (1) KR100897288B1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100788361B1 (ko) * 2006-12-12 2008-01-02 동부일렉트로닉스 주식회사 모스펫 소자의 형성 방법
JP4594973B2 (ja) 2007-09-26 2010-12-08 株式会社東芝 不揮発性半導体記憶装置
WO2019069377A1 (ja) 2017-10-03 2019-04-11 旭化成エレクトロニクス株式会社 不揮発性記憶素子およびそれを備えるアナログ回路

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030093706A (ko) * 2002-06-05 2003-12-11 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
KR20050101876A (ko) * 2004-04-20 2005-10-25 주식회사 하이닉스반도체 플래쉬 메모리 소자의 게이트간 유전막 형성 방법
KR20060068462A (ko) * 2004-12-16 2006-06-21 삼성전자주식회사 이레이즈 효율을 개선하는 비휘발성 메모리 소자 및 제조방법
KR20060094705A (ko) * 2005-02-25 2006-08-30 주식회사 하이닉스반도체 반도체 소자의 게이트 절연막 및 그 형성방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5712208A (en) * 1994-06-09 1998-01-27 Motorola, Inc. Methods of formation of semiconductor composite gate dielectric having multiple incorporated atomic dopants
JP3558565B2 (ja) * 1999-11-08 2004-08-25 Necエレクトロニクス株式会社 不揮発性半導体装置の製造方法
JP4437352B2 (ja) * 2000-02-29 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7045073B2 (en) * 2002-12-18 2006-05-16 Intel Corporation Pre-etch implantation damage for the removal of thin film layers
KR100602322B1 (ko) * 2004-04-20 2006-07-14 에스티마이크로일렉트로닉스 엔.브이. 플래시 메모리 소자의 제조방법 및 이를 통해 제조된플래시 메모리 소자
US6933218B1 (en) * 2004-06-10 2005-08-23 Mosel Vitelic, Inc. Low temperature nitridation of amorphous high-K metal-oxide in inter-gates insulator stack
US20060105530A1 (en) * 2004-11-12 2006-05-18 Nanya Technology Corporation Method for fabricating semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030093706A (ko) * 2002-06-05 2003-12-11 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
KR20050101876A (ko) * 2004-04-20 2005-10-25 주식회사 하이닉스반도체 플래쉬 메모리 소자의 게이트간 유전막 형성 방법
KR20060068462A (ko) * 2004-12-16 2006-06-21 삼성전자주식회사 이레이즈 효율을 개선하는 비휘발성 메모리 소자 및 제조방법
KR20060094705A (ko) * 2005-02-25 2006-08-30 주식회사 하이닉스반도체 반도체 소자의 게이트 절연막 및 그 형성방법

Also Published As

Publication number Publication date
US20080093657A1 (en) 2008-04-24
KR20080035917A (ko) 2008-04-24

Similar Documents

Publication Publication Date Title
KR100625142B1 (ko) 반도체 장치의 제조 방법
JP2004281662A (ja) 半導体記憶装置及びその製造方法
US20060110874A1 (en) Method of forming source contact of flash memory device
KR100809606B1 (ko) 비휘발성 메모리 소자 및 그 형성방법
KR20080036679A (ko) 불 휘발성 메모리 소자의 형성 방법
JP4871127B2 (ja) 半導体デバイスを製造する方法および半導体デバイス
JP2004356203A (ja) 半導体装置およびその製造方法
KR20080035919A (ko) 플래시 메모리 소자 및 그 형성방법
KR100539213B1 (ko) 복합 유전막 형성 방법 및 이를 이용하는 반도체 장치의제조 방법
KR100897288B1 (ko) 비휘발성 메모리 소자 및 그 형성방법
KR20090096875A (ko) 반도체 소자 및 이의 제조 방법
JP2008166518A (ja) 不揮発性半導体記憶装置
KR100762260B1 (ko) 비휘발성 메모리 장치 및 그 형성 방법
KR100757323B1 (ko) 전하 트랩형 비휘발성 메모리 장치 및 그 제조 방법
JP2008244108A (ja) 半導体装置および半導体装置の製造方法
JP2008193081A (ja) 不揮発性メモリ装置の製造方法
TWI225287B (en) Method for fabricating a non-volatile memory and metal interconnects process
JP2009252841A (ja) 半導体記憶装置及びその製造方法
US20100093142A1 (en) Method of fabricating device
JP2009147135A (ja) 不揮発性半導体記憶装置およびその製造方法
JP2010027967A (ja) 不揮発性半導体記憶装置の製造方法
JP2007300135A (ja) 半導体装置及びその製造方法
KR101016335B1 (ko) 비휘발성 메모리 소자의 제조 방법
KR20080010514A (ko) 절연막 구조물의 형성 방법 및 이를 이용한 불 휘발성메모리 소자의 형성 방법
KR100650799B1 (ko) 플래쉬 메모리소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130430

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee