KR100798648B1 - 주성분 분석을 이용한 공정 감시 방법 및 장치 - Google Patents

주성분 분석을 이용한 공정 감시 방법 및 장치 Download PDF

Info

Publication number
KR100798648B1
KR100798648B1 KR1020000038863A KR20000038863A KR100798648B1 KR 100798648 B1 KR100798648 B1 KR 100798648B1 KR 1020000038863 A KR1020000038863 A KR 1020000038863A KR 20000038863 A KR20000038863 A KR 20000038863A KR 100798648 B1 KR100798648 B1 KR 100798648B1
Authority
KR
South Korea
Prior art keywords
principal component
calibration
chamber
manufacturing
principal
Prior art date
Application number
KR1020000038863A
Other languages
English (en)
Other versions
KR20010029905A (ko
Inventor
라리싸 바라서브람하냐
모쉐 사르파티
제드 데이비도우
디미트리스피. 라임버로포울로스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010029905A publication Critical patent/KR20010029905A/ko
Application granted granted Critical
Publication of KR100798648B1 publication Critical patent/KR100798648B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0218Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults
    • G05B23/0224Process history based detection method, e.g. whereby history implies the availability of large amounts of data
    • G05B23/024Quantitative history assessment, e.g. mathematical relationships between available data; Functions therefor; Principal component analysis [PCA]; Partial least square [PLS]; Statistical classifiers, e.g. Bayesian networks, linear regression or correlation analysis; Neural networks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • G01N21/274Calibration, base line adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/35Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light
    • G01N21/359Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light using near infrared light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/35Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light
    • G01N21/3563Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing solids; Preparation of samples therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/129Using chemometrical methods
    • G01N2201/1293Using chemometrical methods resolving multicomponent spectra

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

주성분 분석을 이용하는 공정 감시방법 및 장치가 제공된다. 감시될 공정(제조공정)에 대해 상관 특성(correlated attributes)이 측정된다. 그후 주성분 분석이 측정된 상관 특성에 대해 실시되어 하나 이상의 제조 주성분을 발생시킨다. 상기 하나 이상의 제조 주성분은 교정 공정과 관련된 주성분(교정 주성분)과 비교된다. 상기 교정 주성분은 교정 공정의 상관 특성을 측정하고, 하나 이상의 주성분을 발생시키도록 측정된 상관 특성에 대해 주성분 분석을 수행함으로써 얻어진다. 소정의 공정상황, 공정 이벤트 및 챔버 상황 중 하나 이상을 나타내는 특징을 갖는 주성분이 확인되어 교정 주성분으로서 지정된다. 바람직하게, 하나 이상의 제조 주성분은 교정 및 제조 주성분의 내적(inner product)을 계산함으로써 교정 주성분과 비교된다.

Description

주성분 분석을 이용한 공정 감시 방법 및 장치 {METHOD AND APPARATUS FOR MONITORING A PROCESS BY EMPLOING PRINCIPAL COMPONENT ANALYSIS}
도 1a 및 도 1b는 본 발명에 따른 일반 공정을 감시하기 위한 감시 기술의 흐름도.
도 2는 플라즈마 에칭 시스템 및 본 발명에 따라 상기 플라즈마 에칭 시스템에 결합된 공정 감시시스템을 포함하는 프로세싱 시스템의 개략도.
도 3a는 도 2 프로세싱 시스템에서 실리콘 디옥사이드 층을 플라즈마 에칭하는 동안 발생된 평균 중심(mean-centered) 분광 분석기(OES) 정보를 나타내는 그래프.
도 3b는 도 3a의 OES 정보를 얻기 위해 에칭된 실리콘 디옥사이드 층을 포함하는 다층 반도체 구조물의 횡단면도.
도 3c는 도 3b의 실리콘 디옥사이드 층의 에칭중에 플라즈마에 의해 출력된 파장을 나타내는 도면.
도 3d는 도 3b의 실리콘 디옥사이드 층의 에칭중에 발생된 제 1 주성분에 대한 그래프.
도 4a 및 도 4b는 에칭 중에 인가된 자기장을 갖거나 갖지 않은, 도 3b의 실리콘 디옥사이드 층의 에칭 중에 얻은 교정 및 제조 주성분의 내적 그래프.
도 5a는 플래티넘 다층 구조물의 에칭중에 발생된 교정 및 제조 제 1 주성분과 교정 및 제조 제 2 주성분의 내적을 나타내는 그래프.
도 5b는 도 5a의 그래프를 얻기 위해 에칭된 플래티넘 다층 구조물의 횡단면도.
도 6a는 폴리실리콘 다층 구조물의 에칭중에 발생된 교정 및 제조 제 1 주성분의 내적을 나타내는 그래프.
도 6b는 도 6a 그래프를 얻기 위해 에칭된 폴리실리콘 다층 구조물의 횡단면도.
도 7a는 BARC 다층 구조물의 에칭중에 발생된 교정 및 제조 제 1 주 성분의 내적을 나타내는 그래프.
도 7b는 도 7a의 그래프를 얻기 위해 에칭된 BARC 다층 구조물의 횡단면도.
도 8은 공정편차를 모의 실험하는 공정조건하에서 발생된 교정 및 제조 제 1 주성분의 내적을 나타내는 그래프.
도 9는 전용 디지탈 신호 프로세서가 사용된 본 발명에 따른 도 2의 공정 감시 시스템의 개략도.
도 10은 공정 감시 시스템이 RF 전력, 웨이퍼 온도, 챔버 압력 및 드로틀 밸브 위치를 감시하도록 구성된 본 발명에 따른 도 2의 프로세싱 시스템의 개략도.
도 11은 본 발명에 따른 도 2 또는 도 10의 프로세싱 시스템을 사용하는 반도체 장치 제조를 위한 자동화 기구의 평면도.
* 도면의 주요부분에 대한 부호의 설명 *
202 : 에칭 시스템 206 : 플라즈마 챔버
208 : 시스템 제어기 212 : 제어버스
214 : 뷰포트 218 : 플라즈마
220 : 분광기 222 : 프로세서
본 발명은 공정 감시기술, 특히 주성분 분석을 이용함으로써 공정을 감시하기 위한 방법 및 장치에 관한 것이다.
반도체 산업분야에 있어서는 아직까지도 공정의 반복성 및 제어에 대한 개선 필요성이 요구되어 왔다. 예를들어, 통상적인 금속층-대-금속층의 상호접속을 형성하는 동안에, 유전체 층이 제 1 금속층 위에 피복되고, 비아 홀(via hole)이 상기 제 1 금속층을 노출시키도록 상기 유전체 층내에서 에칭되고, 상기 비아 홀이 금속 플러그로 충전되며 제 2 금속층이 (예를들어, 상기 제 1 및 제 2 금속층 사이에 상호접점을 형성하는)상기 금속 플러그 위에 피복된다. 상호접점이 낮은 접촉 저항을 가질 수 있도록 하기 위해서, 상기 비아 홀 내부의 모든 유전체 재료는 상부에 금속 플러그를 형성하기 이전에 제 1 금속층의 상면으로부터 에칭되어야 한다. 그렇지 않으면, 상기 비아 홀 내부에 잔류하는 고저항 유전체 재료가 상기 상호접점의 접촉저항을 상당히 열화시키게 된다. 이와 유사한 공정제어는 금속층(예를들어, Al, Cu, Pt 등), 폴리실리콘 층 등의 에칭 중에도 필요하다.
종래의 감시기술들은 금속층이 완전히 에칭된 개략적인 시점(즉, 종점)만을 제공한다. 따라서, 재료층들의 두께 변화(예를들어, 디바이스 편차) 또는 재료층들의 에칭율 변화(예를들어, 공정/공정 챔버 편차)를 수집하기 위해, 재료층의 에칭에 필요한 예정시간 보다 많은 시간(즉, 오버-에칭 타임) 동안 에칭공정이 계속될 수 있다. 오버-에칭시간 동안의 에칭은 제거될 모든 재료가 에칭시간을 변화시킬 수 있는 공정/챔버 편차 및 디바이스 편차에도 불구하고 제거되도록 보장한다.
오버-에칭 시간이 완전한 에칭을 보장하지만, 오버-에칭은 각각의 반도체 웨이퍼를 처리하는데 요구되는 시간을 증가시켜 웨이퍼 제조수율을 감소시킨다. 또한, 고성능 집적회로용 디바이스는 반도체 디바이스가 발생할 때마다 미세한 치수 허용오차를 필요로 하므로 바람직하지 않게 오버-에칭을 증가시킨다. 소형화된 디바이스 구조물에 필요한 보다 작은 개방면적도 일반적으로 감시되는 전자기적 방출(예를들어, 반응 생성물 방출)의 강도를 감소시켜, 좁은 대역의 강도 측정을 이용하는 감시기술의 난점과 부정확도를 증대시킨다.
따라서, 본 발명의 목적은 에칭 공정, 챔버 세정공정, 증착 공정 등의 반도체 제조공정을 감시하기 위한 개선된 기술을 제공하고자 하는 것이다.
본원 발명자들은 공정의 상관 특성(예를들어, 복수의 전자기적 방출, 및/또는 공정 온도, 공정 압력, RF 전력 등)을 측정하고, 상기 상관 특성을 분석하기 위한 주 성분 분석을 이용함으로써, 공정 상황, 공정 이벤트 및 필요한 경우 챔버 상황 정보들이 공정에 대해 용이하고 정확하게 얻어질 수 있다는 것을 발견했다. 취득가능한 예시적인 공정상황 정보는 RF 전력, 플라즈마 반응 화학물 등이며, 취득가능한 예시적인 공정 이벤트 정보는 특정 재료의 에칭완료 여부(즉, 관통(breakthough)), 소정 공정(에칭 또는 증착)의 완료 여부, 및 웨이퍼가 부적절히 유지되었는지의 여부(즉, 부적절한 척킹) 등이며, 또한, 필요한 경우의 취득가능한 예시적 챔버상황 정보는 챔버의 오염여부, 챔버 작동이 이전 작동 또는 다른 챔버의 작동과 유사한가의 여부(즉, 챔버 매칭) 등이다.
본 발명에 따르면, 상기 상관 특성은 감시될 공정(즉, 제조 공정)에 대해 측정되며, 주성분 분석이 측정된 상관 특성에 대해 수행되어 하나 이상의 제조 주성분을 생성하게 된다. 그후 하나 이상의 제조 주성분은 교정공정과 관련된 주성분(즉, 교정 주성분)과 비교된다.
상기 교정 주성분은 교정 공정(바람직하게, 제조 공정과 동일하나 통상 비제조 목적의 공정)의 상관 특성을 측정하고, 하나 이상의 주성분을 생성하도록 측정된 상관 특성에 대한 주성분 분석을 수행함으로써 얻어진다. 소정의 공정상황, 공정 이벤트 및 챔버 상황 중의 적어도 하나를 나타내는 특징을 갖는 주성분이 확인되고 교정 주성분으로서 지정된다. 바람직하게, 하나 이상의 제조 주성분은, 교정 및 제조 주성분의 내적을 계산함으로써, 교정 주성분과 비교된다. 또한, 교정 및 제조 주성분은, 매쓰워크스 인코포레이티드에 의해 시판되는 매쓰매틱스 소프트웨어 패키지 매트랩(등록상표)에서 볼수 있는 "정합(coherence)" 함수와 같은 다른 기술을 사용하거나, 교정 및 제조 주성분간의 차이에 대한 스칼러 크기 또는 "노움(norm)"을 계산함으로써 비교될 수 있다.
이와 같이 교정 및 제조 주성분을 비교함으로써, 공정 이벤트, 공정 상황 및 챔버 상황 정보들이 고도의 정밀도로 신속하게(실시간으로) 얻어질 수 있다. 그럼으로써, 공정들은 감시되고, 공정 변수/조건들은 실시간으로 조절되며, 오버-에칭 타임과 같은 과다-처리 시간들이 방지되고, 공정 수율 및 제조력이 현저히 증가된다.
본 발명은 첨부도면을 참조하여 설명된다. 도면에 있어서, 동일한 참조부호는 동일하거나 기능적으로 유사한 구성요소들을 지칭한다. 또한, 참조부호의 최좌측 숫자는 참조부호가 처음 사용된 도면을 의미한다.
전술한 바와 같이, 본원 발명자들은 공정의 상관 특성을 측정하고, 상기 상관 특성을 분석하기 위해 주성분 분석을 이용함으로써, 공정 상황, 공정 이벤트 및 필요한 경우의 챔버 상황 정보들이 처리공정 중에 용이하고 정확하게 얻어질 수 있다는 것을 발견하였다. 설명의 편리함을 위해, 본원 발명은 플라즈마 에칭공정 및 플라즈마 기반 상관 특성(예를들어, 플라즈마 정전기적 방출, RF 전력, 챔버 압력, 드로틀 밸브 위치 등)을 참조하여 주로 설명한다. 그러나, 본 발명은 플라즈마의 사용 여부 및 증착공정, 세정공정, 화학-기계적 폴리싱 공정 등과 같은 반도체 장치의 처리공정의 관련 여부와 무관하게 임의의 다른 공정을 감시하는데도 유사하게 사용될 수 있다. 이러한 형태의 공정에 대한 감시가능한 상관 특성은 온도, 압력, 중량 이득/손실, 플라즈마 방출, RF 전력, 드로틀 밸브 위치 등에 한정되지 않는다.
도 1a 및 도 1b는 본 발명에 따라 포괄적인 공정을 감시하기 위한 감시방법(100)의 흐름도이다. 본 발명의 감시방법(100)은 단계 101에서 시작된다.
단계 102에서, 감시될 공정(즉, 제조 공정)이 확인되고, 교정 공정이 수행된다. 대부분의 경우에, 교정 공정 및 제조 공정은 동일한 공정변수(예를들어, 동일한 유량, 기판 온도, 챔버 압력 등등)를 사용한다. 그러나, 도 8을 참조하여 설명하는 바와 같이, 제조공정 내에서 공정 편차 또는 다른 공정편차에 대한 본 발명의 감시방법의 감도를 결정하기 위해, 제조 공정과 관련된 공정 가스 유량, 공정 온도 등과 같은 교정 공정의 하나 이상의 공정변수를 변화시키는 것이 바람직하다.
교정 공정을 수행하는 동안, 단계 103에서, 플라즈마 공정에 대한 복수의 플라즈마 방출 파장 및/또는 공정 온도, 드로틀 밸브 위치, 공정 압력 또는 어떤 다른 상관 특성과 같은 교정 공정의 상관 특성 세트가 측정된다. 공지된 바와 같이, 여러 상관 특성이 주성분 분석을 위한 충분한 정보를 제공하는데 필요하다.
단계 104에서, 시간 또는 시간 주기가 교정 공정에 대한 소정의 공정 상황, 공정 이벤트 또는 챔버 상황에 대응하는 수집된 교정 공정 데이타 내에서 확인된다. 이러한 시간 또는 시간 주기의 확인은 통상적으로 교정 공정 이후에 수행되므로써, 공정중(예를들어, 반도체 장치의 접촉 개구를 위한 산화 에칭과 같은 제조 공정중) 실시간 용도로 적합하지 않은 (시간소모적인) 복잡한 확인기술을 사용하여 수행될 수 있다. 예를들어, 교정 공정이 에칭 공정이라면, 재료층을 에칭하기 위한 종점 또는 관통 시간은, 동일한 공정조건 하에서 일련의 상이하고 지속적인 에칭을 수행하고, 재료층의 에칭을 위한 정확한 종점 또는 관통 시점을 결정하기 위해 각각의 에칭 지속기간 동안 (예를들어, 주사식 전자 현미경 또는 투과식 전자 현미경 기술을 통해) 재료층의 단면을 검사함으로써, 결정될 수 있다. 유사하게, 공정 가스 유량, 챔버 압력, 공정 온도 등은 시간에 대한 챔버 공정 상황을 특정하기 위한 복잡한 측정기술을 사용하여 측정되거나 챔버 매칭 목적으로 측정될 수 있다.
단계 105에서, 주성분 분석(PCA)은 확인된 공정상황, 공정 이벤트 또는 챔버 상황 시간에 근접하여 수집된 교정공정의 측정된 상관 특성에 대해 수행된다. 예를들어, 상기 이벤트 전, 도중 및/또는 후에 취해진 상관 특성 데이타를 포함한 윈도우 데이타(예를들어, 10개의 상이한 측정시간에 대한 데이타를 포함한 윈도우, 또는 임의의 다른 윈도우 크기)가 시험될 수 있다. 상기 윈도우 내의 상관 특성 데이타는 측정된 상관 특성 데이타를 포함하는 열 및 각각의 특성 세트가 측정된 시간을 포함하는 행을 갖는 행렬(matrix)을 형성하는데 사용된다. 상기 행렬내의 데이타는 수집될 때 분석될 수 있으나 바람직하게, 평균적으로 계산되거나 또는 평균적으로 계산 및 대략적으로 계산된다(후술됨). 그후 상기 행렬에 대해 비정칙치 분해가 수행되고, 주성분 고유벡터가 상기 행렬내에서 측정된 상관 특성 데이타에 대해 발생된다. 통상적으로, 상기 행렬 내에서 측정된 상관 특성 데이타 내에서 발생하는 변화의 80%를 포착하는데에는 2 내지 3개의 주성분으로 충분하다.
단계 106에서, 교정 공정의 측정된 상관 특성에 대해 발생된 주성분은 교정 공정의 소정의 공정 상황, 공정 이벤트 또는 챔버 상황을 나타내는 특징들에 대해 시험된다. 후술하는 바와 같이, 통상적으로 하나의 주 성분은 소정의 공정상황, 공정 이벤트 또는 챔버 상황을 나타내는 정확한 특징을 포함한다. 단계 107에서, 확인된 주성분은 소정의 공정 이벤트, 공정상황 또는 챔버 상황에 대한 "교정" 주성분으로서 지정된다. 일단 얻어지면, 교정 주성분은 소정의 공정 이벤트, 공정 상황 또는 챔버 상황(후술함)에 대응하는 교정 공정내의 시간을 확인하는데 사용되는 복잡 및/또는 시간 소모적인 실험 및 분석의 필요없이, 제조 공정의 수행 중에(예를들어, 실시간) 또는 그 후에, 소정의 공정 이벤트, 공정 상황 또는 챔버 상황을 신속히 확인하는데 사용될 수 있다.
단계 108에서, 상기 제조 공정이 (예를들어, 교정공정과 동일한 공정변수로)수행되며, 단계 109에서 제조 공정용 상관 특성이 측정된다. 바람직하게, 제조 공정중에 상관 특성이 측정될 때 마다, 상기 특성은 모든 측정된 상관 특성이 윈도우를 통과할 때까지 새로 측정된 상관 특성이 윈도우에 추가되고 오래전에 측정된 상관 특성이 시간이 흐름에 따라 윈도우로부터 누락되는 전개 윈도우(evolving window) 내에 저장된다. 제조 공정 특성용 전개 윈도우는 교정 주성분을 계산하는데 사용된 윈도우와 동일하거나 또는 상이한 크기일 수 있다.
단계 110에서, 새로 측정된 상관 특성이 전개 윈도우에 추가될 때마다, 제조 공정에 대한 하나 이상의 주성분(예를들어, 하나 이상의 제조 주성분)을 생성하도록 내부의 측정된 상관 특성 데이타에 대해 주성분 분석이 수행된다. 이와는 달리, 주성분 분석이 소정의 공정상황, 공정 이벤트, 또는 챔버 상황에 대한 예정시간 근처에서만 수행될 수 있다.
단계 111에서, 하나 이상의 제조 주성분(예를들어, 교정 주성분과 동일한 차수의 주성분)이 교정 주성분과 비교된다. 제조 및 교정 주성분은 임의의 방법(예를들어, 감산, 감산에 이은 노움 연산, 제법, 정합 함수 등)에 의해 비교될 수 있으나, 바람직하게는 두개의 주성분의 도트 또는 내적을 계산함으로써 비교된다. 상기 두개의 주성분이 단위 길이를 가지므로, 교정 및 제조 주성분들의 내적은 교정 및 제조 주성분이 동일 방향으로 변화하는 대략 동일한 특징을 갖는다면 대략 +1.0이고, 교정 및 제조 주성분이 대향 방향으로 변화하는 대략 동일 특징을 갖는다면 대략 -1.0이며, 교정 및 제조 주성분이 일치되지 않으면 대략 0이다. 이와 같이, 교정 및 제조 주성분들의 내적을 취함으로써, 제조 주성분은 교정 주성분과 용이하게 비교될 수 있다.
단계 112에서, 교정 및 제조 주성분들이 대략 동일한 가의 여부에 관한 결정을 수행한다. 동일하다면, 단계 113에서 소정의 공정 상황, 공정 이벤트 또는 챔버 상황이 제조 공정중에 발견되었음을 나타내는 신호가 생성되며, 단계 116에서 본 발명의 감시방법이 종료하게 된다. 더욱 상세히 후술하는 바와 같이, 소정의 공정상황, 공정 이벤트 또는 챔버 상황이 발견되었음을 나타내는 신호는 예를들어, 종점 또는 관통 시점에 도달되었는지, 공정 편차가 검출되었는지, 챔버 고장이 검출되었는지, 챔버 매칭이 설정되었는지 등을 나타내는 인디케이터를 포함할 수 있다.
단계 112에서 교정 및 제조 주성분이 일치되지 않은 것으로 결정되면, 단계 114에서 제조 공정이 소정의 공정상황, 공정 이벤트 또는 챔버상황에 대한 검출없이 예상보다도 더 많이 진행되었는지 또는 제조 공정이 종료되었는지에 대한 결정을 수행한다. 그러하다면, 단계 115에서 소정의 공정상황, 공정 이벤트 또는 챔버상황이 제조공정 중에 발견되지 않았음을 나타내는 신호(예를들어 경고 신호)가 발생된다. 그 다음, 본 발명의 감시방법이 종료되는 단계 116으로 제어가 진행된다.
단계 114에서 제조공정이 종료되지 않거나 예상보다 더 진행되지 않았다면, 추가의 상관 특성이 제조공정에 대해 측정되고 추가의 측정된 상관 특성이 전개 윈도우에 추가되는 단계(109)로 제어가 진행된다. 그 다음, 주성분 분석이 전개 윈도우 내의 데이타에 대해 수행되며(단계 110), 새로운 제조 주성분이 전술한 바와 같은 교정 주성분과 비교된다(단계 111). 이러한 공정은 소정의 공정상황, 공정 이벤트 또는 챔버 상황이 발견되거나, 제조 공정이 종료 또는 예상보다 더 진행될 때까지 반복된다. 이하, 본 발명의 감시방법(100)을 플라즈마 공정을 참조하여 설명한다.
도 2는 통상의 플라즈마 에칭 시스템(202) 및 본 발명에 따라 상기 에칭 시스템에 결합되는 본 발명의 공정 감시시스템(204)을 포함하는 프로세싱 시스템(200)의 개략도이다. 본 명세서에 있어서, "결합"이란 작동될 수 있도록 직접 또는 간접적으로 연결됨음을 의미한다.
상기 통상의 플라즈마 에칭 시스템(202)은 처리 제어포트(210) 및 제 1 제어 버스(212)를 경유하여 플라즈마 에칭 시스템 제어기(208)에 연결된 플라즈마 챔버(206)를 포함한다. 단일 인터페이스(예를들어, 처리 제어포트(210))가 편의를 위해 플라즈마 챔버(206)와 플라즈마 에칭 시스템 제어기(208) 사이에 도시되어 있지만 일반적으로, 상기 플라즈마 에칭 시스템 제어기(208)는 복수의 인터페이스(도시않음)를 통하여 플라즈마 챔버(206)와 관련된 다수의 질량 흐름 제어기, RF 발생기, 온도 제어기 등을 인터페이스할 수 있다.
플라즈마 챔버(206)는 플라즈마 챔버(206)내에 포함된 플라즈마(218)로부터 전자기적 방출물(예를들어, 도 2에 도면부호 216으로 나타낸, 주로 약 180 내지 1100㎚ 범위의 광 파장)을 출력하기 위한 뷰포트(214)를 포함한다. 상기 플라즈마 전자기적 방출물(216)은 다수의 플라즈마 종(예를들어, 공정가스, 반응 생성물 등)로부터의 방출물을 포함하며, 이는 플라즈마 공정에서 측정할 수 있는 상관 특성의 한 형태를 나타낸다. 상기 뷰포트(214)는 플라즈마 챔버(206)의 측면에 위치된 것으로 도시되어 있으나, 바람직하다면, 임의의 다른 위치(예를들어, 챔버(206)의 상부 또는 바닥)에 위치될 수 있다고 이해해야 한다.
본 발명의 공정 감시 시스템(204)은 프로세싱 기구(예를들어, 프로세서(222))에 결합된 분광기(220)를 포함한다. 상기 분광기(220)는 플라즈마(218)로부터의 전자기적 방출물(216)을 수집하고, 복수의 플라즈마 전자기적 방출물 파장에 관한 강도 정보를 프로세서(222)에 공급하도록 위치된다. 분광기(220)는 바람직하게, 약 180 내지 850㎚ 범위의 파장을 주사하는 2048 플라즈마 전자기적 방출물 파장에 관한 강도 정보를 프로세서(222)에 제공하기 위한 2048 채널 CCD 어레이를 사용하는 오우션 옵틱스 모델번호 에스2000 분광기를 포함한다. 다른 분광기가 사용될 수 있으며 다른 파장 범위가 감시될 수 있음을 이해해야 한다. (예를들어, 전자기적 방출물(216)을 렌즈(226)를 경유하여 광섬유 케이블(228)로 결합하고, 전자기적 방출물(216)을 광섬유 케이블(228)을 경유하여 분광기(220)로 이송함으로써) 분광기(220)에 의한 전자기적 방출물(216)의 수집을 개선하기 위하여, 렌즈(226) 및/또는 광섬유 케이블(228)이 바람직하게 뷰포트(214)와 분광기(220) 사이에 배열된다. 플라즈마(218)로부터의 전자기적 방출물을 수집하기 위한 다른 구성, 예를들어 광다이오드 어레이가 분광기(220) 대신 사용될 수 있으며, 각각의 광다이오드는 상이한 파장 또는 상이한 파장 스펙트럼을 감시한다. 필요하다면, 광섬유 케이블 다발이 다이오드 어레이에 결합될 수 있으며, 상기 다발내에 있는 각각의 광섬유 케이블은 단일 광다이오드에 결합되어 전자기적 방출물을 공급한다. 유사하게, 회절격자, 프리즘, 광 필터(예를들어, 글라스 필터) 및 다른 파장 선택성 장치들이 복수의 검출기(예를들어, 광다이오드, 광증폭기 등)와 함께 사용되어 복수의 전자기적 방출물 파장에 관한 정보를 프로세서(222)에 제공한다. 상기 프로세서(222)는 제 2 제어버스(230)를 경유하여 플라즈마 에칭 시스템 제어기(208)에 결합된다.
작동시, 유저(232)(예를들어, 웨이퍼 제조공정의 담당자)는 플라즈마 챔버(206)내에 플라즈마(218)를 발생시키기 위한 일련의 지시(즉, 플라즈마 처리법)들을 (제 3 제어버스를 통해) 플라즈마 에칭 시스템 제어기(208)에 공급한다. 이와는 달리, 프로세싱 시스템(200), 제조 실행 시스템 또는 임의의 다른 제조 제어시스템을 포함하여 제조공정을 운용하기 위한 원격 컴퓨터 시스템이 플라즈마 에칭 시스템 제어기(208)에 (유저(232)에 의해 공급되거나 플라즈마 처리법 데이타 베이스내에 저장된 것과 같은) 플라즈마 처리법을 제공할 수 있다. 통상적인 플라즈마 처리법은 플라즈마 처리중에 플라즈마(218)를 플라즈마 챔버(206)내에 발생시켜 유지하는데 사용되는 압력, 온도, 전력, 가스 유형, 가스 유량 등과 같은 공정변수를 포함한다. 예를들어, 플라즈마 챔버(206)내에서 알루미늄 에칭을 수행하기 위해서, 통상적인 플라즈마 처리법은 적어도, 소정의 챔버 압력, 소정의 공정온도, 소정의 RF 전력 수준, 소정의 웨이퍼 바이어스, 소정의 공정가스 유량(예를들어, Ar, BCl3 및 Cl2과 같은 공정가스의 소정의 유량) 등을 포함할 수 있다. 일단 플라즈마 에칭 시스템 제어기(208)가 유저(232), 원격 컴퓨터 시스템, 제조 실행 시스템 등으로부터 플라즈마 처리법을 수신하면, 상기 플라즈마 처리법은 제 2 제어버스(212)를 경유하여 처리 제어포트(210)로 공급되며, 상기 처리 제어포트(210)(또는 처리 제어포트(210)가 없는 경우에는 플라즈마 에칭 시스템 제어기(208) 자체)가 플라즈마 처리법에 의해 특정된 공정변수를 플라즈마 챔버(206) 내에 설정 및 유지한다.
플라즈마 챔버(206) 내에서의 플라즈마 공정중에, 상기 플라즈마(218)는 비록 자외선 및 적외선 파장이 모두 발생될지라도, 주로 광 스펙트럼 내에 파장(예를들어, 약 180 내지 1100㎚)을 갖는 전자기적 방출물을 발생시킨다. 이들 전자기적 방출물의 일부(예를들어, 전자기적 방출물(216))는 뷰포트(214)를 통과하여 본 발명의 공정 감시 시스템(204)에 도달한다. 전자기적 방출물(216)을 도 2에서 3개의 방출파장으로 나타냈지만, 상기 전자기적 방출물(216)은 통상적으로 다수의 파장을 포함한다고 이해해야 한다.
도 2를 참조하면, 분광기(220)는 렌즈(226) 및 광섬유 케이블(228)을 통해 전자기적 방출물(216)를 수집한다. 이에 응답하여, 분광기(220)는 파장에 기초하여 전자기적 방출물(216)을 (예를들어, 프리즘 또는 회절격자(도시않음)를 통해) 공간적으로 분리하고, 공간적으로 분리된 복수의 파장에 대한 검출신호(예를들어, 검출전류)를 발생시킨다. 양호한 실시예에서, 400㎚에서 블레이즈된 600 라인/밀리미터 회절격자가 약 180 내지 850㎚ 범위의 플라즈마 방출 파장에 대한 2048 검출 전류 또는 2048 "채널"의 검출 신호정보(즉, 광 방출 분광(OES) 정보)를 발생시키도록 2048 라이너 실리콘 장입-결합 장치 어레이 상에 플라즈마 방출파장을 공간적으로 분리하는 분광기(220)를 위해 오우션 옵틱스 모델번호 에스2000 분광기가 사용된다. 바람직하다면, 다른 파장범위 및 채널 크기가 사용될 수 있으며 플라즈마 스펙트럼의 다중 파장범위가 시험되어 본 발명의 감시방법에 따라 비교될 수 있는 다중 교정 및 제조 주 성분을 발생시킨다.
일단 발생되면, 상기 OES 정보가 (예를들어, 아나로그 대 디지탈 변화기를 거쳐)디지탈화 되고 (후술하는)다음 공정을 위해 프로세서(222)로 출력된다. 상기 OES 정보는 바람직하다면 아나로그 형태로 프로세서(222)에 출력될 수 있다. 통상적으로, 새로운 2048 채널 OES 정보(예를들어, 새로운 교정특성 데이타)가 수집되어 1초 간격으로 프로세서(222)에 공급된다. 물론, 다른 시간간격으로 공급될 수 도 있다.
분광기(220)에 의해 수집된 플라즈마 방출파장이 다수의 플라즈마 종류를 방출하므로, 수집된 방출파장은 주 성분 분석법에 의해 분석될 플라즈마 공정의 교정특성을 나타내게 된다. 플라즈마 공정의 다른 적합한 교정특성들로는 RF 동력, 웨이퍼 온도, 챔버 압력, 드로틀밸브 위치, 공정가스 흐름율 등이 있다. 이와 같이, 본 발명에 따라서 플라즈마 공정의 교정특성(예를들어, 전자기적 방출)이 분광기(220)를 통해 측정되며 2048 채널의 OES 데이타 형태로 프로세서(222)에 공급된다. 프로세서(222)에 의해 수행될 특정형태의 처리공정은 바람직하게 제 4 제어 버스(236)를 통해 유저(232)에 의해(또는 원격 컴퓨터 시스템, 제조 실행시스템 등에 의해) 선택된다.
도 3a는 다층 반도체 구조물(304)(도 3b)의 실리콘 디옥사이드 층(302)의 플라즈마 에칭 중에 발생된 OES 데이타(300)의 그래프이다. 도 3a의 어두운 부분은 확대된 크기를 나타내며 OES 데이타(300)는 t1 과 t2 사이의 평균 파장 세기를 계산하고 측정된 파장세기로부터 평균 파장세기를 감산함으로써 평균적으로 계산된다. 일반적으로, 어떤 시간에서 발생된 파장세기는 예를들어, t-10 과 t+10 사이의 평균 파장세기를 계산하고 그 측정된 파장세기로부터 평균 파장세기를 감산함으로써 평균적으로 계산된다.
도 3b를 참조하면, 다층 반도체 구조물(304)은 실리콘 웨이퍼(305)상에 약 2000Å 두께로 피복된 실리콘 디옥사이드 층(302) 및 약 8000Å 두께로 피복된 포토레지스트 층(306)을 포함한다. 포토레지스트 층(306)은 에칭 중에 실리콘 디옥사이드 층(302)의 약 10%를 노출시키도록 패턴화된다.
OES 데이타(300)를 얻기 위해, 다층 반도체 구조물(304)이 플라즈마 챔버(206)(예를들어, 인가된 자장이 없는 MxP(등록상표)) 내에 놓이며 플라즈마(218)는 예를들어, 종래기술에 공지된 Ar, CHF3 및 CF4를 사용하여 충돌된다. 뷰포트(214)를 통과하는 약 180 내지 850㎚의 파장을 갖는 전자기적 방출물이 분광기(220)에 의해 수집되며 비평균적으로 계산된 OES 데이타(300)가 분광기(220)에 의해 발생된다. 양호한 실시예에서, 상기 OES 데이타(300)는 초당 플라즈마(218)에 의해 출력된 파장의 "스냅-쇼트" 및 약 1 ㎒의 비율로 데이타를 디지탈화함으로써 발생된다. 다른 스냅-쇼트/디지탈화 비율이 사용될 수 있다. 상기 OES 데이타(300)가 수집될 때 각 파장의 스냅-쇼트가 실시간으로 프로세서(222)를 통과하여 프라즈마 챔버(206)의 실시간 공정제어를 가능하게 한다(후술됨). 프로세서(222)는 OES 데이타(300)를 평균적으로 계산한다.
도 3c는 산화물 층(302)의 에칭중에(에칭공정에서 약 60초) 플라즈마(218)에 의해 출력된 파장의 스냅-쇼트이다. 종점 검출법과 같은 종래의 감시방법은 시간에 대한 개별 플라즈마 방출 파장의 세기(CF2 또는 CO)변화를 감시한다. 그러나, 새로운 세대의 반도체 장치에 의해 미세구조물의 크기가 연속적으로 작아지므로, 더 적은 재료가 에칭되어야 하고, 에칭중에 더 적은 반응 생성물이 생성되어야 하고, 더 적은 반응가스가 에칭중에 소모되어야 하며 에칭 중에 발생되는 개별 파장 세기에 있어서의 변화가 작아져서, 전체 플라즈마 방출 스펙트럼 내에서 검출하는 것이 더 어려워졌다. 주 성분 분석법이 다중 상관특성(예를들어, 파장)을 시험하는 것이므로, 미세구조물 크기에 있어서 감소를 수반하는 각각의 방출라인의 신호 세기에 있어서의 감소에 덜 민감하다.
도 3a를 참조하면, 산화물 층(302)의 에칭은 t0에서 시작하고 t1과 t2 사이의 어디에선가 종료한다. 도 3a에 도시한 바와 같이, OES 데이타(300)에 대한 파장세기에 있어서의 최대변화는 산화물 층(302)에 에칭 종점을 나타내는 t1과 t2 사이에서 발생한다. 특히, 종점 근처에서 약간의 세기 증가 및 약간의 세기 감소가 나타 난다. 그러나, 종점의 정확한 위치를 나타내는 예리한 전이는 관찰되지 않았다.
본 발명(과 도 1a 및 도 1b의 감시방법)에 따라서, 도 3a의 OES 데이타(300)를 발생시키는데 사용된 프라즈마 공정은 교정공정으로서 처리되며, 시간 t1과 t2 사이의 종점위치는 독립 수단(예를들어, 종래의 종점 검출법, 주사식 및 투사식 전자 현미경과 결합된 에칭 스타디 등)에 의해 확인/얻어진다. 그후 예상된 종점 근처의 OES 데이타의 윈도우(예를들어, 예상 종점을 포함하는 약 20 개의 파장 스냅-쇼트의 윈도우)에 대해 주성분 분석이 (전술한 대로)수행된다.
도 3d는 도 3a 내지 도 3c를 생성하는데 사용되어 시간 t1과 t2 (도 3a)사이에 있는 산화물 에칭 종점 근처에서 계산된 교정공정용 제 1 주성분의 그래프이다. PC1은 각각의 파장과 관련된 "중량"에 의해 정의되며 파장과 관련된 각 중량의 기호와 크기는 종점 근처의 파장과 관련한 변경의 방향 및 크기를 나타낸다. 동일한 조건하의 다음 공정중에, 동일한 PC1 성분이 종점 근처에서 관찰할 수 있다. 따라서, 도 3d의 PC1은 종점 이벤트(예를들어, 도 3B의 실리콘 디옥사이드 층(302)의 에칭을 위한 종점)를 채취하는 다음 "제조"공정 중의 교정 주 성분으로서의 역할을 한다.
도 4a는 도 3b의 실리콘 디옥사이드 층(302)의 순차 에칭중에 (도 3a의 OES 데이타(300)를 생성하는데 사용된 동일한 가공처리 조건을 사용하여)계산된 제조 (제 1)주성분을 갖는 도 3d의 교정 주 성분(예를들어, PC1)의 내적을 나타내는 그래프이다. 자장은 가해지지 않았다. 최근에 얻어진 5개의 파장 스냅-쇼트를 포함하는 전개 윈도우는 초당 새로운 제조 주 성분(예를들어, PC1)을 생성하는데 사용되었다. 그후 각각의 새로운 제조 주 성분이 두 개의 주 성분의 내적을 취함으로써 도 3d의 교정 주 성분과 비교되었다. 다른 윈도우 크기와 다른 스냅-쇼트 비율이 사용될 수 있다는 것을 이해해야 한다.
도 4a를 참조하면, 시간 t0에서 플라즈마(218)가 점화되고 이산화 실리콘 층(302)의 에칭이 시간 t1에서 시작되었다. 에칭은 시간 t2까지 계속된다. 그후, 시간 t2에서 교정 및 제조 주 성분의 내적이 +1.0으로부터 -1.0까지 변화되었다. 이러한 내적의 급격한 변화는 종래의 종점 검출기술로는 명확히 관찰할 수 없었던,산화물 층(302)의 에칭에 대한 종점을 확인시켜준다. 시간 t2에서의 종점의 존재는 다른 종점 검출기술에 의해 확인되었다.
도 4b는 도 3d의 실리콘 디옥사이드 층(302)의 순차 에칭중에, 챔버내에 가해진 0.25 ㎐의 자장을 갖는 것을 제외하고는 도 3a의 OES 데이타(300)를 생성하는데 사용된 동일한 가공처리 조건을 사용하여 계산된 생성물 주성분을 갖는 (에칭중에 자장이 없는 조건하에서 계산된)도 3d의 교정 주 성분(예를들어, PC1)의 내적을 나타내는 그래프이다. 도 4b에서 볼 수 있는 바와 같이, 교정 주성분이 자장을 갖지 않는 공정으로부터 유도되었더라도, 이산화 실리콘 층(302)에 대한 에칭종점을 나타내는 시간 t2에서의 예리한 전이는 아직 없다.
도 5a는 백금 다층 구조물(501)(도 5b)의 에칭공정 중에 발생된 제조공정(제조 PC1)에 대한 제 1 주성분과 교정 공정(교정 PC1)에 대한 제 1 주성분의 내적 및 제조공정(제조 PC2)에 대한 제 2 주성분과 교정 공정(교정 PC2)에 대한 제 2 주성분의 내적그래프이다. 백금 다층 구조물(501)은 어떤 다른 공지의 에칭제가 유사하게 사용될 수 있지만, 염화물계 에칭제를 사용하여 에칭된다.
백금 다층 구조물(501)은 실리콘 웨이퍼상에 피복된 두께 2000Å의 제 1 이산화 실리콘층(503)과, 상기 제 1 이산화 실리콘층(503)위에 피복된 두께 약 300Å 의 질화 티타늄 층(505)과, 상기 질화 티타늄 층(505)위에 피복된 두께 약 2000Å의 백금 층(507)과, 상기 백금층위에 피복된 두께 약 300Å의 질화 탄탈륨 층(509), 및 상기 질화 탄탈륨 층(509)위에 피복된 두께 약 600Å의 제 2 이산화 실리콘 층(511)을 포함한다. 제 2 이산화 실리콘 층(511)의 일부는 도시한 대로 질화 탄탈륨 층(509)의 약 60%를 노출시키도록 제거된다. 실리콘 웨이퍼(도시않음)의 단지 약 1/8만이 상기 다층 구조물(501)과 같은 다층 구조물을 포함하므로, 에칭될 순수한 개방면적은 총 웨이퍼 면적의 대략 7%이다.
에칭될 미소한 개방면적(예를들어, 약 7%)은 백금 층(507)의 에칭 종점을 검출하는데 커다란 장애이다. 백금 라인은 에칭공정과 관련된 강렬한 분자밴드를 중첩시켜 단일 라인의 세기 측정기구의 사용을 제한한다. 그러나, 도 1a 및 도 1b의 감시방법은 백금 층(507)의 에칭 종점을 용이하게 확인시켜준다.
백금층(507)(물론, 질화 티타늄 층(505) 및 질화 탄탈륨 층(509)도 포함)용 종점을 검출하기 위한 적합한 교정 주 성분을 생성하기 위해, 시간 주기를 변화시키기 위한 백금 다층 구조물(501)에 일련의 기준 에칭공정이 수행되며 상기 백금 다층 구조물(501)이 각 층(505 내지 509)에 대한 시간 종점(도 5a에서 각각 시간 t6,t5,t2)을 확인하기 위해 주사식 전자 현미경을 통해 각각의 에칭 공정 이후에 시험되었다. 상기 주사식 전자 현미경의 관찰을 통해 질화 티타늄 층(509)의 파괴 및 백금 층(507)의 에칭이 시간 t2에서 먼저 발생하고, 다층 구조물(501)의 개방영역 내의 질화 티타늄 층(505)의 노출이 시간 t3에서 시작되고, 조밀 영역내에 있는 백금 층(507)의 제거가 시간 t4에서 시작되고, 백금 층(507)의 완전한 제거가 시간 t5에서 발생함을 알 수 있었다. 또한 질화 티타늄 층(507)이 제거되고 제 1 이산화 실리콘 층(503)이 시간 t6에서 노출된다. 그후, 백금 층(507)에 대한 종점을 검출하기 위해 상기 교정 PC1 및 PC2가 전술한 대로(예를들어, 시간 t5 근처에서 측정된 플라즈마 방출 파장에 기초하여)시간 t5 근처에서 계산된다. 백금 다층 구조물(501)의 순차적인 "제조"에칭은 기준 에칭공정과 동일한 조건하에서 수행되며 초당 새로운 제조 PC1 및 PC2를 생성하는데 전개 윈도우가 사용되었다.
각각의 새로운 제조 PC1 및 PC2가 PC1 내적 곡선(513) 및 PC2 내적 곡선(515)을 각각 생성하기 위해 제 1 및 제 2 주성분의 내적을 취하여 각각 교정 PC1 및 PC2와 비교된다. 도 5a에 도시한 바와 같이, 백금 층(507)을 위한 에칭 종점은 상기 PC1 내적 곡선(513)에 의해 시간 t5에서 명확히 확인할 수 있다. 또한, 시간 t1에서의 플라즈마 점화 및 시간 t2에서의 질화 티탄늄 층(509)의 제거/파괴와 같은 다층 구조물(501)의 다른 에칭 특징들도 확인할 수 있다. 질화 티타늄 층(505) 또는 질화 탄탈륨 층(509)의 에칭 종점을 더욱 정확히 확인하기 위해, 교정 주성분이 시간 t2 및 t6 근처에서 생성되어 본 발명에 따라 사용될 수 있다.
도 6a는 폴리실리콘 다층 구조물(601)(도 6b)의 에칭중에 생성된 제조 PC1과 교정 PC1의 내적 그래프이다. 상기 폴리실리콘 다층 구조물(601)은 어떤 다른 공지의 에칭제도 유사하게 사용될 수 있지만, 브롬화물-염화물계 에칭제를 사용하여 에칭되었다.
폴리실리콘 다층 구조물(601)은 실리콘 웨이퍼(도시않음) 위에 두께 약 1000Å으로 피복된 실리콘 디옥사이드 층(603), 상기 실리콘 디옥사이드 층 위에 두께 약 2000Å으로 피복된 폴리실리콘 층(605) 및 상기 폴리실리콘 층 위에 두께 약 8000Å으로 피복된 포토레지스트 층(607)을 포함한다. 상기 포토레지스트 층(607)은 폴리실리콘 층(605)의 약 25%를 노출시키도록 패턴화된다. 이전의 에칭 실험 및/또는 본 발명자의 지식에 기초하여, 폴리실리콘 다층 구조물(601)의 에칭중에 플라즈마 안정화가 시간 t1 근처에서 발생하고, CF4 파괴가 시간 t2에서 발생하고, 폴리실리콘 층(605)의 에칭이 시간 t3근처에서 시작되어 시간 t4근처까지 계속되고, 폴리실리콘 층(605)에 대한 종점이 시간 t4 근처에서 발생됨을 예상할 수 있다.
본 발명자의 예상을 확인하기 위해, 본 발명의 방법이 사용되었다. 교정 PC1가 시간 t4 근처에서 (시간 t4 근처에서 측정된 플라즈마 방출 파장에 기초하여)계산되고, 다음에 폴리실리콘 다층 구조물(601)의 제조 에칭이 교정 에칭공정과 동 일한 조건하에서 수행되었다. 매 초당 새로운 제조 PC1을 발생시키는데 전개 윈도우가 사용되었으며, 각각 새로운 제조 PC1은 도 6a의 PC1 내적 곡선(609)을 생성하기 위해서 주성분의 내적을 취함으로써 비교된다. 도 6a에 도시된 바와 같이, 폴리실리콘(605)에 대한 에칭 종점은 내적 곡선(609)에 의해 시간 t4에서 명확히 확인된다. 또한, 다층 구조물(601)의 다른 에칭 특징들이 확인될 수 있다(예를들어, 시간 t1에서 플라즈마 안정화, 시간 t2에서 CF4 파괴 등).
도 7a는 바닥에 반사방지 코팅(BARC)을 갖는 다층 구조물(701)(도 7b)의 에칭중에 발생된 제조 PC1 및 교정 PC1의 내적을 나타내는 그래프이다. 상기 다층 구조물(701)은 어떤 공지의 에칭제가 유사하게 사용될 수 있지만, 브롬화물 에칭제를 사용하여 에칭된다.
상기 BARC 다층 구조물(701)은 실리콘 웨이퍼(도시않음) 상에 2400Å의 두께로 피복되는 폴리실리콘 층(703), 상기 폴리실리콘 층(703) 상에 2000Å의 두께로 피복되는 BARC 층(705), 및 상기 BARC층 상에 8000Å의 두께로 피복되는 포토레지스트 층(707)을 포함한다. 상기 포토레지스 층(707)은 상기 BARC층(705)의 약 2%를 노출시키도록 패턴화된다.
상기 BARC 다층 구조물(701)의 매우 미소한 개방영역(예를들어, 2%) 및 상기 포토레지스트 층 및 BARC 층이 유사한 재료의 조성을 가지므로, 종래의 종점 기술로는 상기 BARC층(705)의 에칭 종점을 정확하게 확인할 수 없다. 그러나, 본 발명의 방법은 BARC 층(705)의 에칭 종점을 확인할 수 있다.
도 6b의 폴리실리콘 다층 구조물(601)에서와 같이, 이전의 에칭 실험 및/또는 본 발명자의 지식에 기초하여 BARC 다층 구조물(701)의 에칭중에 플라즈마 점화가 시간 t1 근처에서 발생하고, 테두리 BARC가 시간 t2 근처에서 제거되기 시작하고, 다이 BARC가 시간 t3 근처에서 제거되기 시작하고, 폴리실리콘 층(703)이 시간 t4 근처에서 노출(예를들어, BARC층(705)은 시간 t4 근처에서 제거)됨을 예상될 수 있다.
본 발명자의 예상을 확인하기 위해, 본 발명의 방법이 사용되었다. 교정 PC1가 시간 t3 근처에서 (시간 t3 근처에서 측정된 플라즈마 방출 파장에 기초하여)계산되고, 다음에 폴리실리콘 다층 구조물(701)의 제조 에칭이 교정 에칭공정과 동일한 조건하에서 수행되었다. 매 초당 새로운 제조 PC1을 발생시키는데 전개 윈도우가 사용되었으며, 각각 새로운 제조 PC1은 도 7a의 PC1 내적 곡선(709)을 생성하기 위해서 주성분의 내적을 취함으로써 비교된다. 도 7a에 도시된 바와 같이, 폴리실리콘(705)에 대한 에칭 종점은 내적 곡선(609)에 의해 시간 t3에서 명확히 확인된다. 또한, 다층 구조물(701)의 다른 에칭 특징들이 확인될 수 있다(예를들어, 시간 t1에서 플라즈마 점화, 시간 t2에서 테두리 BARC 제거, 및 시간 t2에서 폴리실리콘 층(703)의 에칭).
본 발명의 방법에 대해 도 3a 내지 도 7a를 참조하여 종점검출의 측면에서 설명하였지만, 플라즈마 점화, 급변, 제거 등과 같은 처리공정 사안에 대해서도 유사하게 확인될 수 있다. 또한, 본 발명의 방법은 공정 상황(예를들어, RF 동력, 플라즈마 반응 화학제 등) 및 공정 챔버(예를들어, 오염물 존재, 하나의 챔버가 다른 챔버와 매칭되는가의 여부)에 관한 정보도 제공할 수 있다.
공정상황의 정보에 대해서, 교정 및/또는 생성물 주성분 내의 다양한 특징에 대한 형상 및 위치는 공정 변수 또는 조건을 변경시키고 주성분내의 특징에 대한 형상 및 위치를 어떻게 변경할 것인가를 시험함으로써 학습될 수 있다. 예를들어, 도 8은 공정 편차를 모의시험하는 조건하에서의 제조 PC1과 교정 PC1의 내적 그래프이다. 교정 PC1는 유도결합된 플라즈마 소오스(IPS)챔버내에서의 플라즈마 공정 중에 10 SCCMS의 C4F8를 유동시킴으로써 발생된다. 그후, 제조공정은 C4F 8의 유동율이 매 60초당 2 SCCM으로 증가하는 것을 제외하면 동일한 조건하에서 수행된다. 도 8에 도시한 바와 같이, 유동의 변화는 본 발명의 방법으로 용이하게 알 수 있다(예를들어, 60초, 120초, 180초 등에서 체크).
챔버 정보에 대해서, 플라즈마 챔버(206)가 적절히 작동하는 것이 알려질 때 취해진 플라즈마 공정의 하나 이상의 교정 주 성분의 핑거프린트들은 공정챔버용 교정 핑거프린트으로의 역할을 한다. 그후, 다음 공정들의 주성분 핑거프린트들은 상기 공정용 교정 핑거프린트에 주기적으로 비교된다. 편차, 특징의 확대, 노이즈 수치 또는 다음 주성분 핑거프린트들에 있어서 다른 유사한 변화들이 플라즈마 챔버(206)의 상황 표시기로서의 역할을 하도록 양적으로 표시될 수 있으며 챔버 오염(예를들어, 각각의 챔버 오염에 기여하는 단일 특징들을 경유하여)확인될 수 있다. 예를들어, 챔버 세정/유지보수 작동 이후에, 하나 이상의 주 성분 핑거프린트들이 측정되어 챔버용 교정 주성분 교정 핑거프린트들과 비교되어 세정/유지보수 작동 이후에 적절히 기능(예를들어, "챔버 수정" 공정)을 수행하도록 한다. 두 개의 상이한 교정 및/또는 제조 주 성분 핑거프린트들은 챔버 매칭공정과 비교되거나 다른 챔버의 주성분과 매칭되도록 하나의 챔버가 조절 또는 평형화될 수 있게 한다. 하나의 공정을 위한 어떠한 수의 생성물 주성분 및 어떤 주 성분(예를들어, PC1, PC2, PC3, 등)이 바람직하다면 상기 공정을 위한 교정 핑거프린트으로서 역할을 하도록 조합될 수 있다.
본 발명의 방법은 바람직하다면 런-바이-런 또는 로트-바이-로트 원리에 따라 (예를들어, 유저(232)에 의해)수동 또는 (예를들어, 프로세서(222)에 의해)자동으로 수행될 수 있다. 바람직하게 생성물 주성분의 계산은 데이타가 제조공정 중에 수집될 때 수행되어 공정변수가 처리중에 (예를들어, 실시간으로)조절될 수 있게 한다. 도 2를 참조하면, 유저(232), 조립공정을 실행하기 위한 원격 컴퓨터 시스템, 제조 실행시스템 등이 공정 이벤트(예를들어, 급변, 종점 등)를 특정하고, 프로세서(222)는 (예를들어, 플라즈마 챔버(206)내의 플라즈마 공정을 중지하기 위해)그에 응답하여 제 2 제어버스(230)를 경유하여 플라즈마 에칭시스템으로 경고가 발송되었는지, 무슨 공정상황 정보(예를들어, RF동력, 플라즈마 반응 화학제 등)가 바람직한지, 실시간 공정제어가 실행되는지, 무슨 챔버정보(예를들어, 챔버 오염정보, 챔버 매칭정보 등)가 바람직한지, 챔버의 오염이 검출되었다면 플라즈마 챔버(206)내의 플라즈마 공정이 중지되어야 하는지를 확인한다. 언급한 바와 같이, 바람직하다면 단지 몇몇 플라즈마 방출파장만이 감시될 수 있다.
도 9는 전용 디지탈 신호 프로세서(DSP)(901)가 사용된 도 2 공정 감시시스템(204)의 개략적인 다이어그램이다. 상기 DSP(901)는 바람직하게 생성물 주성분 계산을 위한 전개 윈도우를 정의하고 프로세서(222)보다 상당히 빠른 비율로 전개 윈도우(전술함)내의 데이타에 대한 주성분 분석을 수행하도록 프로그램된다. 상기 DSP(901)는 결과적인 주성분 정보를 분석(예를들어, 교정 주성분과의 비교)을 위해 프로세서(222)에 공급한다. 이러한 방식으로, OES 데이타의 분석은 바람직하다면 실시간 공정변수 조절을 가능하게 할 수 있을 정도로 충분히 빠르게 수행될 수 있다. 제조 및 교정 주 성분의 비교도 DSP(901)내에서 수행될 수 있다.
공정의 교정 특성으로서 플라즈마 방출파장의 감시이외에도, 플라즈마 처리중에 플라즈마 챔버의 웨이퍼 받침대로 분배되는 RF 동력과 같은 플라즈마 공정의 다른(또는 추가의) 교정특성, 웨이퍼 온도, 챔버 압력, 드로틀밸브 위치 등이 본 발명의 방법에 따라 감시되어 공정상황, 공정 이벤트 및 챔버정보를 얻을 수 있다. 도 10은 본 발명의 공정 감시시스템(204)이 플라즈마 방출 파동 이외의(또는, 추가의) 플라즈마 처리공정 중의 RF동력, 웨이퍼 온도, 챔버 압력 및 드로틀 밸브 위치를 감시하도록 구성된 프로세싱 시스템(204)의 개략적인 다이어그램이다. 특히, 본 발명의 공정 감시시스템(204)에서 분광기(220)는 더 이상 도시되지 않았으며, 플라즈마 공정중 플라즈마 챔버와 관련된 RF동력, 웨이퍼 온도, 챔버 압력 및 드로틀밸브 위치를 나타내는 신호들이 처리 제어포트(210)와 프로세서(222) 사이에 결합된 제 5 제어버스(1000)를 경유하여 프로세서(222)로 공급된다. 만일, 플라즈마 에칭 시스템 제어기(208)가 플라즈마 챔버(206)(예를들어 처리 제어포트(210))의 다수의 질량 흐름 제어기, RF 발생기, 온도 제어기, 압력 게이지 등과 직접적으로 간섭하면, 교정된 특성정보는 플라즈마 에칭 제어기(208)로붙 직접 플로세서(222)로 공급될 수 있다. 분광기(220)가 OES 데이타를 바람직하다면, 처리 제어포트(210) 또는 플라즈마 에칭 제어기(208)(예를들어, RF동력, 웨이퍼 온도 등)로부터 다른 교정 특성과 함께 프로세서(222)로 공급하는데 사용된다.
일반적으로, 제어버스 전반에 분배되었는지에 무관하게 프로세싱 시스템(200)내의 어떤 성분들 사이로 분배된 신호는 아나로그 또는 디지탈 형태로 분배될 수 있다. 예를들어, 아나로그 신호는 아나로그 대 디지탈 변환기에 의해 디지탈화되며 RS-232) 바람직하다면, 인터페이스, 평행 인터페이스 등을 거쳐 전송된다.
플라즈마 방출파장과 같이, 상기 프로세서(222)는 바람직하게 RF동력, 웨이퍼 온도, 챔버 압력 및 드로틀 밸브 위치 정보에 대한 수행중에 바람직하게, 주기/C 비율(예를들어 매 초)로 새로운 생성물 주성분을 발생시킨다. 그후, 프로세서(222)는 공정 이벤트, 공정상황 및 챔버정보를 얻기 위해 (언급한 바와 같이)각각의 새로운 생성물 주성분을 이전에 발생된 교정 주성분과 비교한다. 도 9의 상기 DSP(901)은 분석시간을 감소시키도록 프로세서(222)와 함께 사용될 수 있다.
도 11은 반도체 장치의 제조를 위한 자동화 설비(1100)의 평면도이다. 상기 설비(1100)는 한 쌍의 로드 록(1102a,1102b) 및 웨이퍼 핸들러(1106)를 갖춘 웨이퍼 핸들러 챔버(1104)를 포함한다. 상기 웨이퍼 핸들러(1106) 및 웨이퍼 핸들러 챔버(1104)는 복수의 처리챔버(1108,1110)에 결합된다. 특히, 웨이퍼 핸들러(1106) 및 웨이퍼 핸들러 챔버(1104)는 도 2 또는 도 10의 프로세싱 시스템(200)의 플라즈마 챔버(206)에 결합된다. 상기 플라즈마 챔버(206)는 (도시된 바와 같이)그에 결합된 본 발명의 공정 감시시스템(204)을 가진다. 전체 설비(1100)는 로드 록(1102a,1102b) 및 챔버(1108,1110,206) 사이의 반도체 기판 이송을 제어하고 그와 관련된 공정을 제어하는 프로그램을 갖춘 제어기(1112)(예를들어, 상기 설비(1100)용 전용 제어기, 제조 공정을 실행하기 위한 원격 컴퓨터 시스템, 제조 실행 시스템 등)에 의해 제어된다.
상기 제어기(1112)는 도 1a 내지 도 10을 참조하여 전술한 바와 같이 본 발명의 공정감시 시스템(204)을 거쳐서 실시간으로 공정 이벤트(예를들어, 급변, 종점 등)를 감시하고 실시간으로 플라즈마(206)의 공정상태를 제어하기 위한 프로그램을 포함한다. 본 발명의 감시시스템(204)은 플라즈마 챔버(206)의 공정상황에 대한 보다 양호한 제어 및 공정 이벤트가 내부에 발생할 때 더욱 정확한 확인을 가능하게 한다(이는 플라즈마 챔버(206)의 생산력을 효과적으로 증대시킴). 따라서, 자동화 조립설비(1100)의 수율과 생산력을 모두 크게 증가시킨다.
일반적으로, 공정(예를들어, 플라즈마 전자기적 방출, RF 동력, 챔버 압력, 웨이퍼 온도, 드로틀밸브 위치 등)에 대한 교정특성 및 순차적인 주 성분 분석이 유저, 제조공정을 실행하기 위한 원격 컴퓨터 시스템, 제조 실행시스템 등에 의해 수행된다. 언급한 바와 같이, 분석 및 감시는 바람직하게 실시간 공정제어를 가능하게 하는 처리공정중에 수행된다. 바람직하게, 유저, 제조공정을 실행하기 위한 원격 컴퓨터 시스템, 제조 실행시스템 또는 어떤 다른 적합한 제어기에 의해 공정 이벤트(예를들어, 급변, 종점 등)를 특정하며, 프로세서(222)는 그에 응답하여 (예를들어, 플라즈마 챔버(26)내의 플라즈마 공정을 정지시키기 위한)플라즈마 에칭 시스템(202)으로 경고 신호가 발송되었는가, 공정상황 정보(예를들어, RF 동력, 플라즈마 반응 화학제 등)가 바람직한가, 실시간 공정 제어가 사용되었는지의 여부, 무슨 챔버정보(예를들어, 챔버 오염정보, 챔버 매칭정보 등)가 바람직한가, 챔버 오염이 검출된 경우 플라즈마 챔버(206)내의 플라즈마 공정이 정지되어야 할 것인가에 대해 확인한다. 예를들어, 소정의 공정상황, 공정 이벤트 및/또는 챔버 정보를 얻고 예를들어 에칭 공정의 종점을 정확히 검출하여 그후에 공정을 정지시키도록 프로세서(222)를 작동시키는 유저 선택가능한 함수들의 라이브러리가 제공될 수 있다.
돌차 및 종점과 같은 공정 이벤트를 확인하고 챔버 오염정보 및 챔버 매칭 정보와 같은 처리챔버 정보를 얻기 위해, 관련 공정 이벤트 또는 공정 챔버 확인정보(예를들어, 종점정보, 파괴정보, 챔버 매칭정보 등을 제공하는 교정 주성분)을 포함하는 데이타베이스가 제조 공정을 제어하기 위한 원격 컴퓨터 시스템내부, 제조 실행 시스템 내부 등에 제공될 수 있다. 그후 데이타베이스내의 관련정보는 프로세서(222)에 의해 어써스되어 공정 이벤트를 확인하거나 챔버정보를 추출하는데 사용된다. 예를들어, 재료 층의 에칭중에 종점 및 급변를 검출해내기 위해, 급변 또는 종점 이벤트의 근처에서 발생된 하나 이상의 교정 주성분이 데이타베이스내에 저장될 수 있다. 그후, 처리중에 제조 주 성분이 데이타베이스내에 저장된 하나 이상의 교정 주성분에 비교될 수 있다. 제조 및 교정 주성분이 서로 예정된 범위내에 있으면, 종점 또는 파괴가 검출되었음을 나타내는 신호가 발생될 수 있다. 바람직하게 에칭될 각각의 재료 층에 대한 종점 또는 파괴를 나타내는 하나 이상의 교정 주성분이 데이타베이스 내에 저장된다.
공정챔버 정보와 관련하여, 플라즈마 챔버(206)가 적절히 작동되고 있다고 알려질 때 취해진 공정의 하나 이상의 교정 주성분 "핑거프린트"가 상기 데이타베이스내에 저장되어 공정챔버에 대한 "교정" 핑거프린트로서의 역할을 한다. 그후, 다음 공정중에 계산된 생성물 주성분 핑거프린트가 데이타베이스 내에 저장된 공정에 대한 교정 핑거프린트와 주기적으로 비교될 수 있다. 순차 핑거프린트내의 편차, 특징 확대, 노이즈 수치 또는 다른 유사한 변화가 플라즈마 챔버(206)의 상황 표시기로서의 역할을 하고 챔버 오염을 (예를들어, 데이타베이스 내에 저장된 각각의 챔버 오염에 기여하는 단일 교정 또는 제조 주 성분 특징을 경유하여)확인하도록 인증될 수 있다. 예를들어, 챔버 세정/보수유지 작동이후에, 생성물 주성분 핑거프린트가 측정되어 이전에 측정된 챔버용 교정 주성분 교정 핑거프린트와 비교됨으로써 챔버가 세정/보수유지 작동이후에 적절히 기능할 수 있게 한다. 두 개의 상이한 챔버의 교정 또는 생성물 주성분 핑거프린트가 챔버 매칭 목적을 위해 비교되거나 (전술한 바와 같이) 다른 챔버의 핑거프린트와 매칭되도록 하나의 챔버가 조절 또는 평형화된다. 주 성분 핑거프린트도 (예를들어, 적절히 고정된 웨이퍼가 처리공정중에 단일의 주성분 특징을 발생시키므로)적절한 웨이퍼의 고정을 확인하도록 유사하게 사용될 수 있다.
전술한 설명들은 단지 본 발명의 양호한 실시예이며, 본 발명의 사상내에 있는 전술한 장치 및 방법들의 변경예들이 본 기술분야의 숙련자들에 용이하게 실시될 수 있다. 예를들어, 감시된 플라즈마 방출파장 범위는 단지 바람직한 범위일 뿐이며 다른 파장 범위들도 바람직하다면 감시될 수 있다. 생성물 주성분은 전개 윈도우를 사용하여 계산될 필요가 없거나 예상 공정이벤트, 플라즈마 상황 또는 챔버상황의 주위에서만 계산될 수 있다.
또한, 도 2 내지 도 11에서 본 발명은 플라즈마를 사용하는 반도체 장치의 제조공정에 대한 공정상황 감시와 관련하여 설명하였지만, 일반적으로 본 발명은 (예를들어, 플라즈마의 사용여부와 무관하고 반도체 장치의 제조와 무관한)측정가능한 교정 특성을 갖는 어떤 공정들을 감시하는데 사용될 수 있다. 예를들어, 본 발명에 따른 임의 공정의 온도, 압력, 중량(결정학적 미량천칭에 의한), 화학적 발광도 등과 같은 교정 특성을 감시함으로써, 공정상황 정보, 공정 이벤트 정보, 및 적용할 수 있다면 챔버 정보들이 상기 공정과 관련하여 얻어질 수 있다. 다른 예로서, 온도, 압력, 중량, 플라즈마 방출, RF 동력 등과 같은 증착공정(예를들어, 질화실리콘, 텅스텐 실리사이드, 폴리실리콘, 고저 K재료, Ⅲ-Ⅴ 또는 Ⅱ-Ⅵ 반도체, 불화 실리콘, 트리에틸포스페이트(TEPO) 및 테트라에틸 오쏘실리케이트(TEOS) 필름 또는 다른 재료들의 증착을 위한 화학 증착, 플라즈마 개선된 화학 증착 및 고밀도 플라즈마 화학 증착 공정)들의 교정 특성들이 본 발명에 따라 감시되어 공정상황, 공정 이벤트 및 챔버-관련 정보를 얻을 수 있다. 그러한 정보는 전술한 바와 같이, 증착비율, 반응 화학제, RF 발생기 작동 등은 물론, 챔버 오염 및 챔버 매칭목 적을 위한 감시에 사용될 수 있다.
따라서, 본 발명의 양호한 실시예에와 관련하여 본 발명을 설명하였지만, 다음의 청구범위에 의해 정의된 바와 같은 본 발명의 사상 및 범주내에는 다른 실시예들이 있을 수 있다고 이해해야 한다.
이와 같은 본 발명에 의해, 교정 및 생성물 주 성분을 비교함으로써 공정 결과, 공정상황 및 챔버 상황 정보들이 고도의 정밀도로 용이하게(실시간으로) 얻어 지며, 그럼으로써 공정들은 실시간으로 조절된 변수/상황들을 감시하고 처리할 수 있으며 오버-에칭 시간과 같은 과다-처리 시간들이 방지되며 공정 수율 및 제조력이 현저히 증가된다.

Claims (87)

  1. 제조공정 감시방법으로서,
    제조공정의 상관 특성을 측정하는 단계;
    하나 이상의 제조 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계; 및
    상기 하나 이상의 제조 주성분을 교정공정의 교정 주성분과 비교하는 단계;를 포함하는, 제조 공정 감시 방법.
  2. 제 1 항에 있어서, 상기 교정공정에 대한 교정 주성분을 얻는 단계;를 더 포함하며,
    상기 교정공정에 대한 교정 주성분을 얻는 단계는,
    상기 교정공정의 상관 특성을 측정하는 단계;
    하나 이상의 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계;
    공정 상황, 공정 이벤트 및 챔버 상황중 하나 이상을 나타내는 특징을 가진 주성분을 확인하는 단계; 및
    상기 확인된 주성분을 교정 주성분으로서 지정하는 단계;를 포함하는, 제조 공정 감시 방법.
  3. 제 1 항에 있어서, 상기 상관 특성 측정 단계; 상기 측정된 상관 특성에 대한 주성분 분석 수행 단계; 및 상기 하나 이상의 주성분과 교정 주성분의 비교 단계;가 제조공정중에 수행되는, 제조공정 감시방법.
  4. 제 3 항에 있어서,
    상기 제조공정의 상관 특성을 측정하는 단계는 예정된 시간 간격에서 상관 특성을 측정하는 단계;를 포함하며,
    상기 하나 이상의 제조 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계는 하나 이상의 제조 주성분을 발생시키도록 복수의 시간 간격에서 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계;를 포함하는, 제조공정 감시방법.
  5. 제 4 항에 있어서, 상기 하나 이상의 제조 주성분을 발생시키도록 복수의 시간 간격에서 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계는,
    측정된 상관 특성을 전개 윈도우내에 수집하는 단계; 및
    상기 전개 윈도우 내의 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계;를 포함하는, 제조공정 감시방법.
  6. 제 1 항에 있어서, 상기 하나 이상의 제조 주성분을 상기 교정 주성분과 비교하는 단계는 상기 하나 이상의 제조 주성분과 상기 교정 주성분의 내적을 계산하는 단계;를 포함하는, 제조공정 감시방법.
  7. 제 1 항에 있어서, 상기 하나 이상의 제조 주성분이 상기 교정 주성분의 예비결정된 범위내에 있는 경우, 신호를 발생시키는 단계;를 더 포함하는, 제조공정 감시방법.
  8. 플라즈마 공정 중에 제 1 항의 제조공정 감시방법을 수행하는 단계;를 포함하는, 플라즈마 공정 감시방법.
  9. 제 8 항에 있어서, 상기 제조공정의 상관 특성을 측정하는 단계는 상기 플라즈마의 복수의 전자기 방출을 측정하는 단계;를 포함하는, 플라즈마 공정 감시방법.
  10. 제 9 항에 있어서, 상기 플라즈마의 복수의 전자기 방출을 측정하는 단계는 180 내지 850㎚ 범위의 파장을 갖는 전자기 방출을 측정하는 단계;를 포함하는, 플라즈마 공정 감시방법.
  11. 제 8 항에 있어서, 상기 제조공정의 상관 특성을 측정하는 단계는 플라즈마 공정을 위한 적어도 웨이퍼 온도, 공정 압력, 드로틀 밸브 위치 및 RF 전력을 측정하는 단계;를 포함하는, 플라즈마 공정 감시방법.
  12. 증착공정 중에 제 1 항의 제조공정 감시방법을 수행하는 단계;를 포함하는, 증착공정 감시방법.
  13. 공정 중에 제 1 항의 제조공정 감시방법을 수행하는 단계;를 포함하는 공정 종점 검출방법으로서,
    상기 교정 주성분은 상기 공정의 종점을 확인하는 교정 주성분을 포함하는, 공정 종점 검출방법.
  14. 제 13 항에 있어서, 상기 교정공정에 대한 공정의 종점을 확인하는 교정 주성분을 얻는 단계;를 더 포함하며,
    상기 교정공정에 대한 공정의 종점을 확인하는 교정 주성분을 얻는 단계는,
    상기 교정공정의 상관 특성을 측정하는 단계;
    하나 이상의 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계;
    상기 공정의 종점을 나타내는 특징을 가진 주성분을 확인하는 단계; 및
    상기 확인된 주성분을 상기 공정의 종점을 나타내는 교정 주성분으로서 지정하는 단계;를 포함하는, 공정 종점 검출방법.
  15. 제 13 항에 있어서, 상기 상관 특성 측정 단계; 상기 측정된 상관 특성에 대한 주성분 분석 수행 단계; 및 상기 하나 이상의 제조 주성분과 상기 공정의 종점을 나타내는 교정 주성분의 비교 단계;가 제조공정중에 수행되는, 공정 종점 검출방법.
  16. 제 1 항의 제조공정 감시방법을 수행하는 공정 중에 관통(breakthrough)을 검출하는 방법으로서,
    상기 교정 주성분은 상기 공정 중에 관통을 확인하는 교정 주성분을 포함하는, 관통 검출방법.
  17. 제 16 항에 있어서, 상기 교정공정중에 상기 공정 중에 관통을 확인하는 교정 주성분을 얻는 단계;를 더 포함하며,
    상기 교정공정중에 상기 공정 중에 관통을 확인하는 교정 주성분을 얻는 단계는,
    상기 교정공정의 상관 특성을 측정하는 단계;
    하나 이상의 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계;
    상기 공정 중에 관통을 나타내는 특징을 가진 주성분을 확인하는 단계; 및
    상기 확인된 주성분을 상기 공정 중에 관통을 나타내는 교정 주성분으로서 지정하는 단계;를 포함하는, 관통 검출방법.
  18. 스톱-온 에칭 방법으로서,
    제조공정 중에 제 16 항의 관통 검출방법을 수행하는 단계; 및
    상기 하나 이상의 제조 주성분이 상기 공정 중에 관통을 확인하는 교정 주성분의 미리결정된 범위 내에 있는 경우, 상기 제조공정을 정지시키는 단계;를 포함하는, 스톱-온 에칭방법.
  19. 공정 중에 제 1 항의 제조공정 감시방법을 수행하는 단계;를 포함하는 공정 중에 부적절한 척킹(chucking) 검출방법으로서,
    상기 교정 주성분은 적절한 척킹을 확인하는 교정 주성분을 포함하는, 부적절한 척킹 검출방법.
  20. 제 19 항에 있어서, 상기 교정공정중에 부적절한 척킹을 확인하는 교정 주성분을 얻는 단계;를 더 포함하며,
    상기 교정공정중에 부적절한 척킹을 확인하는 교정 주성분을 얻는 단계는,
    상기 교정공정의 상관 특성을 측정하는 단계;
    하나 이상의 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계;
    상기 공정 중에 부적절한 척킹을 나타내는 특징을 가진 주성분을 확인하는 단계; 및
    상기 확인된 주성분을 부적절한 척킹을 나타내는 교정 주성분으로서 지정하는 단계;를 포함하는, 부적절한 척킹 검출방법.
  21. 공정 중에 챔버내에서 제 1 항의 제조공정 감시방법을 수행하는 단계;를 포함하는 고장가능성 있는 챔버내의 고장 검출방법으로서,
    상기 교정 주성분은 비고장(non-faulted) 챔버를 확인하는 교정 주성분을 포함하는, 고장 검출방법.
  22. 제 21 항에 있어서, 비고장 챔버를 확인하는 교정 주성분을 얻는 단계;를 더 포함하며,
    상기 비고장 챔버를 확인하는 교정 주성분을 얻는 단계는,
    비고장 챔버내에서 교정공정을 수행하는 단계;
    상기 교정공정의 상관 특성을 측정하는 단계;
    하나 이상의 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하는 단계;
    비고장 챔버내에서 상기 교정공정의 수행을 나타내는 특징을 가진 주성분을 확인하는 단계; 및
    상기 확인된 주성분을 비고장 챔버를 나타내는 교정 주성분으로서 지정하는 단계;를 포함하는, 고장 검출방법.
  23. 제 22 항에 있어서,
    비고장 챔버내에서 상기 교정공정의 수행을 나타내는 하나 이상의 특징을 각각 갖는 복수의 주성분을 확인하는 단계;
    상기 확인된 각각의 주성분을 비고장 챔버를 나타내는 교정 주성분으로서 지정하는 단계; 및
    상기 하나 이상의 제조 주성분을 비고장 챔버를 확인하는 복수의 교정 주성분과 비교하는 단계;를 더 포함하는, 고장 검출방법.
  24. 제 22 항에 있어서, 상기 비고장 챔버와 상기 고장가능성 있는 챔버는 동일한 챔버인, 고장 검출방법.
  25. 제 1 챔버와 제 2 챔버를 매칭(matching)시키는 방법으로서,
    교정공정이 상기 제 1 챔버내에서 수행되고, 제조공정이 상기 제 2 챔버내에서 수행되는, 제 2 항의 제조공정 감시방법을 수행하는 단계; 및
    상기 하나 이상의 제조 주성분이 상기 교정 주성분의 미리결정된 범위 내에 있는 경우, 상기 제 1 챔버와 상기 제 2 챔버를 매칭으로 지정하는 단계;를 포함하는, 매칭방법.
  26. 실시간 공정 제어방법으로서,
    공정 중에 제 1 항의 제조공정 감시방법을 수행하는 단계; 및
    상기 하나 이상의 제조 주성분과 상기 교정 주성분의 비교에 기초하여 상기 공정 중에 공정의 처리변수를 조절하는 단계;를 포함하는, 실시간 공정 제어방법.
  27. 제 26 항에 있어서, 상기 공정 중에 공정 처리변수를 조절하는 단계는 RF 전력 및 드로틀 밸브 위치로 이루어진 군으로부터 선택된 공정 처리변수를 조절하는 단계;를 포함하는, 실시간 공정 제어방법.
  28. 제조공정 감시장치로서,
    상기 제조공정의 상관 특성을 측정하는 측정장치; 및
    상기 측정장치에 연결된 프로세싱 기구;를 포함하며,
    상기 프로세싱 기구는,
    측정된 상관 특성을 수집하고,
    하나 이상의 제조 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하고,
    상기 하나 이상의 제조 주성분을 교정 주성분과 비교하는, 제조공정 감시장치.
  29. 제 28 항에 있어서, 상기 측정장치는 예정된 시간 간격에서 상관 특성을 측정하고, 상기 프로세싱 기구는 하나 이상의 제조 주성분을 발생시키도록 복수의 시간 간격에서 측정된 상관 특성에 대한 주성분 분석을 수행하는, 제조공정 감시장치.
  30. 제 29 항에 있어서, 상기 프로세싱 기구는,
    측정된 상관 특성을 전개 윈도우내에 수집하고,
    상기 전개 윈도우 내의 측정된 상관 특성에 대한 주성분 분석을 수행하는, 제조공정 감시장치.
  31. 제 28 항에 있어서, 상기 측정장치는 플라즈마로부터의 복수의 전자기 방출을 검출하는 검출기를 포함하는, 제조공정 감시장치.
  32. 제 31 항에 있어서, 상기 검출기는 CCD 어레이, 포토다이오드 어레이, 분광기 및 광증폭기로 이루어진 군으로부터 선택된 검출기를 포함하는, 제조공정 감시장치.
  33. 제 31 항에 있어서, 상기 검출기는 180 내지 850㎚ 범위의 파장을 갖는 전자기 방출을 검출하는, 제조공정 감시장치.
  34. 제 31 항에 있어서, 상기 검출기에 연결된 광섬유를 더 포함하며, 상기 광섬유는 상기 플라즈마로부터 전자기 방출을 수집하고 상기 전자기 방출을 검출기로 전송하는, 제조공정 감시장치.
  35. 제 28 항에 있어서, 상기 프로세싱 기구는,
    하나 이상의 제조 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하고; 및
    상기 하나 이상의 주성분을 교정공정의 교정 주성분과 비교하도록; 하기 위한 프로그램 코드가 내부에 저장된 컴퓨터 시스템을 포함하는, 제조공정 감시장치.
  36. 제 35 항에 있어서, 상기 컴퓨터 시스템은,
    상기 교정공정의 상관 특성을 측정하고;
    하나 이상의 주성분을 발생시키도록 상기 측정된 상관 특성에 대한 주성분 분석을 수행하며;
    공정 상황, 공정 이벤트 및 챔버 이벤트중 하나 이상을 나타내는 특징을 가진 주성분을 확인하고; 및
    상기 확인된 주성분을 상기 교정 주성분으로서 지정하도록; 하기 위한 프로그램 코드를 더 포함하는, 제조공정 감시장치.
  37. 제 35 항에 있어서, 상기 컴퓨터 시스템은,
    상기 하나 이상의 제조 주성분을 공정의 종점을 확인하는 교정 주성분과 비교하고; 및
    상기 하나 이상의 제조 주성분이 공정의 종점을 확인하는 상기 교정 주성분의 미리결정된 범위내에 있는 경우, 종점 경고 신호를 발생시키도록; 하는 프로그램 코드를 더 포함하는, 제조공정 감시장치.
  38. 제 35 항에 있어서, 상기 컴퓨터 시스템은,
    상기 하나 이상의 제조 주성분을 공정 중에 관통을 확인하는 교정 주성분과 비교하고;
    상기 하나 이상의 제조 주성분이 공정 중에 관통을 확인하는 상기 교정 주성분의 미리결정된 범위내에 있는 경우, 관통 경고 신호를 발생시키도록; 하는 프로그램 코드를 더 포함하는, 제조공정 감시장치.
  39. 제 38 항에 있어서, 상기 컴퓨터 시스템은,
    상기 하나 이상의 제조 주성분이 공정 중에 관통을 확인하는 상기 교정 주성분의 미리결정된 범위 내에 있는 경우, 상기 제조공정을 정지시키도록; 하는 프로그램 코드를 더 포함하는, 제조공정 감시장치.
  40. 제 35 항에 있어서, 상기 컴퓨터 시스템은,
    상기 하나 이상의 제조 주성분을 공정 중에 적절한 척킹을 확인하는 교정 주성분과 비교하고; 및
    상기 하나 이상의 제조 주성분이 공정 중에 적절한 척킹을 확인하는 상기 교정 주성분과 미리결정된 양 이상 상이한 경우, 부적절한 척킹 경고 신호를 발생시키도록; 하는 프로그램 코드를 더 포함하는, 제조공정 감시장치.
  41. 제 40 항에 있어서, 상기 컴퓨터 시스템은,
    상기 하나 이상의 제조 주성분이 공정 중에 적절한 척킹을 확인하는 상기 교정 주성분과 미리결정된 양 이상 상이한 경우, 상기 제조공정을 정지시키도록; 하는 프로그램 코드를 더 포함하는, 제조공정 감시장치.
  42. 제 28 항의 장치를 포함하는 고장가능성 있는 챔버내의 고장 검출장치로서,
    상기 교정 주성분은 비고장 챔버내에서의 교정공정 수행에 기초하여 얻은 주성분을 포함하며, 상기 제조공정은 고장가능성 있는 챔버내에서 수행되며,
    상기 프로세싱 장치는, 상기 하나 이상의 제조 주성분이 미리결정된 양 이상 상기 교정 주성분과 상이한 경우, 챔버 고장 신호를 발생시키도록; 하기 위한 프로그램 코드를 포함하는, 고장 검출장치.
  43. 제 42 항에 있어서, 상기 고장가능성 있는 챔버는 챔버의 유지보수 또는 챔버의 세정 이후의 비고장 챔버를 포함하는, 고장 검출장치.
  44. 제 28 항의 장치를 포함하는 제 2 챔버에 제 1 챔버를 매칭시키는 장치로서,
    상기 교정 주성분은 제 1 챔버내에서의 교정공정 수행에 기초하여 얻은 주성분을 포함하며, 상기 제조공정은 제 2 챔버내에서 수행되며,
    상기 프로세싱 장치는, 상기 하나 이상의 제조 주성분이 상기 교정 주성분의 미리결정된 범위내에 있는 경우, 챔버 매칭 신호를 발생시키도록; 하기 위한 프로그램 코드를 포함하는, 매칭장치.
  45. 제 35 항에 있어서, 상기 컴퓨터 시스템은,
    상기 하나 이상의 제조 주성분과 상기 교정 주성분의 비교에 기초하여 상기 공정 중에 공정의 처리변수를 조절하도록; 하는 프로그램 코드를 더 포함하는, 제조공정 감시장치.
  46. 제 28 항에 있어서, 상기 측정장치는 플라즈마로부터의 복수의 전자기 방출을 검출하는 검출기를 포함하며,
    상기 프로세싱 기구는,
    상기 측정된 전자기 방출을 수집하고;
    제 1 제조 주성분을 발생시키도록 상기 측정된 전자기 방출의 제 1 파장 범위에 대한 주성분 분석을 수행하며;
    제 2 제조 주성분을 발생시키도록 상기 측정된 전자기 방출의 제 2 파장 범위에 대한 주성분 분석을 수행하고; 및
    상기 제 1 및 제 2 제조 주성분을 제 1 및 제 2 교정 주성분과 비교;하는, 제조공정 감시장치.
  47. 자동화된 반도체 소자 제조기구로서,
    하나 이상의 로드 록;
    상기 로드 록에 연결되고, 그 내부에 웨이퍼 핸들러를 가진 웨이퍼 핸들러 챔버;
    상기 웨이퍼 핸들러 및 상기 웨이퍼 핸들러 챔버에 연결되는 복수의 프로세싱 챔버; 및
    상기 복수의 프로세싱 챔버중 하나 이상에 연결된 제 28 항의 제조공정 감시장치;를 포함하는, 자동화된 반도체 소자 제조기구.
  48. 제조공정 감시장치로서,
    플라즈마에 의해 방출되는 전자기 방사선에 대한 발광 분광기(OES)의 데이터를 수집하는 측정 장치; 및
    상기 측정 장치에 연결되는 프로세싱 기구를 포함하며; 상기 프로세싱 기구는,
    제조 주성분에 대해 수행되는 제조 공정 중에 플라즈마에 의해 방출되는 전자기 방사선에 대한 OES 데이터를 수집하며;
    상기 수집된 OES 테이터의 일련의 윈도우에 대하여,
    수집된 OES 데이터의 각각의 윈도우에 대한 각각의 주성분을 계산하도록 주성분 분석을 수행하며,
    이전에 수행된 교정공정의 종점에 대응하는 OES 데이터의 윈도우에 대해 계산된 종점 주성분과 상기 수집된 OES 데이터의 각각의 윈도우에 대해 계산된 상기 주성분의 내적을 계산하며;
    상기 수집된 OES 테이터의 각각의 윈도우에 대해 계산된 상기 내적에 기초하여 상기 제조 공정의 종점을 검출하는; 제조공정 감시장치.
  49. 제 48 항에 있어서,
    상기 측정 장치는 플라즈마로부터 복수의 전자기 방출을 검출하는 검출기를 포함하는, 제조공정 감시장치.
  50. 제 49 항에 있어서,
    상기 검출기는 CCD 어레이, 포토다이오드 어레이, 분광기 및 광증폭기로 이루어진 군으로부터 선택된 검출기를 포함하는, 제조공정 감시장치.
  51. 제 49 항에 있어서,
    상기 검출기는 180 내지 850㎚ 범위의 파장을 갖는 전자기 방출을 검출하는, 제조공정 감시장치.
  52. 제 49 항에 있어서,
    상기 검출기에 연결된 광섬유를 더 포함하며, 상기 광섬유는 상기 플라즈마로부터 전자기 방출을 수집하고 상기 전자기 방출을 검출기로 전송하는, 제조공정 감시장치.
  53. 제 48 항에 있어서,
    상기 프로세싱 기구는 상기 계산된 내적의 전이점도 검출하는, 제조공정 감시장치.
  54. 제 48 항에 있어서,
    상기 제조 주성분은 다층 반도체 구조물을 갖는 실리콘 웨이퍼인, 제조공정 감시장치.
  55. 제 48 항에 있어서,
    상기 교정 공정 및 제조 공정은 다층 반도체 구조물 층을 에칭하는 단계를 각각 포함하는, 제조공정 감시장치.
  56. 제 55 항에 있어서,
    상기 에칭된 층은 실리콘 이산화물을 포함하는, 제조공정 감시장치.
  57. 제 55 항에 있어서,
    상기 에칭된 층은 금속을 포함하는, 제조공정 감시장치.
  58. 제 55 항에 있어서,
    상기 에칭된 층은 폴리실리콘을 포함하는, 제조공정 감시장치.
  59. 제 55 항에 있어서,
    상기 에칭된 층은 바닥 반사방지 코팅을 포함하는, 제조공정 감시장치.
  60. 제 48 항에 있어서,
    상기 측정 장치는 180 내지 850 nm의 파장을 갖는 전자기 방출을 수집하는, 제조공정 감시장치.
  61. 제 48 항에 있어서,
    상기 프로세싱 기구는 주성분 분석을 수행하기 이전에 상기 수집된 OES 데이터의 평균도 구하는, 제조공정 감시장치.
  62. 제 48 항에 있어서,
    상기 프로세싱 기구는 상기 제조 공정 중에 제조 공정의 종점을 검출하는, 제조공정 감시장치.
  63. 자동화된 반도체 소자 제조기구로서;
    하나 이상의 로드 록;
    상기 로드 록에 연결되고, 내부에 웨이퍼 핸들러를 갖춘 웨이퍼 핸들러 챔버;
    상기 웨이퍼 핸들러에 연결되는 복수의 프로세싱 챔버; 및
    상기 복수의 프로세싱 챔버 중의 하나 이상에 연결되고, 제조공정을 감시하는 장치를 포함하며; 상기 장치는,
    플라즈마에 의해 방출되는 전자기 방사선에 대한 발광 분광기(OES)의 데이터를 수집하는 측정 장치; 및
    상기 측정 장치에 연결되는 프로세싱 기구를 포함하며; 상기 프로세싱 기구는;
    제조 주성분에 대해 수행되는 제조 공정 중에 플라즈마에 의해 방출되는 전자기 방사선에 대한 OES 데이터를 수집하며;
    상기 수집된 OES 테이터의 일련의 윈도우에 대하여,
    수집된 OES 데이터의 각각의 윈도우에 대한 각각의 주성분을 계산하도록 주성분 분석을 수행하며;
    이전에 수행된 교정공정의 종점에 대응하는 OES 데이터의 윈도우에 대해 계산된 종점 주성분과 상기 수집된 OES 데이터의 각각의 윈도우에 대해 계산된 상기 주성분의 내적을 계산하며;
    상기 수집된 OES 테이터의 각각의 윈도우에 대해 계산된 상기 내적에 기초하여 상기 제조 공정의 종점을 검출하는; 자동화된 반도체 소자 제조기구.
  64. 챔버 감시장치로서,
    챔버 내부의 플라즈마에 의해 방출되는 전자기 방사선에 대한 발광 분광기(OES)의 데이터를 수집하는 측정 장치; 및
    상기 측정 장치에 연결되는 프로세싱 기구를 포함하며; 상기 프로세싱 기구는,
    상기 챔버 내부의 플라즈마에 의해 방출되는 전자기 방사선에 대한 OES 데이터를 수집하며;
    상기 수집된 OES 테이터의 일련의 윈도우에 대하여,
    수집된 OES 데이터의 각각의 윈도우에 대한 각각의 주성분을 계산하도록 주성분 분석을 수행하며;
    이전에 수행된 교정공정에 대응하는 OES 데이터의 윈도우에 대해 계산된 교정 주성분과 상기 수집된 OES 데이터의 각각의 윈도우에 대해 계산된 상기 주성분의 내적을 계산하며;
    하나 이상의 상기 계산된 내적에 기초하여 상기 챔버의 결함 및 상기 챔버의 하나 이상의 상황을 검출하는; 챔버 감시장치.
  65. 제 64 항에 있어서,
    상기 교정 주성분은 비고장 챔버 내에서 수행된 교정 공정의 OES 데이터 윈도우의 주성분을 포함하는, 챔버 감시장치.
  66. 제 64 항에 있어서,
    상기 교정 주성분은 상기 챔버 내에서 수행된 교정 공정의 OES 데이터 윈도우의 주성분을 포함하는, 챔버 감시장치.
  67. 제 64 항에 있어서,
    상기 측정장치는 플라즈마로부터의 복수의 전자기 방출을 검출하는 검출기를 포함하는, 챔버 감시장치.
  68. 제 67 항에 있어서,
    상기 검출기는 CCD 어레이, 포토다이오드 어레이, 분광기 및 광증폭기로 이루어진 군으로부터 선택된 검출기를 포함하는, 챔버 감시장치.
  69. 제 67 항에 있어서,
    상기 검출기는 180 내지 850㎚ 범위의 파장을 갖는 전자기 방출을 검출하는, 챔버 감시장치.
  70. 제 67 항에 있어서,
    상기 검출기에 연결된 광섬유를 더 포함하며, 상기 광섬유는 상기 플라즈마로부터 전자기 방출을 수집하고 상기 전자기 방출을 검출기로 전송하는, 챔버 감시장치.
  71. 제 64 항에 있어서,
    상기 프로세싱 기구는 상기 계산된 내적의 전이점도 검출하는, 챔버 감시장치.
  72. 제 64 항에 있어서,
    상기 프로세싱 기구는 주성분 분석을 수행하기 이전에 상기 수집된 OES 데이터의 평균도 구하는, 챔버 감시장치.
  73. 제 64 항에 있어서,
    상기 프로세싱 기구는 챔버 내에서 공정이 수행되는 동안에 상기 챔버의 결함과 상기 챔버의 하나 이상의 상황을 검촐하는, 챔버 감시장치.
  74. 챔버 감시장치로서,
    챔버 내부의 플라즈마의 상관 특성 데이터를 측정하는 측정 장치; 및
    상기 측정 장치에 연결되는 프로세싱 기구를 포함하며; 상기 프로세싱 기구는,
    상기 챔버의 플라즈마에 대한 상관 특성 데이터를 수집하며;
    상기 수집된 상관 특성 데이터의 일련의 윈도우에 대하여,
    상기 수집된 상관 특성 데이터의 각각의 윈도우에 대한 각각의 주성분을 계산하도록 주성분 분석을 수행하며;
    이전에 수행된 교정공정에 대응하는 상기 상관 특성 데이터의 윈도우에 대해 계산된 교정 주성분과 상기 수집된 상관 특성 데이터의 각각의 윈도우에 대해 계산된 상기 주성분의 내적을 계산하며;
    하나 이상의 상기 계산된 내적에 기초하여 상기 챔버의 결함 및 상기 챔버의 하나 이상의 상황을 검출하는; 챔버 감시장치.
  75. 제 74 항에 있어서,
    상기 상관 특성 데이터는 상기 플라즈마에 의해 방출된 하나 이상의 전자기 방출 및 상기 챔버의 플라즈마와 관련된 RF 전력을 포함하는, 챔버 감시장치
  76. 반도체 조립 공정의 종점 검출 방법으로서,
    (a) 제 1 제조 주성분이 플라즈마에 노출되는 교정 공정을 수행하는 단계,
    (b) 상기 교정 공정 중에 상기 플라즈마에 의해 방출되는 전자기 방사선에 대한 제 1 발광 분광기(OES) 데이터를 수집하는 단계,
    (c) 상기 교정 공정 중에 발생되는 종점 타이밍을 결정하는 단계,
    (d) 상기 제 1 OES 데이터의 주 성분을 계산하기 위한 결정된 종점 타이밍에 대응하는 상기 제 1 OES 데이터의 윈도우에 대한 주 성분 분석을 수행하는 단계,
    (e) 상기 (a)-(d) 단계 후에, 제 2 제조 주성분이 플라즈마에 노출되는 제조 공정을 수행하는 단계,
    (f) 상기 제조 공정 중에 상기 플라즈마에 의해 방출되는 전자기 방사선에 대한 제 2 OES 데이터를 수집하는 단계,
    (g) 상기 제 2 OES 데이터에 대해,
    상기 제 2 OES 데이터의 각각의 윈도우에 대한 각각의 주 성분을 계산하도록 주 성분 분석을 수행하고, 상기 (d) 단계에서 계산된 상기 주 성분에 대한 각각의 윈도우에 대해 계산된 상기 주 성분을 비교하는 단계로서, 상기 비교 단계가 이번 단계에서 계산된 상기 주 성분과 상기 (d) 단계에서 계산된 상기 주 성분의 내적을 계산하는 단계를 포함하는 주 성분 분석 및 비교 단계, 그리고
    (h) 상기 (g) 단계의 결과에 기초하여 상기 제조 공정의 종점을 검출하는 단계를 포함하는, 반도체 조립 공정의 종점 검출 방법.
  77. 제 76 항에 있어서,
    상기 (h) 단계는 상기 계산된 내적에서의 전이점을 검출하는 단계를 포함하는, 반도체 조립 공정의 종점 검출 방법.
  78. 제 76 항에 있어서,
    상기 제조 주성분은 다층 반도체 구조물을 각각 갖는 실리콘 웨이퍼인, 반도체 조립 공정의 종점 검출 방법.
  79. 제 78 항에 있어서,
    상기 교정 단계 및 상기 제조 단계는 각각, 다층 반도체 구조물 층을 에칭하는 단계를 포함하는, 반도체 조립 공정의 종점 검출 방법.
  80. 제 79 항에 있어서,
    상기 에칭된 층은 폴리실리콘을 포함하는, 반도체 조립 공정의 종점 검출 방법.
  81. 제 79 항에 있어서,
    상기 에칭된 층은 금속을 포함하는, 반도체 조립 공정의 종점 검출 방법.
  82. 제 79 항에 있어서,
    상기 에칭된 층은 폴리실리콘을 포함하는, 반도체 조립 공정의 종점 검출 방법.
  83. 제 79 항에 있어서,
    상기 에칭된 층은 바닥 반사방지 코팅을 포함하는, 반도체 조립 공정의 종점 검출 방법.
  84. 제 76 항에 있어서,
    상기 제 1 및 제 2 OES 데이터는 180 내지 850 nm의 파장을 갖는 전자기 방출을 수집하는, 반도체 조립 공정의 종점 검출 방법.
  85. 제 76 항에 있어서,
    상기 제 1 및 제 2 OES 데이터는 주성분 분석을 수행하기 이전에 평균값이 계산되는, 반도체 조립 공정의 종점 검출 방법.
  86. 제 76 항에 있어서,
    상기 (c)단계는 상기 제조 주 성분의 현미경 검사를 포함하는, 반도체 조립 공정의 종점 검출 방법.
  87. 제 76 항에 있어서,
    상기 (f)-(h) 단계는 상기 (e) 단계와 동시에 수행되는, 반도체 조립 공정의 종점 검출 방법.
KR1020000038863A 1999-07-07 2000-07-07 주성분 분석을 이용한 공정 감시 방법 및 장치 KR100798648B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/348,972 US6368975B1 (en) 1999-07-07 1999-07-07 Method and apparatus for monitoring a process by employing principal component analysis
US9/348,972 1999-07-07
US09/348,972 1999-07-07

Publications (2)

Publication Number Publication Date
KR20010029905A KR20010029905A (ko) 2001-04-16
KR100798648B1 true KR100798648B1 (ko) 2008-01-28

Family

ID=23370358

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000038863A KR100798648B1 (ko) 1999-07-07 2000-07-07 주성분 분석을 이용한 공정 감시 방법 및 장치

Country Status (5)

Country Link
US (3) US6368975B1 (ko)
EP (1) EP1089146A2 (ko)
JP (1) JP4833396B2 (ko)
KR (1) KR100798648B1 (ko)
TW (1) TW469554B (ko)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100486A (en) * 1998-08-13 2000-08-08 Micron Technology, Inc. Method for sorting integrated circuit devices
US5915231A (en) * 1997-02-26 1999-06-22 Micron Technology, Inc. Method in an integrated circuit (IC) manufacturing process for identifying and redirecting IC's mis-processed during their manufacture
US5856923A (en) 1997-03-24 1999-01-05 Micron Technology, Inc. Method for continuous, non lot-based integrated circuit manufacturing
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
WO2002003441A1 (fr) * 2000-07-04 2002-01-10 Tokyo Electron Limited Procede de surveillance de fonctionnement pour appareil de traitement
US6782343B2 (en) * 2001-02-28 2004-08-24 Asm International N.V. Resource consumption calculator
WO2003003437A1 (en) * 2001-06-27 2003-01-09 Tokyo Electron Limited Method of predicting processed results and processing device
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
KR100449346B1 (ko) * 2001-11-19 2004-09-18 주성엔지니어링(주) 웨이퍼 이송모듈 및 이를 이용한 웨이퍼 진단방법
US6716300B2 (en) 2001-11-29 2004-04-06 Hitachi, Ltd. Emission spectroscopic processing apparatus
AU2002357620A1 (en) * 2001-12-25 2003-07-15 Tokyo Electron Limited Substrate treatment method and substrate treatment apparatus
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7313451B2 (en) * 2002-03-12 2007-12-25 Tokyo Electron Limited Plasma processing method, detecting method of completion of seasoning, plasma processing apparatus and storage medium
US6841032B2 (en) * 2002-03-12 2005-01-11 Hitachi High-Technologies Corporation Plasma processing apparatus for adjusting plasma processing through detecting plasma processing state within chamber
JP4173311B2 (ja) * 2002-03-12 2008-10-29 東京エレクトロン株式会社 シーズニング終了検知方法及びプラズマ処理方法並びにプラズマ処理装置
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6943053B2 (en) * 2002-03-20 2005-09-13 Applied Materials, Inc. System, method and medium for modeling, monitoring and/or controlling plasma based semiconductor manufacturing processes
WO2003098677A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de prediction d'etat de dispositif de traitement ou de resultat de traitement
WO2003102502A1 (de) * 2002-05-29 2003-12-11 Infineon Technologies Ag Verfahren und vorrichtung zum ermitteln einer schichtdicke einer auf einem träger aufgebrachten schicht, sowie überwachungssystem
US7505879B2 (en) * 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US6825050B2 (en) 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
TWI240325B (en) * 2002-06-12 2005-09-21 Semi Sysco Co Ltd Method for detecting an end point in a dry etching process
JP2004047885A (ja) * 2002-07-15 2004-02-12 Matsushita Electric Ind Co Ltd 半導体製造装置のモニタリングシステム及びモニタリング方法
US6818561B1 (en) * 2002-07-30 2004-11-16 Advanced Micro Devices, Inc. Control methodology using optical emission spectroscopy derived data, system for performing same
US6849151B2 (en) * 2002-08-07 2005-02-01 Michael S. Barnes Monitoring substrate processing by detecting reflectively diffracted light
JP4464276B2 (ja) * 2002-08-13 2010-05-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4165638B2 (ja) 2002-09-02 2008-10-15 東京エレクトロン株式会社 プロセスの監視方法及びプラズマ処理装置
US20080275587A1 (en) * 2002-09-25 2008-11-06 Advanced Micro Devices, Inc. Fault detection on a multivariate sub-model
US7306696B2 (en) 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
JP3905466B2 (ja) * 2002-12-05 2007-04-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7147747B2 (en) * 2003-03-04 2006-12-12 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US6969619B1 (en) 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
US6972848B2 (en) 2003-03-04 2005-12-06 Hitach High-Technologies Corporation Semiconductor fabricating apparatus with function of determining etching processing state
US6904384B2 (en) * 2003-04-03 2005-06-07 Powerchip Semiconductor Corp. Complex multivariate analysis system and method
DE602004017983D1 (de) * 2003-05-09 2009-01-08 Unaxis Usa Inc Endpunkt-Erkennung in einem zeitlich gemultiplexten Verfahren unter Verwendung eines Hüllkurvenalgorithmus
WO2004105101A2 (en) * 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
KR100509298B1 (ko) * 2003-05-31 2005-08-22 한국과학기술연구원 무기질 박막이 코팅된 직접메탄올 연료전지용 복합고분자 전해질막의 제조 방법
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7045467B2 (en) * 2003-06-27 2006-05-16 1St Silicon(Malaysia) Sdn Bnd Method for determining endpoint of etch layer and etching process implementing said method in semiconductor element fabrication
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US6952657B2 (en) * 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis
US7328126B2 (en) * 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
DE10344275B4 (de) * 2003-09-24 2006-04-27 Infineon Technologies Ag Verfahren zur gesteuerten Strukturierung einer ARC-Schicht in einer Halbleitereinrichtung
US20050070103A1 (en) * 2003-09-29 2005-03-31 Applied Materials, Inc. Method and apparatus for endpoint detection during an etch process
US7447609B2 (en) * 2003-12-31 2008-11-04 Honeywell International Inc. Principal component analysis based fault classification
US7096153B2 (en) * 2003-12-31 2006-08-22 Honeywell International Inc. Principal component analysis based fault classification
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7393459B2 (en) * 2004-08-06 2008-07-01 Applied Materials, Inc. Method for automatic determination of substrates states in plasma processing chambers
WO2006021251A1 (en) 2004-08-26 2006-03-02 Scientific Systems Research Limited A method for transferring process control models between plasma procesing chambers
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060074598A1 (en) * 2004-09-10 2006-04-06 Emigholz Kenneth F Application of abnormal event detection technology to hydrocracking units
US7424395B2 (en) * 2004-09-10 2008-09-09 Exxonmobil Research And Engineering Company Application of abnormal event detection technology to olefins recovery trains
US7567887B2 (en) * 2004-09-10 2009-07-28 Exxonmobil Research And Engineering Company Application of abnormal event detection technology to fluidized catalytic cracking unit
US7349746B2 (en) * 2004-09-10 2008-03-25 Exxonmobil Research And Engineering Company System and method for abnormal event detection in the operation of continuous industrial processes
US7130767B2 (en) * 2004-09-27 2006-10-31 Lam Research Corporation Computer-implemented data presentation techniques for a plasma processing system
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US20060107898A1 (en) * 2004-11-19 2006-05-25 Blomberg Tom E Method and apparatus for measuring consumption of reactants
US7899636B2 (en) 2004-12-15 2011-03-01 Koninklijke Philips Electronics N.V. Calibration of optical analysis making use of multivariate optical elements
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060240651A1 (en) * 2005-04-26 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for adjusting ion implant parameters for improved process control
US7695986B1 (en) * 2005-08-01 2010-04-13 GlobalFoundries, Inc. Method and apparatus for modifying process selectivities based on process state information
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20070088448A1 (en) * 2005-10-19 2007-04-19 Honeywell International Inc. Predictive correlation model system
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
US7761172B2 (en) * 2006-03-21 2010-07-20 Exxonmobil Research And Engineering Company Application of abnormal event detection (AED) technology to polymers
US7720641B2 (en) * 2006-04-21 2010-05-18 Exxonmobil Research And Engineering Company Application of abnormal event detection technology to delayed coking unit
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US7421351B2 (en) * 2006-12-21 2008-09-02 Honeywell International Inc. Monitoring and fault detection in dynamic systems
CA2680706C (en) * 2007-03-12 2018-01-09 Emerson Process Management Power & Water Solutions, Inc. Use of statistical analysis in power plant performance monitoring
KR100892248B1 (ko) * 2007-07-24 2009-04-09 주식회사 디엠에스 플라즈마 반응기의 실시간 제어를 실현하는 종말점 검출장치 및 이를 포함하는 플라즈마 반응기 및 그 종말점 검출방법
US7813895B2 (en) * 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
US8101906B2 (en) 2008-10-08 2012-01-24 Applied Materials, Inc. Method and apparatus for calibrating optical path degradation useful for decoupled plasma nitridation chambers
US8151814B2 (en) * 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
JP5498217B2 (ja) * 2010-03-24 2014-05-21 株式会社ダイヘン 高周波測定装置、および、高周波測定装置の校正方法
US8862250B2 (en) 2010-05-07 2014-10-14 Exxonmobil Research And Engineering Company Integrated expert system for identifying abnormal events in an industrial plant
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
KR20120126418A (ko) * 2011-05-11 2012-11-21 (주)쎄미시스코 플라즈마 모니터링 시스템
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
JP6173851B2 (ja) 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
US10502677B2 (en) * 2013-10-14 2019-12-10 Exxonmobil Research And Engineering Company Detection of corrosion rates in processing pipes and vessels
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
EP3218317B1 (en) 2014-11-13 2018-10-17 Gerresheimer Glas GmbH Glass forming machine particle filter, a plunger unit, a blow head, a blow head support and a glass forming machine adapted to or comprising said filter
CN106876236B (zh) * 2015-12-10 2018-11-20 中微半导体设备(上海)有限公司 监测等离子体工艺制程的装置和方法
JP2018077764A (ja) * 2016-11-11 2018-05-17 東京エレクトロン株式会社 異常検知装置
US10763144B2 (en) 2018-03-01 2020-09-01 Verity Instruments, Inc. Adaptable-modular optical sensor based process control system, and method of operation thereof
CN109188905B (zh) * 2018-09-07 2019-10-15 浙江大学 一种面向百万千瓦超超临界机组的动静特征协同分析的在线监测方法
US11568198B2 (en) 2018-09-12 2023-01-31 Applied Materials, Inc. Deep auto-encoder for equipment health monitoring and fault detection in semiconductor and display process equipment tools
KR20200081612A (ko) 2018-12-27 2020-07-08 삼성전자주식회사 기판 처리 장치, 기판 처리 모듈 및 반도체 소자 제조 방법
CN111694328B (zh) * 2019-03-12 2022-03-18 宁波大学 一种基于多块独立成分分析算法的分布式过程监测方法
JP2020148659A (ja) * 2019-03-14 2020-09-17 キオクシア株式会社 計測装置
TW202215180A (zh) 2020-09-09 2022-04-16 日商東京威力科創股份有限公司 解析裝置、解析方法、解析程式及電漿處理控制系統
CN117631599B (zh) * 2024-01-26 2024-04-12 深圳一嘉智联科技有限公司 一种基于数据分析的工控机数据传输方法及系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6442328B1 (en) * 2000-05-31 2002-08-27 Keen Personal Media, Inc. Digital video recorder connectable to an auxiliary interface of a set-top box that provides video data stream to a display device based on selection between recorded video signal received from the dig

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4312732A (en) * 1976-08-31 1982-01-26 Bell Telephone Laboratories, Incorporated Method for the optical monitoring of plasma discharge processing operations
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5288367A (en) * 1993-02-01 1994-02-22 International Business Machines Corporation End-point detection
JPH0722401A (ja) * 1993-07-05 1995-01-24 Hiroshima Nippon Denki Kk プラズマエッチング装置
JPH08232087A (ja) * 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
JP2666768B2 (ja) * 1995-04-27 1997-10-22 日本電気株式会社 ドライエッチング方法及び装置
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
JPH10275753A (ja) * 1997-03-31 1998-10-13 Hitachi Ltd 半導体基板の製造方法
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US5966586A (en) * 1997-09-26 1999-10-12 Lam Research Corporation Endpoint detection methods in plasma etch processes and apparatus therefor
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
US6381008B1 (en) * 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6442328B1 (en) * 2000-05-31 2002-08-27 Keen Personal Media, Inc. Digital video recorder connectable to an auxiliary interface of a set-top box that provides video data stream to a display device based on selection between recorded video signal received from the dig

Also Published As

Publication number Publication date
US6368975B1 (en) 2002-04-09
KR20010029905A (ko) 2001-04-16
US20020055259A1 (en) 2002-05-09
US20030136511A1 (en) 2003-07-24
JP2001060585A (ja) 2001-03-06
TW469554B (en) 2001-12-21
US6896763B2 (en) 2005-05-24
EP1089146A2 (en) 2001-04-04
US6521080B2 (en) 2003-02-18
JP4833396B2 (ja) 2011-12-07

Similar Documents

Publication Publication Date Title
KR100798648B1 (ko) 주성분 분석을 이용한 공정 감시 방법 및 장치
CN108281346B (zh) 从光谱的时间序列进行特征提取以控制工艺结束点的方法
KR100748288B1 (ko) 스펙트럼 간섭법을 이용한 막 두께 제어
US7695987B2 (en) Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
JP4456224B2 (ja) 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置
US6046796A (en) Methodology for improved semiconductor process monitoring using optical emission spectroscopy
US6673200B1 (en) Method of reducing process plasma damage using optical spectroscopy
US6815228B2 (en) Film thickness measuring method of member to be processed using emission spectroscopy and processing method of the member using the measuring method
US6586262B1 (en) Etching end-point detecting method
US20020188367A1 (en) Method and system for managing semiconductor manufacturing equipment
JP2002081917A (ja) 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
JP2006074067A (ja) プラズマ処理装置および処理方法
TWI780618B (zh) 電漿處理裝置及電漿處理方法
US8085390B2 (en) Multivariate monitoring method for plasma process machine
JPH11238723A (ja) プラズマ処理のモニタリング方法及び装置
TWI355038B (en) Method of monitoring plasma process tool, protecti
WO2022256194A1 (en) In situ sensor and logic for process control
US8520194B2 (en) Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치
JPH09181050A (ja) プラズマ処理装置およびプラズマ処理方法
Bluem et al. EV-140 P, New Emission Spectroscopic Product for Semiconductor Endpoint, Cleaning and Plasma Chambers Control.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee