JP4456224B2 - 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置 - Google Patents

半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置 Download PDF

Info

Publication number
JP4456224B2
JP4456224B2 JP2000106763A JP2000106763A JP4456224B2 JP 4456224 B2 JP4456224 B2 JP 4456224B2 JP 2000106763 A JP2000106763 A JP 2000106763A JP 2000106763 A JP2000106763 A JP 2000106763A JP 4456224 B2 JP4456224 B2 JP 4456224B2
Authority
JP
Japan
Prior art keywords
plasma
chamber
frequency
signal
detection signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000106763A
Other languages
English (en)
Other versions
JP2000349076A (ja
JP2000349076A5 (ja
Inventor
ダヴィドー ジェッド
サファティ モーシュ
リンバロポウロス ディミトリス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2000349076A publication Critical patent/JP2000349076A/ja
Publication of JP2000349076A5 publication Critical patent/JP2000349076A5/ja
Application granted granted Critical
Publication of JP4456224B2 publication Critical patent/JP4456224B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

【0001】
【発明の属する技術分野】
この発明は半導体デバイスの製造、特に半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置に関する。
【0002】
【従来の技術】
半導体産業においては、プロセス再現性の向上及びプロセス制御の向上が常に必要とされる。例えば、典型的なメタル層−メタル層の配線を形成する際、第1のメタル層上に絶縁層を堆積し、この絶縁層にバイアホールをエッチングして第2のメタル層を露出し、バイアホールをメタルで充填し、このメタルプラグの上から第2のメタル層を堆積する(例えば、第一メタル層と第二メタル層間の配線の形成)。配線の接触抵抗を低くするため、バイアホールにメタルプラグを形成する前に、バイアホール内の絶縁物質を第一メタル層表面から全てエッチングしなければならない。そうしないと、バイアホール内に残った高抵抗の絶縁物質が配線の接触抵抗を著しく低下させてしまう。同様なプロセス制御が、メタル層(Al、Cu、Ptなど)のエッチング、ポリシリコン層のエッチングなどにおいて必要とされる。
【0003】
従来のモニタリング技術では、ある材料の層が完全にエッチングされたとき(終点など)の大雑把な見積もりしか提供することができない。従って、材料層の厚さの変化や(デバイスの変化など)、材料層のエッチングレートの変化(プロセスやプロセスチャンバの変化など)に適応させるため、材料層エッチングの予測時間よりも長くエッチング処理が続けられる(オーバエッチング時間)。オーバエッチング時間でエッチングすることによって、エッチング時間が多く必要となるようなデバイスの変化や、エッチングレートを低下させるようなプロセスの変化やプロセスチャンバの変化(これによって、必要なエッチング時間が増加する)があっても、除去されるべきすべての物質が確実に除去される。
【0004】
【発明が解決しようとする課題】
オーバエッチングは完全なエッチングを保証する一方、各半導体ウェーハの処理に必要な時間を増大させる。これによってウェーハのスループットが低下する。更に、高性能集積回路用のドライブでは、各世代の半導体デバイスがより精細な寸法交差を有することが必要とされ、オーバエッチングは一層望まれなくなっている。より興味深い解決策としてモニタリング技術があり、デバイスやプロセス、プロセスチャンバが変化する原因(例えば、チャンバの障害、不適当な化学反応、不適当なエッチングレートなど)を特定し、終点などの処理中の事象をより正確に特定する。しかしながら、従来のモニタリング技術には以下の2つの役割を併せ持ち、充分な情報を提供する技術はなかった。即ち、プロセスの有害な変化やプロセスチャンバの有害な変化を特定する診断ツールとしての役割と、プロセスやプロセスチャンバの変化及びデバイスの変化(例えば、材料層の変化やエッチング特性の変化など)双方の補正に必要とされるオーバエッチングやその他の超過処理時間を短縮するに充分な、正確なプロセス進行の追跡を行うプロセス制御デバイスとしての役割である。従って、半導体デバイス製造工程をモニタする方法と装置の改善が必要とされる。
【0005】
【課題を解決するための手段】
本発明の発明者らは、プラズマ処理中に、ある種のプラズマ「特性値」が低周波の変動を示し、この変動の中にプラズマ処理及びプラズマチャンバの重要な情報が含まれることを見出した。プラズマの電磁放射やウェーハペデスタルに与えられるRFパワーなどのプラズマ特性値がそうである。例えば、プラズマ電磁放射の強度の変動には(以降、「プラズマ放射変動」)大きく3つに分類できる情報が含まれていることが分かっている。即ち、
(1)プラズマエッチングレート、RFパワー、ウェーハ損傷、ウェーハ温度、エッチング均一性、プラズマ化学反応などの処理状態の情報、
(2)特定の材料が過剰にエッチングされたり又はなくなったり(即ちブレークスルー)、ウェーハが誤って保持されていたり(不適当な"チャッキング")するなどの、プロセス事象の情報、及び
(3)ウェーハチャンバに不良がないか、チャンバの操作が同じチャンバの以前の操作、又は別のチャンバの操作と同様であるか(チャンバのマッチング)などの、プロセスチャンバの情報
である。同様の情報が、プラズマ処理中のウェーハペデスタルに与えられるRFパワーの変動の中にも見出されている。
【0006】
プラズマ放射変動をモニタするために、プラズマによって発生した電磁放射が収集され、収集された電磁放射の強度を基に少なくとも1つの周波数成分(これらの強度と関連した大きさを有する)を有する検出信号を発生させる。このとき、検出信号のうち、少なくとも1つの周波数成分の大きさが経時的にモニタされる。好ましくは、プラズマ発生に使用されるRF周波数(例えば13.56MHz)よりも低く、最も好ましくは約50kHzよりも低い周波数を有する周波数成分が経時的にモニタされる。収集された電磁放射は、好ましくは約200nm〜1100nmの範囲内の波長(広帯域光電磁放射)を持っているが、他の波長範囲も使用できる。プラズマ処理と関連した特定の化学種の電磁放射(例えばアルミニウムのエッチングプロセスではAl、AlCl、又はBClなど)もモニタされる。
【0007】
RFパワーの変動をモニタするために、プラズマ処理中のウェーハペデスタルに与えられるRFパワー(例えば順方向及び/又は反射)がモニタされ、これが「検波」信号としての役割を果たす。このとき、検出信号のうち、少なくとも1つの周波数成分の大きさが経時的にモニタされる。好ましくは、プラズマを発生するために使用されるRF周波数よりも低い周波数、最も好ましくは約50kHzよりも低い周波数をもつ周波数成分が経時的にモニタされる。
【0008】
プラズマ放射変動検出信号又はRFパワー変動検出信号の、少なくとも1つの周波数成分の大きさを経時的にモニタすることによって、プラズマ処理特有の指紋が得られる。本発明者らは、ある特有な指紋の周波数の特徴(例えば周波数成分の大きさ)及びその時間による位置(「時間位置」)が、プロセス状態の情報、プロセス事象の情報及びプロセスチャンバの情報を与えることを発見した。これらの特徴は、プラズマ処理が行われた後、或いは行われている最中にモニタされ、リアルタイムの処理状態制御を可能とする。一般に、反応レートと共に変化するなんらかの特性を有する化学反応は、同様にしてモニタすることができる(プラズマ使用の有無や、半導体デバイス製造に関するかどうかに関わらず)。
【0009】
【発明の実施の形態】
図1(a)は処理システム100の概略図であり、従来のプラズマエッチングシステム102、及び本発明に基づいて、これに連結された本発明のプロセスモニタリングシステム104を含んでいる。ここで使用される「連結」は、操作を行えるように直接又は間接に連結していることを意味する。
【0010】
従来のプラズマエッチングシステム102にはプラズマチャンバ106及び、処方制御ポート110と第1制御バス112を介してプラズマチャンバ106と連結しているプラズマエッチシステム制御装置108が含まれる。便宜上、プラズマチャンバ106とプラズマエッチシステム制御装置108の間には1つのインタフェースしか示されていないが(例えば処方制御ポート110)、一般にプラズマエッチシステム制御装置108はさまざまなマスフロー制御装置、高周波電源、温度制御装置などに接続され、複数のインタフェースを介してプラズマチャンバ106と関連付けられる(図示せず)。
【0011】
プラズマチャンバ106には、プラズマチャンバ106内に維持されているプラズマ118(以下に説明)から、電磁放射(例えば、図1(a)〜1(c)に116として一般的に示される、主に光波長範囲が約200nm〜1100nmの放射)を放出するための覗窓114が含まれている。覗窓114はプラズマチャンバ106の側面に位置するように示されているが、覗窓114は他のどんな位置にも置けると考えられる(例えば、チャンバ106の上面や底面)。
【0012】
本発明のプロセスモニタリングシステム104は、処理機構(例えば処理装置122)に連結された検出器120を有している。検出器120はプラズマ118からの電磁放出116を収集できる位置に取りつけられ、好ましくはシリコンフォトダイオードなどの広帯域フォトダオードを有している。もう1つの方法として検出器120は、以下に説明する特定の化学種からの電磁放射を検出するために、光電子倍増管128に連結したモノクロメータ126を含むことができる(図1(b))。検出器120が電磁放射116を効率的に収集するように、覗窓114と検出器120の間にレンズ130及び光ファイバケーブル132を配置することもできる(図1(c))。例えば電磁放射116がレンズ130を通して光ファイバケーブル132にカップリングされ、光ファイバケーブル132を通して検出器120に送られることにより、電磁放射が効率的に収集される。その他、プラズマ118からの電磁放射を収集するために選択できる構成として、フォトダイオードの配列などを使用することができる。このフォトダイオードの配列では、各フォトダイオードが異なる波長又は異なる波長スペクトルをモニタする。必要に応じてダイオード配列に光ファイバケーブルの束を連結することができ、束中の各光ファイバケーブルは1つのフォトダイオードに連結され、電磁放射を提供する。同様に回折格子、プリズム、光ファイバ(グラスファイバなど)、及びその他の波長選択デバイスをモノクロメータ126の位置に使用することができる。処理装置122はプラズマエッチシステム制御装置108に第2制御バス134を介して連結される。
【0013】
操作ではユーザ136(例えばウェーハ製造プロセス担当者)がプラズマエッチシステム制御装置108に指示セットを提供して(第3制御バス138を介す)、プラズマチャンバ106内にプラズマ118を発生させる(即ち、プラズマ処方)。又はプロセシングシステム100、製造実施システム、或いはその他の製造制御システムを含む、製造プロセスを動かすためのリモートコンピュータシステムによって、プラズマ処方(例えばユーザ106から与えられた、又はプラズマ処方内のデータベースに格納されたとおりの処方)をプラズマエッチシステム制御装置108に供給することもできる。典型的なプラズマ処方には、プラズマ処理中プラズマチャンバ106内のプラズマ118を維持するための処理パラメータ(圧力、温度、電圧、ガスの種類、ガス流速その他)が含まれる。例えば、プラズマチャンバ106でアルミニウムエッチングを行うために、典型的なプラズマ処方は少なくとも以下のパラメータを含んでいる。即ち、望ましいチャンバ圧力、望ましいプロセス温度、望ましいRFパワーレベル、望ましいウェーハバイアス、望ましいプロセスガス流速(例えば、Ar、BCl3、Cl2などのプロセスガスの望ましいガス流速)などである。プラズマエッチシステム制御装置108がユーザ136、リモートコンピュータシステム、製造実施システムなどからプラズマ処方を受け取ると、プラズマ処方は第1制御バス112を通って処方制御ポート110に供給される。処方制御ポート110(又は、プラズマエッチシステム制御装置108そのもの)はプラズマ処方に指定された処理パラメータを確立し、チャンバ106内にこれを維持する。
【0014】
プラズマチャンバ106内でプラズマ処理を行う間、紫外線波長と赤外線波長も共に生じるが、プラズマ118は主に光スペクトルの波長(例えば約200nm〜1100 nm)を有する電磁放射を生じる。これら電磁放射の一部分(例えば、電磁放射116)は覗窓114を通って、本発明のプロセスモニタリングシステム104に至る。図1(a)〜図1(c)では、電磁放射116を3つの放射波長として一般的に示すが、電磁放射116は通常もっと多くの波長を有しているものと理解されるよう注意する。
【0015】
図1(a)及び図1(c)を参照すると、検出器120は電磁放射116を直接(図1(a))、又はレンズ130及び光ファイバケーブル132を通して間接的に(図1(c))受け取る。検出器120がシリコンフォトダイオードであれば、検出器120は200nm〜1100nmの広帯域で電磁放射の強度を検出し(以降、「光放射スペクトル(OES)」と呼ぶ)、これに応じて、検出器120は検出された電磁放射の強度に釣り合った検出信号を生成する(光放射電流信号又はOE信号)。次に検出器120はOE信号を出力し、OE信号は適切に増幅されて(示されていない前置増幅器などを通して)次の処理のため処理装置112に供給される。処理装置122によって行われる特定の処理の種類は、好ましくはユーザ136によって(又はリモートコンピュータシステムや製造実施システムなどによって)第4制御バス140を通じて選択される。このように本発明に従って、プラズマ118の特性(例えば電磁放射)が検出器120を通じて測定され、検出信号(例えばOE信号)が検出器120によって生成される。以下に説明するように、処理装置122は検出信号における少なくとも1つの周波数成分の大きさを経時的にモニタする。
【0016】
図2は検出器120から出力されたOE信号200の代表的なグラフである。本発明によれば、OE信号200の大きさの変化を全て経時的に調べる従来法のかわりに(例えば、I1〜I2の強度変化のような)、処理装置122はプラズマ放射変動によって引き起こされる局所的なOE信号の周波数変化(周波数成分の大きさの変化など)を経時的に調べる(例えば、図2で拡大表示されたOE信号200の一部分202)。具体的には、OE信号200は処理装置122によって定期的にサンプリングされ、連続して収集されたサンプルは定期的にタイムドメインから周波数ドメインに変換される(例えば、高速フーリエ変換や類似の方法によって)。例えば、OE信号200が10kHzのサンプリングレートでサンプリングされる場合(0.0001秒に1回のサンプリングが行われる)、処理装置122によるタイムドメインから周波数ドメインへの変換は、好ましくは0.1秒に1回行われる。この方法によって、1000個のサンプルからなるOE信号200の収集を定期的にタイムドメインから周波数ドメインへ変換し、5kHzまでのOE信号周波数成分を0.1秒の間隔で経時的モニタすることが可能である。OE信号中の直流バイアスを取り除くため、1000サンプル収集中の各サンプルが、1000サンプル収集のアンダエリアで正規化されることに注意する。
【0017】
その他のサンプリングレートやサンプル収集の大きさも同様に、タイムドメインから周波数ドメインへの変換に使用できることが理解されるべきである。しかしながら、本発明者らはOE信号の低周波成分を経時的にモニタしたときに、OE信号が重要なプロセス状態、プロセス事象及びプロセスチャンバの情報(以下に説明)を与えることを見出している(光低周波放射(OLE)データ)。モニタする好ましい低周波成分範囲は、プラズマ処理を開始するために使用されるRF周波数(例えば13.56MHz)よりも低い範囲であり、最も好ましくは、約50kHzよりも低い範囲である。約0Hz〜300Hzの周波数をもつOE信号の低周波成分には、以下に図3(a)〜図3(b)を参照して説明するように、最も重要な情報が含まれていると見られる。
【0018】
図3(a)は多層半導体構造304(図3(c))のプラズマエッチング中に生成されるOE信号302(図3(b))から得られたOLEデータ300の輪郭グラフである。図3(a)の影をつけた部分は大きさが大きいことを示しており、OLEデータ300は上述のようにして正規化されている。多層半導体構造304は、シリコン(Si)基板308上に堆積されたチタン(Ti)層306(厚さ約200オングストローム)、チタン層306上に堆積された窒化チタン(TiN)層310(厚さ約800オングストローム)、窒化チタン層310上に堆積されたアルミニウム(Al)層312(厚さ約5000オングストローム)、及びアルミニウム層312上に堆積された窒化チタン反射防止膜(TiN ARC)314(厚さ約200オングストローム)からなっている(図3(c))。
【0019】
OLEデータ300及びOE信号302を得るため多層半導体構造304がプラズマチャンバ106に置かれ、この技術ではよく知られる例えばAr、Cl、BCl3などを使用してプラズマ118が生成される。約200nm〜1100nmの波長を有する電磁放射が覗窓114を通過して検出器120(例えばシリコンフォトダイオード)によって集められ、検出器120がOE信号302を発生する。OE信号は適正に増幅され、処理装置122に送られる。この後OE信号302は10kHzのサンプリングレートで周期的にサンプリングされ、0.1秒ごとに高速フーリエ変換が行われてOLEデータ300が作られる。
【0020】
生のOE信号302とは異なり、OLEデータ300は多層半導体構造304のエッチング中に起こるプロセス事象に関する詳細な情報を与える。例えばよく知られるように、多くのプラズマ処理ではウェーハを静電気でウェーハペデスタルに固定する(静電チャック)。チャッキングが正しく行われていないと、処理中にウェーハが振動し、ウェーハの損傷やプラズマチャンバ内の汚染の原因となる可能性が生じる。しかしながら、不適正なチャッキングは検出が困難である。
【0021】
図3(a)を参照すると、OLEデータ300はチャッキングが正しいか不適正かを簡単に特定する。例えば、時間t1〜t2の間にプラズマチャンバ106内でプラズマ118が生成し、時間t2において多層半導体構造304のエッチングが開始される。時間t2以降、OLEデータがはっきりと現れている。OLEデータは、プラズマ処理において適正なチャッキングが行われている間のみ現れる。従って、OLEデータが存在すればチャッキングが適正であることがはっきりと認められ、また、これがなくなればチャッキングが不適正であることがはっきりと特定される。
【0022】
時間t2において多層半導体構造304のチャッキングは完了し、プラズマエッチング処理が開始される。時間t1〜t2の間に窒化チタン反射防止膜314がエッチングされる。OLEデータ300からわかるように、TiN ARCエッチング処理は主に約150Hz〜250Hzに集中した周波数成分によってはっきり認められる。しかし、時間t3近くで窒化チタン反射防止膜314がなくなり始めるにつれ、約200Hzよりも高い周波数成分が急速に減少し、窒化チタン反射防止膜314のエッチングとアルミニウム層312のエッチングとの境界がはっきりと現れる。
【0023】
時間t3〜t4の間、アルミニウム層312のエッチングが主に約100Hz〜175Hzに集中した周波数成分によってはっきり認められる。しかし、時間t4近くでアルミニウム層312がなくなり始めるにつれ、約175 Hzよりも高い周波数成分が急速に減少し、時間t4で急に転移が起こる。時間t4〜t5の間は、図3(a)に見られる一連の周波数成分の特徴によって窒化チタン層310がはっきりと認められる。時間t5近くで窒化チタン層310がなくなり始めるにつれ、OLEデータ300中の周波数成分の大きさが急速に減少し、時間t5においてはもはや検出されない。同様に時間t5〜t6の間、チタン層306のエッチングが周波数成分の存在(約10 Hz未満の周波数をもつ)によってはっきり認められ、その周波数成分はチタン層306の消失につれて急速に大きさが減少する。
【0024】
OE信号302と異なり、OLEデータ300はエッチングにおける1つの材料層の終わりと他の材料層の始まりとにはっきりした特徴を示す(即ち、ブレークスルー)。このようにOLEデータ300を容易に解析して、ブレークスルーの特定、ブレークスルーにおけるエッチング処理の停止(即ち、ストップオンエッチング)、又は終点検出などが可能である。OLEデータ300はまた、プラズマのプロセス状態についての情報(例えばRFパワー、エッチングレート、ウェーハ損傷、ウェーハ温度、エッチングの均一性、プラズマ化学反応など)、及びプロセスチャンバについての情報(例えばウェーハチャンバの不良の有無、別のチャンバとのマッチングなど)をプラズマ処理の"指紋"によって提供する。
【0025】
プロセス状態の情報に関しては、異なる材料層によってエッチング中の周波数成分の特徴に違いが現れ、また1つの層が消失して別の層がエッチングされる間に急な転移が現れることから、OLEデータ300から各材料層のエッチングレートを容易に識別できる。そこで、エッチングレートをRFパワー、ウェーハ損傷、ウェーハ温度、エッチング均一性、プラズマ化学反応などのプラズマパラメータと関連付けることが可能である。これに加え、処理パラメータや処理条件を変化させてOLEデータ300中の特徴の形と位置がどのように変化するかを調べて得られる情報と同様の情報を、OLEデータ300中の様々な特徴の形と位置から得ることができる。
【0026】
プロセスチャンバ情報に関しては、プラズマチャンバ160が正常に運転していることが既知のときに採ったプラズマプロセスのOLEデータ指紋が、プロセスチャンバの「校正」指紋の役割を果たす。その後、引き続く処理操作における指紋を定期的にこのプロセスの校正指紋と比較する。続く指紋の中のドリフト、特徴の広がり、ノイズレベルほか同様の変化を定量してプラズマチャンバ106の調子を示す指標として使用したり、チャンバの不良を発見することができる(例えば、各チャンバの不良に起因する独特なOLEデータの特徴によって)。例えば、チャンバの清掃やメンテナンス作業の後にOLEデータ指紋を測定して、チャンバの校正指紋と比較することにより、清掃/メンテナンス作業後のチャンバの適正な機能が保証される。チャンバマッチングの目的や、1つのチャンバを別のチャンバの指紋と一致するように調整又は均等化するために、2つの異なるチャンバの指紋を比較してもよい。
【0027】
OLEデータ300の解析は手動(例えばユーザ136によって)或いは自動(例えば処理装置122によって)で、必要に応じて、処理ごと又はロットごとに行われる。好ましくは、処理中に処理パラメータを調整できるように、解析はOLEデータを収集しながら行われる(リアルタイム)。図1(a)〜図1(c)を参照すれば、以下の内容がユーザ136、製造プロセスを実施するためのリモートコンピュータシステム、製造実施システムなどによって指定される。即ち処理装置122が特定すべきプロセス事象(不適正なチャッキング、ブレークスルー、終点など)、これに対応してプラズマエッチングシステム102に第2制御バス134を通して警告を送るべきか否か(例えば、プラズマチャンバ106内のプラズマ処理を停止するなど)、必要とされるプロセス状態の情報(例えば、エッチングレート、RFパワー、ウェーハ損傷、ウェーハ温度、エッチング均一性、プラズマ化学反応など)、リアルタイムプロセス制御が使用されるべきかどうか、必要とされるプロセスチャンバ情報(例えば、チャンバ不良情報、チャンバマッチング情報など)及びチャンバ不良が検出された場合プラズマチャンバ106内のプラズマ処理を停止するかどうか、が指定される。
【0028】
広い波長域(例えば200nm〜1100nm)の電磁放射をモニタすることの効用に加え、本発明者らはあるプラズマ放射波長又はプラズマ「ライン」もまた、OLEデータ300に類似のOLEデータの特徴を示すこと発見した。適当なプラズマラインに図1(b)のモノクロメータ126を合わせるか、或いは適切な間隔の回折格子、光学フィルタ、プリズムなどを使用して単一プラズマラインの測定を行い、その線のOE信号を発生させる。そして、モニタされたプラズマラインのOE信号を前述の方法でOLEデータに変換する。
【0029】
典型的なアルミニウムエッチング工程中のAr、Cl、Al、AlCl及びBClのプラズマラインを下の表1に挙げる。
【0030】
【表1】
Figure 0004456224
【0031】
表1に挙げられた各プラズマラインのOLEデータ(示されない)の解析によって、アルミニウムエッチング中のプラズマ全体にプラズマ放射変動が見られるのではなく、特定の化学種のみにプラズマ放射変動が見られることが示された。より具体的には、広い波長域のOLEデータ(OLEデータ300)に、Ar及びClのプラズマラインのOLE特徴は全く観察されなかったが、Al、AlCl及びBClのプラズマラインは全てOLEデータ300に見られる特徴と類似の特徴を示した。従って、OLEデータの特徴は反応生成物(Al、AlCl及びBCl)によって現れるのであり、プラズマ処理における化学反応の理解のためにOLEデータが非常に役立つことがわかる。
【0032】
ここに説明された本発明のプラズマモニタリング技術は、シリコン酸化膜エッチング工程、プラチナエッチング工程、ポリシリコンエッチング工程、シリコンエッチング工程など、他のプラズマ処理においても使用することができる。例えば図4(a)には、ポリシリコン積層構造404(図4(c))のプラズマエッチング中に生成されるOE信号402(図4(b))から求められたOLEデータ400の輪郭グラフが示されている。ポリシリコン積層構造404は、シリコン(Si)基板408上に堆積された厚いシリコン酸化層406、シリコン酸化層406上に堆積されたポリシリコン層410(厚さ約2000オングストローム)、ポリシリコン層410上に堆積されたケイ化タングステン(WSix)層412(厚さ約1000オングストローム)、及びケイ化タングステン(WSi)層412上に堆積された窒化シリコン層414(厚さ約2000オングストローム)からなっている。
【0033】
OLEデータ400及びOE信号402を得るには、ポリシリコン積層構造404をプラズマチャンバ106内に置き、この技術としてはよく知られる塩素ベースのポリシリコンエッチング化学反応などのポリシリコンエッチング化学反応を用いてプラズマ118を発生させる。次にプラズマ118からの電磁放射が収集され、検出器120によってOE信号402が生成される。OE信号402は前述のように処理されて、OLEデータ400を生成する。
【0034】
図4(a)を参照すると、時間t1〜t2の間、窒化シリコン層414及びケイ化タングステン層412がエッチングされる。この時間内ではOLEデータ400に信号は観察されないことに注意する。しかし、他の処理条件(ポリシリコンエッチングに最適化されていない条件など)では、窒化シリコン層及びケイ化タングステン層のエッチング中にOLEデータが観察されることがある。この後、時間t2〜t3の間ポリシリコン層410がエッチングされ、はっきりしたOLEデータのパターンを生じる。時間t3においてポリシリコン層410がなくなり始め、時間t4まで消失し続ける。時間t4以後、シリコン酸化層406がエッチングされOLEデータ400に信号は観察されない。他の処理条件(ポリシリコンエッチングに最適化されていない条件など)では、シリコン酸化膜のエッチング中(或いは、ここに示されていない他の物質のエッチング中)にOLEデータが観察されることがある。
【0035】
図5は図1(a)〜図1(c)に示された本発明のプロセスモニタリングシステム104の概略図であり、専用デジタル信号処理装置(DSP)501が採用されている。DSP501は、好ましくは処理装置122よりも極めて速い速度でOE信号サンプルをタイムドメインから周波数ドメインへ変換(前述)するようにプログラムされており、またその結果の周波数成分を解析するために処理装置122へ供給するようにログラムされている。この方法によって、必要に応じリアルタイムで処理パラメータを調整できるだけの高速で、OLEデータの解析が行われる。
【0036】
プラズマ放射変動のモニタリングに加え本発明者らは、プラズマ処理中にプラズマチャンバのウェーハペデスタルに与えられるRFパワーなど他のプラズマ処理の特性にも、プロセス状態、プロセス事象及びプロセスチャンバの情報が含まれていることを見出した。図6は処理システム100の概略図であり、ここで本発明のプロセスモニタリングシステム104は、プラズマ放射変動ではなく、プラズマ処理中のRFパワーの変動をモニタするように改造されている。特に本発明のプロセスモニタリングシステム104内に検出器120は見られず、プラズマチャンバ106に与えられたRFパワーを代表する信号は処理装置122へ供給される。RFパワー信号は、プラズマエッチングシステム102の高周波ウェーハバイアス電源602から第5制御バス604を通って供給される。必要に応じてRFパワー信号と共にOE信号を処理装置122に供給するため、検出器120が使用される場合もあることは理解されよう。
【0037】
図6に示されるように、高周波ウェーハバイアス電源602は処方制御ポート110と(所望のプラズマ処方に必要とされるRFパワーレベルを受け取るため)、プラズマチャンバ106内に置かれたウェーハペデスタル606に連結される。図ではウェーハペデスタル606上に半導体ウェーハ108が設置されている。この技術ではよく知られるように、プラズマ118の発生のために誘導結合プラズマ源が使用された場合、通常高周波電源(図示せず)によってプラズマチャンバ106の外に配置された高周波アンテナ(図示せず)が駆動され、ウェーハバイアスが必要の場合は別の高周波ウェーハバイアス電源(高周波ウェーハバイアス電源602)によってウェーハバイアスが与えられる。ところが容量性結合プラズマ源が使用された場合は、プラズマチャンバ106内に第2電極(図示せず)が置かれ、1つの高周波電源(高周波ウェーハバイアス電源602)がウェーハペデスタル606と第2電極の両方に電圧を与える。
【0038】
高周波ウェーハバイアス電源602は、電源背面のアナログ入力/出力インタフェース610などのような、電源602によって与えられたRFパワーを制御及びモニタするのためのデータポートを有している。例えばインタフェース610は、高周波電源602からウェーハペデスタル606に与えられた電圧(即ち順方向電圧)を表す大きさ、及びウェーハペデスタル606から反射して高周波電源602へ戻る電圧(即ち反射電圧)を表す大きさに調整された0〜10VのリニアなDC電圧を与える。或いは順方向及び反射電圧信号は、任意の大きさに調節された他のアナログ又はデジタル形式によって表される。これら順方向及び反射電圧信号はRFパワーの「検出」信号として第5制御バス604を通って処理装置122へ供給され、以下に説明するように、1つ又は両方のRFパワー検出信号の、少なくとも1つの周波数成分の大きさを経時的にモニタすることによって、プロセス状態、プロセス事象及び/又はプロセスチャンバの情報が提供される。電源602からの典型的なRFパワー検出信号のデータフローレートは、約9600Hzである(前述されたプラズマ放射変動の約10倍の速さ)。一般に、処理システム100内の構成要素間を伝えられる信号は、処理バスを通るか通らないかに関わらず、アナログ形式又はデジタル形式で伝えられる。例えば、アナログ信号は必要に応じてA/D変換器を通してデジタル化され、RS−232インタフェースやパラレルインターフェースなどを通して転送される。
【0039】
プラズマ放射変動と同様、処理装置122はRFパワー検出信号中の局所的な周波数変化を経時的に検査する。具体的には、RFパワー検出信号は処理装置122によって定期的にサンプリングされ、連続したサンプルの収集を前述のようにタイムドメインから周波数ドメインへ定期的に変換する(高速フーリエ変換や類似の方法によって)。図5のDSP501が処理装置122と共に使用され、RFパワー検出信号に基づいたリアルタイムの処理パラメータ調整が行われる。
【0040】
図7(a)は、図3(c)の多層半導体構造304をプラズマエッチングする間に高周波ウェーハバイアス電源602のインタフェース610によって発生した、順方向RFパワー検出信号702(図7(b))から得られたデータ700の輪郭グラフである。図7(a)の影の部分は大きさが大きいことを示す。エッチング中は、図3(a)〜図3(c)を参照して説明されたのと同じ処理条件が使用された。図3(a)〜図3(b)の、およそt3〜t4の時間に対応したデータのみが図7(a)〜図7(b)に示されている。
【0041】
図7(a)のデータ700から、高周波ウェーハバイアス電源602からの順方向RFパワー検出信号702に、図3(a)のOLEデータ300と同じ低周波数成分の記号が含まれていることが明らかである。時間t3〜t4の間は低周波数成分が現れているが、時間t4を過ぎると急に減少し、時間t4付近でアルミニウム層312が消失することを示している。外部プラズマアンテナ用の順方向及び反射RFパワー信号が解析され、低周波数成分の変動を含まないと認められたことに注意する。このことは、OLEデータの特徴がプラズマ全体ではなく反応生成物に起因して現れるという観察と一致する。
【0042】
図8は半導体デバイスを製造する自動化手段800の平面図である。手段800はロードロック802a、802b、及びウェーハハンドラ806を含むウェーハハンドラチャンバ804を有している。ウェーハハンドラチャンバ804及びウェーハハンドラ806は複数の処理チャンバ808、810に連結されている。最も重要な点として、ウェーハハンドラチャンバ804及びウェーハハンドラ806は図1(a)〜図1(c)又は図6に示された処理システム100のプラズマチャンバ106に連結されている。プラズマチャンバ106には、本発明のプロセスモニタリングシステム104が連結されている(示されない)。手段800全体は制御装置812によって制御され(手段800専用制御装置、製造プロセス実施のためのリモートコンピュータシステム、製造実施システムなど)、制御装置812にはロードロック802a、802bとチャンバ808、810及び106間の半導体基板の搬送を制御し、処理を制御するプログラムが含まれている。
【0043】
制御装置812には、図1(a)〜図7(b)を参照して説明したように本発明のプロセスモニタリングシステム104によってリアルタイムでプラズマチャンバ106のプロセス状態を制御し、リアルタイムでプロセス事象をモニタするためのプログラムが含まれている。本発明のプロセスモニタリングシステム104がプラズマチャンバ106のプロセス状態をよりよく制御し、そこでのプロセス事象の発生をより正確に特定する(プラズマチャンバ106のスループットを効果的に向上する)。従って、自動製造手段800の歩留まりとスループットが著しく向上する。
【0044】
プラズマ特性の測定プロセス(プラズマ電磁放射、RFパワーなど)及び検出信号における1つ以上の周波数成分の経時的モニタリング(OE信号、RFパワー信号など)は、ユーザ、製造プロセス実施のためのリモートコンピュータシステム、製造実施システムなどによって行われる。述べられているように、検出信号の周波数成分のモニタリング及び解析は、好ましくはプロセス中に行われリアルタイムのプロセス制御を可能とする。好ましくは、ユーザ、製造プロセス実施のためのリモートコンピュータシステム、製造実施システム又はその他の適切な制御装置によって、処理装置122が特定すべきプロセス事象(不適正なチャッキング、ブレークスルー、終点など)、これに対応してプラズマエッチングシステム102に警告を送るべきか否か(例えば、プラズマチャンバ106内のプラズマ処理を停止するなど)、必要とされるプロセス状態の情報(例えば、エッチングレート、RFパワー、ウェーハ損傷、ウェーハ温度、エッチング均一性、プラズマ化学反応など)、リアルタイムプロセス制御が使用されるべきかどうか、必要とされるプロセスチャンバ情報(例えば、チャンバ不良情報、チャンバマッチング情報など)及びチャンバ不良が検出された場合プラズマチャンバ106内のプラズマ処理を停止するかどうか、が指定される。例えば、ユーザによって選択可能な機能のライブラリが用意され、それによって所望のプロセス状態、プロセス事象及び/又はプロセスチャンバ情報を得るよう処理装置122に指示が出され、処理装置122はこれに従って動作する(例えばエッチング処理の終点を検出し、その後処理を停止するなど)。
【0045】
ブレークスルーや終点などのプロセス事象を特定し、チャンバ不良やチャンバマッチング情報などのプロセスチャンバ情報を得るために、関連したプロセス事象やプロセスチャンバの特定情報(終点情報、ブレークスルー情報、チャンバマッチング情報など)を含んだデータベースを処理装置122、製造プロセス実施のためのリモートコンピュータシステム、製造実施システムなどの中に用意する。そして処理装置122がデータベース内の関連情報にアクセスし、これら関連情報がプロセス事象を特定したりプロセスチャンバ情報を取り出すために利用される。例えば、材料層エッチング中の終点を検出するために1つ以上の検出信号周波数成分に特有な特徴を識別し(前述の通り)、これらをデータベースに格納しておく。その後、処理中に測定された検出信号の周波数成分情報がデータベースに格納された周波数成分情報と比較される。測定された周波数成分情報が格納されている周波数成分情報の予め決められた範囲内にあれば、信号が発せられて終点かブレークスルーが検出されたことを示す。好ましくは、エッチングされる各材料層について、終点かブレークスルーを示す1つ以上の特有な特徴がデータベースに格納されている。
【0046】
プロセスチャンバ情報については、プラズマチャンバ106が適正に作動していることが既知の時に採られたプラズマ処理特有の周波数成分指紋がデータベースに格納され、プロセスチャンバの「校正」指紋としての役割を果たす。その後に続く処理運転の指紋が、定期的にこのデータベースに格納された校正指紋と比較される。その後、引き続く処理操作における指紋を定期的にこのプロセスの校正指紋と比較する。続く指紋の中のドリフト、特徴の広がり、ノイズレベルほか同様の変化を(校正指紋と比較して)定量し、プラズマチャンバ106の調子を示す指標として使用したり、チャンバの不良を発見することができる(例えば、データベースに格納された各チャンバの不良に起因する独特な周波数成分の特徴によって)。例えば、チャンバの清掃やメンテナンス作業の後に周波数成分指紋を測定して、チャンバの校正指紋と比較することにより、清掃/メンテナンス作業後のチャンバの適正な機能が保証される。
【0047】
チャンバマッチングの目的や、1つのチャンバを別のチャンバの指紋と一致するように調整又は均等化するために、2つの異なるチャンバの指紋を比較してもよい(前述の通り)。更に本発明者らは(プラズマ処理の特性を測定することによって生成される)検出信号の低周波数成分中に観察される図3(a)、4(a)及び7(a)に見られるような倍音周波数が、プラズマの状態の変化にともなって変化すること(周波数のシフト)を発見した。このような変化によってプラズマ処理についての情報と共に、プラズマチャンバの高周波電源やマッチングネットワークについての重要な情報が得られる。これら変化を比較検討することによって、チャンバのマッチング(2つのチャンバの高周波電源及びマッチングネットワークの間の類似性を特定する)や、チャンバ状態を知る(高周波電源の調子とマッチングネットワークの効率性を、経時的或いはチャンバメンテナンス後にモニタする)ことができる。倍音周波数の特徴もデータベースに格納され、必要に応じて引き続くプロセス運転での校正指紋としての役割を果たす。
【0048】
前記の記述は本発明の好ましい実施形態のみを開示しており、当業者であれば本発明の範囲内で上に開示された装置及び方法の変形が可能であることは明らかであろう。例えば、ここではモニタされる周波数成分範囲を好ましいものについてのみ記載しているが、必要に応じて他の周波数成分範囲をモニタすることもできる。RFパワー検出信号602のノイズを低減するために高周波センサをウェーハペデスタル606に直接連結して用いてもよい。必要であれば、プラズマ放射変動検出信号或いはRFパワー検出信号の1つの周波数成分のみをモニタすることも可能である。
【0049】
本発明はプラズマを用いた半導体デバイス製造工程のプロセス状態のモニタに関して記述されたが、一般に反応レートによって変化する特性を有する、いかなる化学反応をモニタするためにも本発明を用いることができる(プラズマの使用、半導体デバイス製造との関係の有無に関わらず)。例えば任意の化学反応について、温度、圧力、重量(例えば結晶微量天秤による)、化学発光などによる低周波数の変化をモニタすることにより、反応に関するプロセス状態情報、プロセス事象情報、また適用されるならプロセスチャンバ情報を得ることができる。具体的には化学反応の特性が測定され、少なくとも1つの周波数成分を有する(これと関連した大きさの)検出信号が発生され、この検出信号の、少なくとも1つの周波数成分の大きさ(化学反応の化学反応レートに関連した少なくとも1つの周波数成分の大きさ)が経時的にモニタされる。他の例では、堆積プロセスのプロセス特性(温度、圧力、重量、プラズマ放射、RFパワーなど)に低周波数変動が現れ、これに堆積の間のプロセス状態、プロセス事象及びチャンバに関する情報が含まれている。堆積プロセスとしては化学気相成長法(CVD)、プラズマ化学気相成長法(プラズマCVD)及び高密度プラズマCVDがあり、プラズマ化学気相成長法(プラズマCVD)及び高密度プラズマCVDは窒化シリコン、ケイ化タングステン、ポリシリコン、高低温材料、III-V又はII-VI半導体、フッ素化シリコン、リン酸トリエチル(TEPO)膜やオルトケイ酸テトラエチル(TEOS)膜、その他の材料の堆積に使用される。堆積プロセスのプロセス状態、プロセス事象及びチャンバに関する情報は、堆積レート、化学反応、高周波電源の動作などをモニタするために利用され、同様に前述のようなチャンバ不良のモニタやチャンバマッチングの目的にも使用される。
【0050】
従って、本発明は発明の好ましい実施形態と関連づけて開示されてきたが、その他の実施形態も、以下の請求に定義される本発明の精神とその範囲に含まれることが理解されるべきである。
【図面の簡単な説明】
【図1】 本発明に基づいて考案されたプロセスモニタリングシステムを使用したプラズマ処理システムの概略図である。
【図2】 図1(a)に記載の、本発明によるプロセスモニタリングシステムによって生成される光放射スペクトル信号の代表的なグラフである。
【図3】(a)は、本発明に基づいてアルミニウムエッチングされる間に発生された、光低周波放射(OLE)データの輪郭図であり、(b)は(a)のOLEデータが得られる元となった光放射スペクトル信号の図であり、(c)は、(b)の光放射スペクトル信号を発生するようにエッチングされた、多層半導体構造の略断面図である。
【図4】(a)は、本発明に基づいてポリシリコンエッチングされる間に発生された、光低周波放射(OLE)データの輪郭図であり、(b)は(a)のOLEデータを作成する元となった光放射スペクトル信号の図であり、(c)は(b)の光放射スペクトル信号を発生するようにエッチングされた、ポリシリコン積層構造の略断面図である。
【図5】 デジタル信号処理(DSP)採用による、図1(a)〜図1(c)に記載の本発明のプロセスモニタリングシステム概略図である。
【図6】 プラズマ処理中のRFパワー変動のモニタ用に改造された、図1(a)〜図1(c)のプラズマ処理システム概略図である。
【図7】(a)は図3(c)に記載の多層半導体構造のプラズマエッチング中に発生する、順方向RFパワー検出信号から得られたデータの輪郭図であり、(b)は(a)が得られる元となった、順方向RFパワー検出信号の図である。
【図8】 本発明に基づいて半導体デバイスを製造するための、自動化手段の平面図である。
【符号の説明】
100…処理システム、102…プラズマエッチングシステム、104…プロセスモニタリングシステム、106…プラズマチャンバ、108…プラズマエッチシステム制御装置、110…レシピ制御ポート、120…検出器、122…プロセッサ。

Claims (37)

  1. RFパワー信号の印加によって発生させたプラズマによるプラズマ処理中の不適正なチャッキングを検出する方法であって、
    前記プラズマの特性を測定して検出信号を生成する工程と、
    前記検出信号を処理して、前記検出信号のうち前記RFパワー信号の周波数よりも低い周波数を有する周波数成分を示す第一信号を生成する工程と、
    前記第一信号の大きさの変化を経時的にモニタする工程と、
    前記モニタされた第一信号に基づいて、前記プラズマ処理に特有の指紋を生成する工程と、
    前記プラズマ処理に特有の指紋に、前記プラズマ処理中の不適正なチャッキングを示す少なくとも1つの特徴があるかどうかを調べる工程と、
    前記少なくとも1つの特徴が存在すれば、前記プラズマ処理中の不適正なチャッキングを検出したと判断する工程と、
    を備える方法。
  2. 前記検出信号を処理する工程は、前記検出信号を処理して、前記検出信号のうち前記RFパワー信号の周波数よりもそれぞれ低い周波数を有する周波数成分を各々が示す複数の前記第一信号を生成し、
    前記モニタする工程は、前記複数の第一信号のそれぞれの大きさをモニタする、請求項1に記載の方法。
  3. 前記周波数成分は、前記プラズマ処理の化学反応レートに関連した周波数を有する請求項1に記載の方法。
  4. 前記プラズマの特性を測定する工程は、検波電流を生成する請求項1に記載の方法。
  5. 前記プラズマの特性を測定する工程は、ウェーハペデスタルの順方向及び反射方向RFパワーの少なくとも1つを測定する、請求項1に記載の方法。
  6. 前記プラズマの特性を測定する工程は、広帯域光電磁放射特性以外の特性を測定することを含む、請求項1に記載の方法。
  7. 前記プラズマの特性を測定する工程は
    前記プラズマ中の化学種からの電磁放射を通す光フィルタリング機構を用意する工程と
    前記光フィルタリング機構を通った電磁放射収集する工程と、
    含む、請求項に記載の方法。
  8. 前記光フィルタリング機構は、グラスフィルタ及びモノクロメーターからなる群から選択される光フィルタリング機構を含んでいる請求項7に記載の方法。
  9. 前記化学種は、BCl,Al,AlCl,Ar,Cl及びSiからなる群から選択される化学種である、請求項7に記載の方法。
  10. 前記周波数成分は、13MHzよりも低い周波数を有する、請求項1に記載の方法。
  11. 前記周波数成分は、50kHzよりも低い周波数を有する、請求項10に記載の方法。
  12. 前記周波数成分は、0〜300Hzの範囲にある周波数を有する、請求項11に記載の方法。
  13. 不良の可能性のあるチャンバ内で不良を検出する方法であって、
    不良のないチャンバ内でのRFパワー信号の印加によって発生させたプラズマによるプラズマ処理中に該プラズマの特性を測定して第一検出信号を生成する工程と、
    前記第一検出信号を処理して、前記第一検出信号のうち前記RFパワー信号の周波数よりも低い周波数を有する周波数成分を示す第一信号を生成する工程と、
    前記第一信号の大きさの変化を経時的にモニタする工程と、
    前記モニタされた第一信号に基づいて、前記不良のないチャンバ内での前記プラズマ処理に特有の指紋を生成する工程と、
    前記不良の可能性のあるチャンバ内でのプラズマ処理中にプラズマの特性を測定して第二検出信号を生成する工程と、
    前記第二検出信号を処理して、前記第二検出信号のうち前記RFパワー信号の周波数よりも低い周波数を有する周波数成分を示す第二信号を生成する工程と、
    前記第二信号の大きさの変化を経時的にモニタする工程と、
    前記モニタされた第二信号に基づいて、前記不良の可能性のあるチャンバ内での前記プラズマ処理に特有の指紋を生成する工程と、
    前記不良のないチャンバ内での前記プラズマ処理に特有の指紋と、前記不良の可能性のあるチャンバ内での前記プラズマ処理に特有の指紋とを比較する工程と
    前記不良のないチャンバ内での前記プラズマ処理に特有の指紋と前記不良の可能性のあるチャンバ内での前記プラズマ処理に特有の指紋とが、予め決めた量よりも大きく異なっている場合、前記不良の可能性のあるチャンバを不良であると判断する、方法
  14. 前記不良のないチャンバと前記不良の可能性のあるチャンバとが同じチャンバである請求項13に記載の方法。
  15. 前記各検出信号を処理する工程は、前記検出信号を処理して、前記検出信号のうち前記RFパワー信号の周波数よりもそれぞれ低い周波数を有する周波数成分を各々が示す複数の前記信号を生成し、
    前記各モニタする工程は、前記複数の信号のそれぞれの大きさをモニタする、請求項13に記載の方法。
  16. 前記各周波数成分は、前記プラズマ処理の化学反応レートに関連した周波数を有する請求項13に記載の方法。
  17. 前記各プラズマの特性を測定する工程は、検波電流を生成する請求項13に記載の方法。
  18. 前記各プラズマの特性を測定する工程は、ウェーハペデスタルの順方向及び反射方向RFパワーの少なくとも1つを測定する、請求項13に記載の方法。
  19. 前記各プラズマの特性を測定する工程は、広帯域光電磁放射特性以外の特性を測定することを含む、請求項13に記載の方法。
  20. 前記各プラズマの特性を測定する工程は、
    前記プラズマ中の化学種からの電磁放射を通す光フィルタリング機構を用意する工程と、
    前記光フィルタリング機構を通った電磁放射を収集する工程と、
    を含む、請求項13に記載の方法。
  21. 前記光フィルタリング機構は、グラスフィルタ及びモノクロメーターからなる群から選択される光フィルタリング機構を含んでいる請求項20に記載の方法。
  22. 前記化学種は、BCl,Al,AlCl,Ar,Cl及びSiからなる群から選択される化学種である、請求項20に記載の方法。
  23. 前記各周波数成分は、13MHzよりも低い周波数を有する、請求項13に記載の方法。
  24. 前記各周波数成分は、50kHzよりも低い周波数を有する、請求項23に記載の方法。
  25. 前記各周波数成分は、0〜300Hzの範囲にある周波数を有する、請求項24に記載の方法。
  26. 第1のチャンバと第2のチャンバとをマッチングする方法であって、
    前記第1のチャンバ内でのRFパワー信号の印加によって発生させたプラズマによるプラズマ処理中に該プラズマの特性を測定して第一検出信号を生成する工程と、
    前記第一検出信号を処理して、前記第一検出信号のうち前記RFパワー信号の周波数よりも低い周波数を有する周波数成分を示す第一信号を生成する工程と、
    前記第一信号の大きさの変化を経時的にモニタする工程と、
    前記モニタされた第一信号に基づいて、前記第1のチャンバ内での前記プラズマ処理に特有の指紋を生成する工程と
    第1のチャンバ内でのプラズマ処理中にプラズマの特性を測定して第二検出信号を生成する工程と、
    前記第二検出信号を処理して、前記第二検出信号のうち前記RFパワー信号の周波数よりも低い周波数を有する周波数成分を示す第二信号を生成する工程と、
    前記第二信号の大きさの変化を経時的にモニタする工程と、
    前記モニタされた第二信号に基づいて、前記第2のチャンバ内でのプラズマ処理に特有の指紋を生成する工程と
    前記第1のチャンバ内での前記プラズマ処理に特有の指紋と、前記第2のチャンバ内での前記プラズマ処理に特有の指紋とを比較する工程と
    前記第1のチャンバ内での前記プラズマ処理に特有の指紋と、前記第2のチャンバ内での前記プラズマ処理に特有の指紋との違いが、予め決めた量よりも少ない場合に、前記第1及び前記第2のチャンバがマッチングしていると判断する、方法
  27. 前記各検出信号を処理する工程は、前記検出信号を処理して、前記検出信号のうち前記RFパワー信号の周波数よりもそれぞれ低い周波数を有する周波数成分を各々が示す複数の前記信号を生成し、
    前記各モニタする工程は、前記複数の信号のそれぞれの大きさをモニタする、請求項26に記載の方法。
  28. 前記各周波数成分は、前記プラズマ処理の化学反応レートに関連した周波数を有する請求項26に記載の方法。
  29. 前記各プラズマの特性を測定する工程は、検波電流を生成する請求項26に記載の方法。
  30. 前記各プラズマの特性を測定する工程は、ウェーハペデスタルの順方向及び反射方向RFパワーの少なくとも1つを測定する、請求項26に記載の方法。
  31. 前記各プラズマの特性を測定する工程は、広帯域光電磁放射特性以外の特性を測定することを含む、請求項26に記載の方法。
  32. 前記各プラズマの特性を測定する工程は、
    前記プラズマ中の化学種からの電磁放射を通す光フィルタリング機構を用意する工程と、
    前記光フィルタリング機構を通った電磁放射を収集する工程と、
    を含む、請求項26に記載の方法。
  33. 前記光フィルタリング機構は、グラスフィルタ及びモノクロメーターからなる群から選択される光フィルタリング機構を含んでいる請求項32に記載の方法。
  34. 前記化学種は、BCl,Al,AlCl,Ar,Cl及びSiからなる群から選択される化学種である、請求項32に記載の方法。
  35. 前記各周波数成分は、13MHzよりも低い周波数を有する、請求項26に記載の方法。
  36. 前記各周波数成分は、50kHzよりも低い周波数を有する、請求項35に記載の方法。
  37. 前記各周波数成分は、0〜300Hzの範囲にある周波数を有する、請求項36に記載の方法。
JP2000106763A 1999-04-07 2000-04-07 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置 Expired - Fee Related JP4456224B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/288041 1999-04-07
US09/288,041 US6455437B1 (en) 1999-04-07 1999-04-07 Method and apparatus for monitoring the process state of a semiconductor device fabrication process

Publications (3)

Publication Number Publication Date
JP2000349076A JP2000349076A (ja) 2000-12-15
JP2000349076A5 JP2000349076A5 (ja) 2007-06-21
JP4456224B2 true JP4456224B2 (ja) 2010-04-28

Family

ID=23105492

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000106763A Expired - Fee Related JP4456224B2 (ja) 1999-04-07 2000-04-07 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置

Country Status (4)

Country Link
US (1) US6455437B1 (ja)
JP (1) JP4456224B2 (ja)
KR (1) KR20000071595A (ja)
TW (1) TW460973B (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952656B1 (en) * 2000-04-28 2005-10-04 Applied Materials, Inc. Wafer fabrication data acquisition and management systems
JP4128339B2 (ja) 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
JP3993396B2 (ja) 2001-03-30 2007-10-17 株式会社東芝 半導体装置の製造方法
US6704691B2 (en) * 2001-07-18 2004-03-09 Promos Technologies, Inc. Method and system for in-line monitoring process performance using measurable equipment signals
JP4460803B2 (ja) * 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US6656848B1 (en) * 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
AU2003220019A1 (en) * 2002-03-20 2003-10-08 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7402257B1 (en) * 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040126906A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
KR100473794B1 (ko) * 2003-07-23 2005-03-14 한국표준과학연구원 플라즈마 전자밀도 측정 및 모니터링 장치
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
KR101312507B1 (ko) * 2006-11-10 2013-10-01 엘아이지에이디피 주식회사 블랙박스가 구비된 플라즈마 처리장치
US7746473B2 (en) * 2007-05-24 2010-06-29 Applied Materials, Inc. Full spectrum adaptive filtering (FSAF) for low open area endpoint detection
US7907260B2 (en) * 2007-06-29 2011-03-15 Lam Research Corporation Collimator arrangements including multiple collimators and implementation methods thereof
US7813895B2 (en) * 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
KR101286240B1 (ko) * 2007-10-23 2013-07-15 삼성전자주식회사 반도체 구조물의 형상을 예정하는 공정 파라 메타의 예측시스템, 상기 공정 파라 메타의 예측 시스템을 가지는반도체 제조 장비 및 그 장비의 사용방법
US20090158265A1 (en) * 2007-12-13 2009-06-18 Matthew Fenton Davis Implementation of advanced endpoint functions within third party software by using a plug-in approach
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
CN102177576B (zh) * 2008-10-08 2013-12-18 应用材料公司 检测处理设备闲置模式的方法及装置
US8101906B2 (en) * 2008-10-08 2012-01-24 Applied Materials, Inc. Method and apparatus for calibrating optical path degradation useful for decoupled plasma nitridation chambers
DE102009039417B4 (de) * 2009-08-31 2015-09-24 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG UV-Strahlungsüberwachung in der Halbleiterverarbeitung unter Anwendung eines temperaturabhänigen Signals
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
CA3000982A1 (en) * 2014-10-10 2016-04-14 Orthobond, Inc. Method for detecting and analzying surface films
US9851389B2 (en) * 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
KR101685087B1 (ko) * 2015-05-14 2016-12-09 임현길 비 접촉식 플라즈마 전계 검출 장치 및 검출 방법
CN106292557B (zh) * 2015-05-22 2018-10-19 中芯国际集成电路制造(上海)有限公司 一种控制机台自动测机的时间间隔约束方法
KR102459432B1 (ko) 2015-06-16 2022-10-27 삼성전자주식회사 기판 제조 설비 및 그의 관리 방법
US20180166301A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system
US10217654B1 (en) * 2018-02-12 2019-02-26 Varian Semiconductor Equipment Associates, Inc. Embedded features for interlocks using additive manufacturing
WO2020092005A1 (en) * 2018-10-30 2020-05-07 Lam Research Corporation Substrate state detection for plasma processing tools
DE102019107295A1 (de) * 2019-03-21 2020-09-24 Aixtron Se Verfahren zur Erfassung eines Zustandes eines CVD-Reaktors unter Produktionsbedingungen
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11670488B2 (en) * 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
KR102476767B1 (ko) 2021-03-17 2022-12-09 피에스케이홀딩스 (주) 플라즈마 감지 장치
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5812261A (en) * 1992-07-08 1998-09-22 Active Impulse Systems, Inc. Method and device for measuring the thickness of opaque and transparent films
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
EP0662241A1 (en) 1993-04-28 1995-07-12 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
KR0152355B1 (ko) * 1994-03-24 1998-12-01 가나이 쓰토무 플라즈마 처리장치 및 처리방법
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
KR0137822B1 (ko) * 1994-11-23 1998-06-01 김주용 반도체 플라즈마 식각 공정의 식각 속도 및 균일도 측정 방법
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
KR980012187A (ko) * 1996-07-29 1998-04-30 김광호 반도체소자 제조장치
JPH10125494A (ja) * 1996-10-25 1998-05-15 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6104487A (en) * 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
JPH10335309A (ja) * 1997-05-29 1998-12-18 Sony Corp プラズマ処理システム
JP2001516963A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
CN1186476C (zh) * 1997-09-17 2005-01-26 东京电子株式会社 检测并防止射频等离子体系统中电弧放电的装置和方法
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process

Also Published As

Publication number Publication date
KR20000071595A (ko) 2000-11-25
US6455437B1 (en) 2002-09-24
JP2000349076A (ja) 2000-12-15
TW460973B (en) 2001-10-21

Similar Documents

Publication Publication Date Title
JP4456224B2 (ja) 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置
US6745095B1 (en) Detection of process endpoint through monitoring fluctuation of output data
US6368975B1 (en) Method and apparatus for monitoring a process by employing principal component analysis
US7201174B2 (en) Processing apparatus and cleaning method
US10002804B2 (en) Method of endpoint detection of plasma etching process using multivariate analysis
US6815228B2 (en) Film thickness measuring method of member to be processed using emission spectroscopy and processing method of the member using the measuring method
EP0735565B1 (en) Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US6395563B1 (en) Device for manufacturing semiconductor device and method of manufacturing the same
KR20010075467A (ko) 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치
US6919279B1 (en) Endpoint detection for high density plasma (HDP) processes
JPH0936102A (ja) チャンバー内の堆積物のモニター方法,プラズマ加工方法,ドライクリーニング方法及び半導体製造装置
US20040262260A1 (en) Method and system for processing multi-layer films
JP2000357679A (ja) エッチング終点検出方法
JP2004235349A (ja) プラズマ処理装置および処理方法
JP4018829B2 (ja) 半導体装置の製造方法
US20240203713A1 (en) In-situ diagnosis of plasma system
JPH11238723A (ja) プラズマ処理のモニタリング方法及び装置
Barna et al. In Situ Metrology
JP2001110806A (ja) 半導体装置の製造方法及びその製造装置
KR20030087804A (ko) 반도체 애싱설비의 스트립불량 감지장치 및 그 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070327

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100119

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100205

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140212

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees