JP2000349076A - 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置 - Google Patents

半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置

Info

Publication number
JP2000349076A
JP2000349076A JP2000106763A JP2000106763A JP2000349076A JP 2000349076 A JP2000349076 A JP 2000349076A JP 2000106763 A JP2000106763 A JP 2000106763A JP 2000106763 A JP2000106763 A JP 2000106763A JP 2000349076 A JP2000349076 A JP 2000349076A
Authority
JP
Japan
Prior art keywords
plasma
detection signal
chamber
frequency component
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000106763A
Other languages
English (en)
Other versions
JP2000349076A5 (ja
JP4456224B2 (ja
Inventor
David Jedd
ダヴィドー ジェッド
Safatei Mooshu
サファティ モーシュ
Rinbaropourosu Dimitris
リンバロポウロス ディミトリス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2000349076A publication Critical patent/JP2000349076A/ja
Publication of JP2000349076A5 publication Critical patent/JP2000349076A5/ja
Application granted granted Critical
Publication of JP4456224B2 publication Critical patent/JP4456224B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】プラズマ特性を用いてプロセス状態をモニタす
るための方法と装置が提供される。 【解決手段】プラズマで発生する電磁放射を収集し、電
磁放射の強度に基づき少なくとも1つの周波数成分を有
する検出信号が発生され、ウェーハペデスタルに与えら
れるRFパワーがモニタされ、検出信号となる。検出信
号の少なくとも1つの周波数成分の大きさが経時的にモ
ニタされプラズマ処理の特有な指紋が得られる。この特
徴によって、プロセス状態情報、プロセス事象情報及び
プロセスチャンバ情報が与えられる。一般に、反応レー
トによって変化する特性を有するいかなる化学反応も、
同様にモニタすることができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は半導体デバイスの
製造、特に半導体デバイス製造プロセスの処理状況をモ
ニタするための方法及び装置に関する。
【0002】
【従来の技術】半導体産業においては、プロセス再現性
の向上及びプロセス制御の向上が常に必要とされる。例
えば、典型的なメタル層−メタル層の配線を形成する
際、第1のメタル層上に絶縁層を堆積し、この絶縁層に
バイアホールをエッチングして第2のメタル層を露出
し、バイアホールをメタルで充填し、このメタルプラグ
の上から第2のメタル層を堆積する(例えば、第一メタ
ル層と第二メタル層間の配線の形成)。配線の接触抵抗
を低くするため、バイアホールにメタルプラグを形成す
る前に、バイアホール内の絶縁物質を第一メタル層表面
から全てエッチングしなければならない。そうしない
と、バイアホール内に残った高抵抗の絶縁物質が配線の
接触抵抗を著しく低下させてしまう。同様なプロセス制
御が、メタル層(Al、Cu、Ptなど)のエッチン
グ、ポリシリコン層のエッチングなどにおいて必要とさ
れる。
【0003】従来のモニタリング技術では、ある材料の
層が完全にエッチングされたとき(終点など)の大雑把
な見積もりしか提供することができない。従って、材料
層の厚さの変化や(デバイスの変化など)、材料層のエ
ッチングレートの変化(プロセスやプロセスチャンバの
変化など)に適応させるため、材料層エッチングの予測
時間よりも長くエッチング処理が続けられる(オーバエ
ッチング時間)。オーバエッチング時間でエッチングす
ることによって、エッチング時間が多く必要となるよう
なデバイスの変化や、エッチングレートを低下させるよ
うなプロセスの変化やプロセスチャンバの変化(これに
よって、必要なエッチング時間が増加する)があって
も、除去されるべきすべての物質が確実に除去される。
【0004】
【発明が解決しようとする課題】オーバエッチングは完
全なエッチングを保証する一方、各半導体ウェーハの処
理に必要な時間を増大させる。これによってウェーハの
スループットが低下する。更に、高性能集積回路用のド
ライブでは、各世代の半導体デバイスがより精細な寸法
交差を有することが必要とされ、オーバエッチングは一
層望まれなくなっている。より興味深い解決策としてモ
ニタリング技術があり、デバイスやプロセス、プロセス
チャンバが変化する原因(例えば、チャンバの障害、不
適当な化学反応、不適当なエッチングレートなど)を特
定し、終点などの処理中の事象をより正確に特定する。
しかしながら、従来のモニタリング技術には以下の2つ
の役割を併せ持ち、充分な情報を提供する技術はなかっ
た。即ち、プロセスの有害な変化やプロセスチャンバの
有害な変化を特定する診断ツールとしての役割と、プロ
セスやプロセスチャンバの変化及びデバイスの変化(例
えば、材料層の変化やエッチング特性の変化など)双方
の補正に必要とされるオーバエッチングやその他の超過
処理時間を短縮するに充分な、正確なプロセス進行の追
跡を行うプロセス制御デバイスとしての役割である。従
って、半導体デバイス製造工程をモニタする方法と装置
の改善が必要とされる。
【0005】
【課題を解決するための手段】本発明の発明者らは、プ
ラズマ処理中に、ある種のプラズマ「特性値」が低周波
の変動を示し、この変動の中にプラズマ処理及びプラズ
マチャンバの重要な情報が含まれることを見出した。プ
ラズマの電磁放射やウェーハペデスタルに与えられるR
Fパワーなどのプラズマ特性値がそうである。例えば、
プラズマ電磁放射の強度の変動には(以降、「プラズマ
放射変動」)大きく3つに分類できる情報が含まれてい
ることが分かっている。即ち、(1)プラズマエッチン
グレート、RFパワー、ウェーハ損傷、ウェーハ温度、
エッチング均一性、プラズマ化学反応などの処理状態の
情報、(2)特定の材料が過剰にエッチングされたり又
はなくなったり(即ちブレークスルー)、ウェーハが誤
って保持されていたり(不適当な"チャッキング")する
などの、プロセス事象の情報、及び(3)ウェーハチャ
ンバに不良がないか、チャンバの操作が同じチャンバの
以前の操作、又は別のチャンバの操作と同様であるか
(チャンバのマッチング)などの、プロセスチャンバの
情報である。同様の情報が、プラズマ処理中のウェーハ
ペデスタルに与えられるRFパワーの変動の中にも見出
されている。
【0006】プラズマ放射変動をモニタするために、プ
ラズマによって発生した電磁放射が収集され、収集され
た電磁放射の強度を基に少なくとも1つの周波数成分
(これらの強度と関連した大きさを有する)を有する検
出信号を発生させる。このとき、検出信号のうち、少な
くとも1つの周波数成分の大きさが経時的にモニタされ
る。好ましくは、プラズマ発生に使用されるRF周波数
(例えば13.56MHz)よりも低く、最も好ましく
は約50kHzよりも低い周波数を有する周波数成分が
経時的にモニタされる。収集された電磁放射は、好まし
くは約200nm〜1100nmの範囲内の波長(広帯
域光電磁放射)を持っているが、他の波長範囲も使用で
きる。プラズマ処理と関連した特定の化学種の電磁放射
(例えばアルミニウムのエッチングプロセスではAl、
AlCl、又はBClなど)もモニタされる。
【0007】RFパワーの変動をモニタするために、プ
ラズマ処理中のウェーハペデスタルに与えられるRFパ
ワー(例えば順方向及び/又は反射)がモニタされ、こ
れが「検波」信号としての役割を果たす。このとき、検
出信号のうち、少なくとも1つの周波数成分の大きさが
経時的にモニタされる。好ましくは、プラズマを発生す
るために使用されるRF周波数よりも低い周波数、最も
好ましくは約50kHzよりも低い周波数をもつ周波数
成分が経時的にモニタされる。
【0008】プラズマ放射変動検出信号又はRFパワー
変動検出信号の、少なくとも1つの周波数成分の大きさ
を経時的にモニタすることによって、プラズマ処理特有
の指紋が得られる。本発明者らは、ある特有な指紋の周
波数の特徴(例えば周波数成分の大きさ)及びその時間
による位置(「時間位置」)が、プロセス状態の情報、
プロセス事象の情報及びプロセスチャンバの情報を与え
ることを発見した。これらの特徴は、プラズマ処理が行
われた後、或いは行われている最中にモニタされ、リア
ルタイムの処理状態制御を可能とする。一般に、反応レ
ートと共に変化するなんらかの特性を有する化学反応
は、同様にしてモニタすることができる(プラズマ使用
の有無や、半導体デバイス製造に関するかどうかに関わ
らず)。
【0009】
【発明の実施の形態】図1(a)は処理システム100
の概略図であり、従来のプラズマエッチングシステム1
02、及び本発明に基づいて、これに連結された本発明
のプロセスモニタリングシステム104を含んでいる。
ここで使用される「連結」は、操作を行えるように直接
又は間接に連結していることを意味する。
【0010】従来のプラズマエッチングシステム102
にはプラズマチャンバ106及び、処方制御ポート11
0と第1制御バス112を介してプラズマチャンバ10
6と連結しているプラズマエッチシステム制御装置10
8が含まれる。便宜上、プラズマチャンバ106とプラ
ズマエッチシステム制御装置108の間には1つのイン
タフェースしか示されていないが(例えば処方制御ポー
ト110)、一般にプラズマエッチシステム制御装置1
08はさまざまなマスフロー制御装置、高周波電源、温
度制御装置などに接続され、複数のインタフェースを介
してプラズマチャンバ106と関連付けられる(図示せ
ず)。
【0011】プラズマチャンバ106には、プラズマチ
ャンバ106内に維持されているプラズマ118(以下
に説明)から、電磁放射(例えば、図1(a)〜1
(c)に116として一般的に示される、主に光波長範
囲が約200nm〜1100nmの放射)を放出するた
めの覗窓114が含まれている。覗窓114はプラズマ
チャンバ106の側面に位置するように示されている
が、覗窓114は他のどんな位置にも置けると考えられ
る(例えば、チャンバ106の上面や底面)。
【0012】本発明のプロセスモニタリングシステム1
04は、処理機構(例えば処理装置122)に連結され
た検出器120を有している。検出器120はプラズマ
118からの電磁放出116を収集できる位置に取りつ
けられ、好ましくはシリコンフォトダイオードなどの広
帯域フォトダオードを有している。もう1つの方法とし
て検出器120は、以下に説明する特定の化学種からの
電磁放射を検出するために、光電子倍増管128に連結
したモノクロメータ126を含むことができる(図1
(b))。検出器120が電磁放射116を効率的に収
集するように、覗窓114と検出器120の間にレンズ
130及び光ファイバケーブル132を配置することも
できる(図1(c))。例えば電磁放射116がレンズ
130を通して光ファイバケーブル132にカップリン
グされ、光ファイバケーブル132を通して検出器12
0に送られることにより、電磁放射が効率的に収集され
る。その他、プラズマ118からの電磁放射を収集する
ために選択できる構成として、フォトダイオードの配列
などを使用することができる。このフォトダイオードの
配列では、各フォトダイオードが異なる波長又は異なる
波長スペクトルをモニタする。必要に応じてダイオード
配列に光ファイバケーブルの束を連結することができ、
束中の各光ファイバケーブルは1つのフォトダイオード
に連結され、電磁放射を提供する。同様に回折格子、プ
リズム、光ファイバ(グラスファイバなど)、及びその
他の波長選択デバイスをモノクロメータ126の位置に
使用することができる。処理装置122はプラズマエッ
チシステム制御装置108に第2制御バス134を介し
て連結される。
【0013】操作ではユーザ136(例えばウェーハ製
造プロセス担当者)がプラズマエッチシステム制御装置
108に指示セットを提供して(第3制御バス138を
介す)、プラズマチャンバ106内にプラズマ118を
発生させる(即ち、プラズマ処方)。又はプロセシング
システム100、製造実施システム、或いはその他の製
造制御システムを含む、製造プロセスを動かすためのリ
モートコンピュータシステムによって、プラズマ処方
(例えばユーザ106から与えられた、又はプラズマ処
方内のデータベースに格納されたとおりの処方)をプラ
ズマエッチシステム制御装置108に供給することもで
きる。典型的なプラズマ処方には、プラズマ処理中プラ
ズマチャンバ106内のプラズマ118を維持するため
の処理パラメータ(圧力、温度、電圧、ガスの種類、ガ
ス流速その他)が含まれる。例えば、プラズマチャンバ
106でアルミニウムエッチングを行うために、典型的
なプラズマ処方は少なくとも以下のパラメータを含んで
いる。即ち、望ましいチャンバ圧力、望ましいプロセス
温度、望ましいRFパワーレベル、望ましいウェーハバ
イアス、望ましいプロセスガス流速(例えば、Ar、B
Cl3、Cl2などのプロセスガスの望ましいガス流速)
などである。プラズマエッチシステム制御装置108が
ユーザ136、リモートコンピュータシステム、製造実
施システムなどからプラズマ処方を受け取ると、プラズ
マ処方は第1制御バス112を通って処方制御ポート1
10に供給される。処方制御ポート110(又は、プラ
ズマエッチシステム制御装置108そのもの)はプラズ
マ処方に指定された処理パラメータを確立し、チャンバ
106内にこれを維持する。
【0014】プラズマチャンバ106内でプラズマ処理
を行う間、紫外線波長と赤外線波長も共に生じるが、プ
ラズマ118は主に光スペクトルの波長(例えば約20
0nm〜1100 nm)を有する電磁放射を生じる。
これら電磁放射の一部分(例えば、電磁放射116)は
覗窓114を通って、本発明のプロセスモニタリングシ
ステム104に至る。図1(a)〜図1(c)では、電
磁放射116を3つの放射波長として一般的に示すが、
電磁放射116は通常もっと多くの波長を有しているも
のと理解されるよう注意する。
【0015】図1(a)及び図1(c)を参照すると、
検出器120は電磁放射116を直接(図1(a))、
又はレンズ130及び光ファイバケーブル132を通し
て間接的に(図1(c))受け取る。検出器120がシ
リコンフォトダイオードであれば、検出器120は20
0nm〜1100nmの広帯域で電磁放射の強度を検出
し(以降、「光放射スペクトル(OES)」と呼ぶ)、
これに応じて、検出器120は検出された電磁放射の強
度に釣り合った検出信号を生成する(光放射電流信号又
はOE信号)。次に検出器120はOE信号を出力し、
OE信号は適切に増幅されて(示されていない前置増幅
器などを通して)次の処理のため処理装置112に供給
される。処理装置122によって行われる特定の処理の
種類は、好ましくはユーザ136によって(又はリモー
トコンピュータシステムや製造実施システムなどによっ
て)第4制御バス140を通じて選択される。このよう
に本発明に従って、プラズマ118の特性(例えば電磁
放射)が検出器120を通じて測定され、検出信号(例
えばOE信号)が検出器120によって生成される。以
下に説明するように、処理装置122は検出信号におけ
る少なくとも1つの周波数成分の大きさを経時的にモニ
タする。
【0016】図2は検出器120から出力されたOE信
号200の代表的なグラフである。本発明によれば、O
E信号200の大きさの変化を全て経時的に調べる従来
法のかわりに(例えば、I1〜I2の強度変化のような)、
処理装置122はプラズマ放射変動によって引き起こさ
れる局所的なOE信号の周波数変化(周波数成分の大き
さの変化など)を経時的に調べる(例えば、図2で拡大
表示されたOE信号200の一部分202)。具体的に
は、OE信号200は処理装置122によって定期的に
サンプリングされ、連続して収集されたサンプルは定期
的にタイムドメインから周波数ドメインに変換される
(例えば、高速フーリエ変換や類似の方法によって)。
例えば、OE信号200が10kHzのサンプリングレ
ートでサンプリングされる場合(0.0001秒に1回
のサンプリングが行われる)、処理装置122によるタ
イムドメインから周波数ドメインへの変換は、好ましく
は0.1秒に1回行われる。この方法によって、100
0個のサンプルからなるOE信号200の収集を定期的
にタイムドメインから周波数ドメインへ変換し、5kH
zまでのOE信号周波数成分を0.1秒の間隔で経時的
モニタすることが可能である。OE信号中の直流バイア
スを取り除くため、1000サンプル収集中の各サンプ
ルが、1000サンプル収集のアンダエリアで正規化さ
れることに注意する。
【0017】その他のサンプリングレートやサンプル収
集の大きさも同様に、タイムドメインから周波数ドメイ
ンへの変換に使用できることが理解されるべきである。
しかしながら、本発明者らはOE信号の低周波成分を経
時的にモニタしたときに、OE信号が重要なプロセス状
態、プロセス事象及びプロセスチャンバの情報(以下に
説明)を与えることを見出している(光低周波放射(O
LE)データ)。モニタする好ましい低周波成分範囲
は、プラズマ処理を開始するために使用されるRF周波
数(例えば13.56MHz)よりも低い範囲であり、
最も好ましくは、約50kHzよりも低い範囲である。
約0Hz〜300Hzの周波数をもつOE信号の低周波
成分には、以下に図3(a)〜図3(b)を参照して説
明するように、最も重要な情報が含まれていると見られ
る。
【0018】図3(a)は多層半導体構造304(図3
(c))のプラズマエッチング中に生成されるOE信号
302(図3(b))から得られたOLEデータ300
の輪郭グラフである。図3(a)の影をつけた部分は大
きさが大きいことを示しており、OLEデータ300は
上述のようにして正規化されている。多層半導体構造3
04は、シリコン(Si)基板308上に堆積されたチ
タン(Ti)層306(厚さ約200オングストロー
ム)、チタン層306上に堆積された窒化チタン(Ti
N)層310(厚さ約800オングストローム)、窒化
チタン層310上に堆積されたアルミニウム(Al)層
312(厚さ約5000オングストローム)、及びアル
ミニウム層312上に堆積された窒化チタン反射防止膜
(TiNARC)314(厚さ約200オングストロー
ム)からなっている(図3(c))。
【0019】OLEデータ300及びOE信号302を
得るため多層半導体構造304がプラズマチャンバ10
6に置かれ、この技術ではよく知られる例えばAr、C
l、BCl3などを使用してプラズマ118が生成され
る。約200nm〜1100nmの波長を有する電磁放
射が覗窓114を通過して検出器120(例えばシリコ
ンフォトダイオード)によって集められ、検出器120
がOE信号302を発生する。OE信号は適正に増幅さ
れ、処理装置122に送られる。この後OE信号302
は10kHzのサンプリングレートで周期的にサンプリ
ングされ、0.1秒ごとに高速フーリエ変換が行われて
OLEデータ300が作られる。
【0020】生のOE信号302とは異なり、OLEデ
ータ300は多層半導体構造304のエッチング中に起
こるプロセス事象に関する詳細な情報を与える。例えば
よく知られるように、多くのプラズマ処理ではウェーハ
を静電気でウェーハペデスタルに固定する(静電チャッ
ク)。チャッキングが正しく行われていないと、処理中
にウェーハが振動し、ウェーハの損傷やプラズマチャン
バ内の汚染の原因となる可能性が生じる。しかしなが
ら、不適正なチャッキングは検出が困難である。
【0021】図3(a)を参照すると、OLEデータ3
00はチャッキングが正しいか不適正かを簡単に特定す
る。例えば、時間t1〜t2の間にプラズマチャンバ10
6内でプラズマ118が生成し、時間t2において多層
半導体構造304のエッチングが開始される。時間t2
以降、OLEデータがはっきりと現れている。OLEデ
ータは、プラズマ処理において適正なチャッキングが行
われている間のみ現れる。従って、OLEデータが存在
すればチャッキングが適正であることがはっきりと認め
られ、また、これがなくなればチャッキングが不適正で
あることがはっきりと特定される。
【0022】時間t2において多層半導体構造304の
チャッキングは完了し、プラズマエッチング処理が開始
される。時間t1〜t2の間に窒化チタン反射防止膜31
4がエッチングされる。OLEデータ300からわかる
ように、TiN ARCエッチング処理は主に約150
Hz〜250Hzに集中した周波数成分によってはっき
り認められる。しかし、時間t3近くで窒化チタン反射
防止膜314がなくなり始めるにつれ、約200Hzよ
りも高い周波数成分が急速に減少し、窒化チタン反射防
止膜314のエッチングとアルミニウム層312のエッ
チングとの境界がはっきりと現れる。
【0023】時間t3〜t4の間、アルミニウム層312
のエッチングが主に約100Hz〜175Hzに集中し
た周波数成分によってはっきり認められる。しかし、時
間t 4近くでアルミニウム層312がなくなり始めるに
つれ、約175 Hzよりも高い周波数成分が急速に減
少し、時間t4で急に転移が起こる。時間t4〜t5の間
は、図3(a)に見られる一連の周波数成分の特徴によ
って窒化チタン層310がはっきりと認められる。時間
5近くで窒化チタン層310がなくなり始めるにつ
れ、OLEデータ300中の周波数成分の大きさが急速
に減少し、時間t5においてはもはや検出されない。同
様に時間t5〜t6の間、チタン層306のエッチングが
周波数成分の存在(約10 Hz未満の周波数をもつ)
によってはっきり認められ、その周波数成分はチタン層
306の消失につれて急速に大きさが減少する。
【0024】OE信号302と異なり、OLEデータ3
00はエッチングにおける1つの材料層の終わりと他の
材料層の始まりとにはっきりした特徴を示す(即ち、ブ
レークスルー)。このようにOLEデータ300を容易
に解析して、ブレークスルーの特定、ブレークスルーに
おけるエッチング処理の停止(即ち、ストップオンエッ
チング)、又は終点検出などが可能である。OLEデー
タ300はまた、プラズマのプロセス状態についての情
報(例えばRFパワー、エッチングレート、ウェーハ損
傷、ウェーハ温度、エッチングの均一性、プラズマ化学
反応など)、及びプロセスチャンバについての情報(例
えばウェーハチャンバの不良の有無、別のチャンバとの
マッチングなど)をプラズマ処理の"指紋"によって提供
する。
【0025】プロセス状態の情報に関しては、異なる材
料層によってエッチング中の周波数成分の特徴に違いが
現れ、また1つの層が消失して別の層がエッチングされ
る間に急な転移が現れることから、OLEデータ300
から各材料層のエッチングレートを容易に識別できる。
そこで、エッチングレートをRFパワー、ウェーハ損
傷、ウェーハ温度、エッチング均一性、プラズマ化学反
応などのプラズマパラメータと関連付けることが可能で
ある。これに加え、処理パラメータや処理条件を変化さ
せてOLEデータ300中の特徴の形と位置がどのよう
に変化するかを調べて得られる情報と同様の情報を、O
LEデータ300中の様々な特徴の形と位置から得るこ
とができる。
【0026】プロセスチャンバ情報に関しては、プラズ
マチャンバ160が正常に運転していることが既知のと
きに採ったプラズマプロセスのOLEデータ指紋が、プ
ロセスチャンバの「校正」指紋の役割を果たす。その
後、引き続く処理操作における指紋を定期的にこのプロ
セスの校正指紋と比較する。続く指紋の中のドリフト、
特徴の広がり、ノイズレベルほか同様の変化を定量して
プラズマチャンバ106の調子を示す指標として使用し
たり、チャンバの不良を発見することができる(例え
ば、各チャンバの不良に起因する独特なOLEデータの
特徴によって)。例えば、チャンバの清掃やメンテナン
ス作業の後にOLEデータ指紋を測定して、チャンバの
校正指紋と比較することにより、清掃/メンテナンス作
業後のチャンバの適正な機能が保証される。チャンバマ
ッチングの目的や、1つのチャンバを別のチャンバの指
紋と一致するように調整又は均等化するために、2つの
異なるチャンバの指紋を比較してもよい。
【0027】OLEデータ300の解析は手動(例えば
ユーザ136によって)或いは自動(例えば処理装置1
22によって)で、必要に応じて、処理ごと又はロット
ごとに行われる。好ましくは、処理中に処理パラメータ
を調整できるように、解析はOLEデータを収集しなが
ら行われる(リアルタイム)。図1(a)〜図1(c)
を参照すれば、以下の内容がユーザ136、製造プロセ
スを実施するためのリモートコンピュータシステム、製
造実施システムなどによって指定される。即ち処理装置
122が特定すべきプロセス事象(不適正なチャッキン
グ、ブレークスルー、終点など)、これに対応してプラ
ズマエッチングシステム102に第2制御バス134を
通して警告を送るべきか否か(例えば、プラズマチャン
バ106内のプラズマ処理を停止するなど)、必要とさ
れるプロセス状態の情報(例えば、エッチングレート、
RFパワー、ウェーハ損傷、ウェーハ温度、エッチング
均一性、プラズマ化学反応など)、リアルタイムプロセ
ス制御が使用されるべきかどうか、必要とされるプロセ
スチャンバ情報(例えば、チャンバ不良情報、チャンバ
マッチング情報など)及びチャンバ不良が検出された場
合プラズマチャンバ106内のプラズマ処理を停止する
かどうか、が指定される。
【0028】広い波長域(例えば200nm〜1100
nm)の電磁放射をモニタすることの効用に加え、本発
明者らはあるプラズマ放射波長又はプラズマ「ライン」
もまた、OLEデータ300に類似のOLEデータの特
徴を示すこと発見した。適当なプラズマラインに図1
(b)のモノクロメータ126を合わせるか、或いは適
切な間隔の回折格子、光学フィルタ、プリズムなどを使
用して単一プラズマラインの測定を行い、その線のOE
信号を発生させる。そして、モニタされたプラズマライ
ンのOE信号を前述の方法でOLEデータに変換する。
【0029】典型的なアルミニウムエッチング工程中の
Ar、Cl、Al、AlCl及びBClのプラズマライ
ンを下の表1に挙げる。
【0030】
【表1】
【0031】表1に挙げられた各プラズマラインのOL
Eデータ(示されない)の解析によって、アルミニウム
エッチング中のプラズマ全体にプラズマ放射変動が見ら
れるのではなく、特定の化学種のみにプラズマ放射変動
が見られることが示された。より具体的には、広い波長
域のOLEデータ(OLEデータ300)に、Ar及び
ClのプラズマラインのOLE特徴は全く観察されなか
ったが、Al、AlCl及びBClのプラズマラインは
全てOLEデータ300に見られる特徴と類似の特徴を
示した。従って、OLEデータの特徴は反応生成物(A
l、AlCl及びBCl)によって現れるのであり、プ
ラズマ処理における化学反応の理解のためにOLEデー
タが非常に役立つことがわかる。
【0032】ここに説明された本発明のプラズマモニタ
リング技術は、シリコン酸化膜エッチング工程、プラチ
ナエッチング工程、ポリシリコンエッチング工程、シリ
コンエッチング工程など、他のプラズマ処理においても
使用することができる。例えば図4(a)には、ポリシ
リコン積層構造404(図4(c))のプラズマエッチ
ング中に生成されるOE信号402(図4(b))から
求められたOLEデータ400の輪郭グラフが示されて
いる。ポリシリコン積層構造404は、シリコン(S
i)基板408上に堆積された厚いシリコン酸化層40
6、シリコン酸化層406上に堆積されたポリシリコン
層410(厚さ約2000オングストローム)、ポリシ
リコン層410上に堆積されたケイ化タングステン(W
Six)層412(厚さ約1000オングストロー
ム)、及びケイ化タングステン(WSi)層412上に
堆積された窒化シリコン層414(厚さ約2000オン
グストローム)からなっている。
【0033】OLEデータ400及びOE信号402を
得るには、ポリシリコン積層構造404をプラズマチャ
ンバ106内に置き、この技術としてはよく知られる塩
素ベースのポリシリコンエッチング化学反応などのポリ
シリコンエッチング化学反応を用いてプラズマ118を
発生させる。次にプラズマ118からの電磁放射が収集
され、検出器120によってOE信号402が生成され
る。OE信号402は前述のように処理されて、OLE
データ400を生成する。
【0034】図4(a)を参照すると、時間t1〜t2
間、窒化シリコン層414及びケイ化タングステン層4
12がエッチングされる。この時間内ではOLEデータ
400に信号は観察されないことに注意する。しかし、
他の処理条件(ポリシリコンエッチングに最適化されて
いない条件など)では、窒化シリコン層及びケイ化タン
グステン層のエッチング中にOLEデータが観察される
ことがある。この後、時間t2〜t3の間ポリシリコン層
410がエッチングされ、はっきりしたOLEデータの
パターンを生じる。時間t3においてポリシリコン層4
10がなくなり始め、時間t4まで消失し続ける。時間
4以後、シリコン酸化層406がエッチングされOL
Eデータ400に信号は観察されない。他の処理条件
(ポリシリコンエッチングに最適化されていない条件な
ど)では、シリコン酸化膜のエッチング中(或いは、こ
こに示されていない他の物質のエッチング中)にOLE
データが観察されることがある。
【0035】図5は図1(a)〜図1(c)に示された
本発明のプロセスモニタリングシステム104の概略図
であり、専用デジタル信号処理装置(DSP)501が
採用されている。DSP501は、好ましくは処理装置
122よりも極めて速い速度でOE信号サンプルをタイ
ムドメインから周波数ドメインへ変換(前述)するよう
にプログラムされており、またその結果の周波数成分を
解析するために処理装置122へ供給するようにログラ
ムされている。この方法によって、必要に応じリアルタ
イムで処理パラメータを調整できるだけの高速で、OL
Eデータの解析が行われる。
【0036】プラズマ放射変動のモニタリングに加え本
発明者らは、プラズマ処理中にプラズマチャンバのウェ
ーハペデスタルに与えられるRFパワーなど他のプラズ
マ処理の特性にも、プロセス状態、プロセス事象及びプ
ロセスチャンバの情報が含まれていることを見出した。
図6は処理システム100の概略図であり、ここで本発
明のプロセスモニタリングシステム104は、プラズマ
放射変動ではなく、プラズマ処理中のRFパワーの変動
をモニタするように改造されている。特に本発明のプロ
セスモニタリングシステム104内に検出器120は見
られず、プラズマチャンバ106に与えられたRFパワ
ーを代表する信号は処理装置122へ供給される。RF
パワー信号は、プラズマエッチングシステム102の高
周波ウェーハバイアス電源602から第5制御バス60
4を通って供給される。必要に応じてRFパワー信号と
共にOE信号を処理装置122に供給するため、検出器
120が使用される場合もあることは理解されよう。
【0037】図6に示されるように、高周波ウェーハバ
イアス電源602は処方制御ポート110と(所望のプ
ラズマ処方に必要とされるRFパワーレベルを受け取る
ため)、プラズマチャンバ106内に置かれたウェーハ
ペデスタル606に連結される。図ではウェーハペデス
タル606上に半導体ウェーハ108が設置されてい
る。この技術ではよく知られるように、プラズマ118
の発生のために誘導結合プラズマ源が使用された場合、
通常高周波電源(図示せず)によってプラズマチャンバ
106の外に配置された高周波アンテナ(図示せず)が
駆動され、ウェーハバイアスが必要の場合は別の高周波
ウェーハバイアス電源(高周波ウェーハバイアス電源6
02)によってウェーハバイアスが与えられる。ところ
が容量性結合プラズマ源が使用された場合は、プラズマ
チャンバ106内に第2電極(図示せず)が置かれ、1
つの高周波電源(高周波ウェーハバイアス電源602)
がウェーハペデスタル606と第2電極の両方に電圧を
与える。
【0038】高周波ウェーハバイアス電源602は、電
源背面のアナログ入力/出力インタフェース610など
のような、電源602によって与えられたRFパワーを
制御及びモニタするのためのデータポートを有してい
る。例えばインタフェース610は、高周波電源602
からウェーハペデスタル606に与えられた電圧(即ち
順方向電圧)を表す大きさ、及びウェーハペデスタル6
06から反射して高周波電源602へ戻る電圧(即ち反
射電圧)を表す大きさに調整された0〜10Vのリニア
なDC電圧を与える。或いは順方向及び反射電圧信号
は、任意の大きさに調節された他のアナログ又はデジタ
ル形式によって表される。これら順方向及び反射電圧信
号はRFパワーの「検出」信号として第5制御バス60
4を通って処理装置122へ供給され、以下に説明する
ように、1つ又は両方のRFパワー検出信号の、少なく
とも1つの周波数成分の大きさを経時的にモニタするこ
とによって、プロセス状態、プロセス事象及び/又はプ
ロセスチャンバの情報が提供される。電源602からの
典型的なRFパワー検出信号のデータフローレートは、
約9600Hzである(前述されたプラズマ放射変動の
約10倍の速さ)。一般に、処理システム100内の構
成要素間を伝えられる信号は、処理バスを通るか通らな
いかに関わらず、アナログ形式又はデジタル形式で伝え
られる。例えば、アナログ信号は必要に応じてA/D変
換器を通してデジタル化され、RS−232インタフェ
ースやパラレルインターフェースなどを通して転送され
る。
【0039】プラズマ放射変動と同様、処理装置122
はRFパワー検出信号中の局所的な周波数変化を経時的
に検査する。具体的には、RFパワー検出信号は処理装
置122によって定期的にサンプリングされ、連続した
サンプルの収集を前述のようにタイムドメインから周波
数ドメインへ定期的に変換する(高速フーリエ変換や類
似の方法によって)。図5のDSP501が処理装置1
22と共に使用され、RFパワー検出信号に基づいたリ
アルタイムの処理パラメータ調整が行われる。
【0040】図7(a)は、図3(c)の多層半導体構
造304をプラズマエッチングする間に高周波ウェーハ
バイアス電源602のインタフェース610によって発
生した、順方向RFパワー検出信号702(図7
(b))から得られたデータ700の輪郭グラフであ
る。図7(a)の影の部分は大きさが大きいことを示
す。エッチング中は、図3(a)〜図3(c)を参照し
て説明されたのと同じ処理条件が使用された。図3
(a)〜図3(b)の、およそt3〜t4の時間に対応し
たデータのみが図7(a)〜図7(b)に示されてい
る。
【0041】図7(a)のデータ700から、高周波ウ
ェーハバイアス電源602からの順方向RFパワー検出
信号702に、図3(a)のOLEデータ300と同じ
低周波数成分の記号が含まれていることが明らかであ
る。時間t3〜t4の間は低周波数成分が現れているが、
時間t4を過ぎると急に減少し、時間t4付近でアルミニ
ウム層312が消失することを示している。外部プラズ
マアンテナ用の順方向及び反射RFパワー信号が解析さ
れ、低周波数成分の変動を含まないと認められたことに
注意する。このことは、OLEデータの特徴がプラズマ
全体ではなく反応生成物に起因して現れるという観察と
一致する。
【0042】図8は半導体デバイスを製造する自動化手
段800の平面図である。手段800はロードロック8
02a、802b、及びウェーハハンドラ806を含む
ウェーハハンドラチャンバ804を有している。ウェー
ハハンドラチャンバ804及びウェーハハンドラ806
は複数の処理チャンバ808、810に連結されてい
る。最も重要な点として、ウェーハハンドラチャンバ8
04及びウェーハハンドラ806は図1(a)〜図1
(c)又は図6に示された処理システム100のプラズ
マチャンバ106に連結されている。プラズマチャンバ
106には、本発明のプロセスモニタリングシステム1
04が連結されている(示されない)。手段800全体
は制御装置812によって制御され(手段800専用制
御装置、製造プロセス実施のためのリモートコンピュー
タシステム、製造実施システムなど)、制御装置812
にはロードロック802a、802bとチャンバ80
8、810及び106間の半導体基板の搬送を制御し、
処理を制御するプログラムが含まれている。
【0043】制御装置812には、図1(a)〜図7
(b)を参照して説明したように本発明のプロセスモニ
タリングシステム104によってリアルタイムでプラズ
マチャンバ106のプロセス状態を制御し、リアルタイ
ムでプロセス事象をモニタするためのプログラムが含ま
れている。本発明のプロセスモニタリングシステム10
4がプラズマチャンバ106のプロセス状態をよりよく
制御し、そこでのプロセス事象の発生をより正確に特定
する(プラズマチャンバ106のスループットを効果的
に向上する)。従って、自動製造手段800の歩留まり
とスループットが著しく向上する。
【0044】プラズマ特性の測定プロセス(プラズマ電
磁放射、RFパワーなど)及び検出信号における1つ以
上の周波数成分の経時的モニタリング(OE信号、RF
パワー信号など)は、ユーザ、製造プロセス実施のため
のリモートコンピュータシステム、製造実施システムな
どによって行われる。述べられているように、検出信号
の周波数成分のモニタリング及び解析は、好ましくはプ
ロセス中に行われリアルタイムのプロセス制御を可能と
する。好ましくは、ユーザ、製造プロセス実施のための
リモートコンピュータシステム、製造実施システム又は
その他の適切な制御装置によって、処理装置122が特
定すべきプロセス事象(不適正なチャッキング、ブレー
クスルー、終点など)、これに対応してプラズマエッチ
ングシステム102に警告を送るべきか否か(例えば、
プラズマチャンバ106内のプラズマ処理を停止するな
ど)、必要とされるプロセス状態の情報(例えば、エッ
チングレート、RFパワー、ウェーハ損傷、ウェーハ温
度、エッチング均一性、プラズマ化学反応など)、リア
ルタイムプロセス制御が使用されるべきかどうか、必要
とされるプロセスチャンバ情報(例えば、チャンバ不良
情報、チャンバマッチング情報など)及びチャンバ不良
が検出された場合プラズマチャンバ106内のプラズマ
処理を停止するかどうか、が指定される。例えば、ユー
ザによって選択可能な機能のライブラリが用意され、そ
れによって所望のプロセス状態、プロセス事象及び/又
はプロセスチャンバ情報を得るよう処理装置122に指
示が出され、処理装置122はこれに従って動作する
(例えばエッチング処理の終点を検出し、その後処理を
停止するなど)。
【0045】ブレークスルーや終点などのプロセス事象
を特定し、チャンバ不良やチャンバマッチング情報など
のプロセスチャンバ情報を得るために、関連したプロセ
ス事象やプロセスチャンバの特定情報(終点情報、ブレ
ークスルー情報、チャンバマッチング情報など)を含ん
だデータベースを処理装置122、製造プロセス実施の
ためのリモートコンピュータシステム、製造実施システ
ムなどの中に用意する。そして処理装置122がデータ
ベース内の関連情報にアクセスし、これら関連情報がプ
ロセス事象を特定したりプロセスチャンバ情報を取り出
すために利用される。例えば、材料層エッチング中の終
点を検出するために1つ以上の検出信号周波数成分に特
有な特徴を識別し(前述の通り)、これらをデータベー
スに格納しておく。その後、処理中に測定された検出信
号の周波数成分情報がデータベースに格納された周波数
成分情報と比較される。測定された周波数成分情報が格
納されている周波数成分情報の予め決められた範囲内に
あれば、信号が発せられて終点かブレークスルーが検出
されたことを示す。好ましくは、エッチングされる各材
料層について、終点かブレークスルーを示す1つ以上の
特有な特徴がデータベースに格納されている。
【0046】プロセスチャンバ情報については、プラズ
マチャンバ106が適正に作動していることが既知の時
に採られたプラズマ処理特有の周波数成分指紋がデータ
ベースに格納され、プロセスチャンバの「校正」指紋と
しての役割を果たす。その後に続く処理運転の指紋が、
定期的にこのデータベースに格納された校正指紋と比較
される。その後、引き続く処理操作における指紋を定期
的にこのプロセスの校正指紋と比較する。続く指紋の中
のドリフト、特徴の広がり、ノイズレベルほか同様の変
化を(校正指紋と比較して)定量し、プラズマチャンバ
106の調子を示す指標として使用したり、チャンバの
不良を発見することができる(例えば、データベースに
格納された各チャンバの不良に起因する独特な周波数成
分の特徴によって)。例えば、チャンバの清掃やメンテ
ナンス作業の後に周波数成分指紋を測定して、チャンバ
の校正指紋と比較することにより、清掃/メンテナンス
作業後のチャンバの適正な機能が保証される。
【0047】チャンバマッチングの目的や、1つのチャ
ンバを別のチャンバの指紋と一致するように調整又は均
等化するために、2つの異なるチャンバの指紋を比較し
てもよい(前述の通り)。更に本発明者らは(プラズマ
処理の特性を測定することによって生成される)検出信
号の低周波数成分中に観察される図3(a)、4(a)
及び7(a)に見られるような倍音周波数が、プラズマ
の状態の変化にともなって変化すること(周波数のシフ
ト)を発見した。このような変化によってプラズマ処理
についての情報と共に、プラズマチャンバの高周波電源
やマッチングネットワークについての重要な情報が得ら
れる。これら変化を比較検討することによって、チャン
バのマッチング(2つのチャンバの高周波電源及びマッ
チングネットワークの間の類似性を特定する)や、チャ
ンバ状態を知る(高周波電源の調子とマッチングネット
ワークの効率性を、経時的或いはチャンバメンテナンス
後にモニタする)ことができる。倍音周波数の特徴もデ
ータベースに格納され、必要に応じて引き続くプロセス
運転での校正指紋としての役割を果たす。
【0048】前記の記述は本発明の好ましい実施形態の
みを開示しており、当業者であれば本発明の範囲内で上
に開示された装置及び方法の変形が可能であることは明
らかであろう。例えば、ここではモニタされる周波数成
分範囲を好ましいものについてのみ記載しているが、必
要に応じて他の周波数成分範囲をモニタすることもでき
る。RFパワー検出信号602のノイズを低減するため
に高周波センサをウェーハペデスタル606に直接連結
して用いてもよい。必要であれば、プラズマ放射変動検
出信号或いはRFパワー検出信号の1つの周波数成分の
みをモニタすることも可能である。
【0049】本発明はプラズマを用いた半導体デバイス
製造工程のプロセス状態のモニタに関して記述された
が、一般に反応レートによって変化する特性を有する、
いかなる化学反応をモニタするためにも本発明を用いる
ことができる(プラズマの使用、半導体デバイス製造と
の関係の有無に関わらず)。例えば任意の化学反応につ
いて、温度、圧力、重量(例えば結晶微量天秤によ
る)、化学発光などによる低周波数の変化をモニタする
ことにより、反応に関するプロセス状態情報、プロセス
事象情報、また適用されるならプロセスチャンバ情報を
得ることができる。具体的には化学反応の特性が測定さ
れ、少なくとも1つの周波数成分を有する(これと関連
した大きさの)検出信号が発生され、この検出信号の、
少なくとも1つの周波数成分の大きさ(化学反応の化学
反応レートに関連した少なくとも1つの周波数成分の大
きさ)が経時的にモニタされる。他の例では、堆積プロ
セスのプロセス特性(温度、圧力、重量、プラズマ放
射、RFパワーなど)に低周波数変動が現れ、これに堆
積の間のプロセス状態、プロセス事象及びチャンバに関
する情報が含まれている。堆積プロセスとしては化学気
相成長法(CVD)、プラズマ化学気相成長法(プラズ
マCVD)及び高密度プラズマCVDがあり、プラズマ
化学気相成長法(プラズマCVD)及び高密度プラズマ
CVDは窒化シリコン、ケイ化タングステン、ポリシリ
コン、高低温材料、III-V又はII-VI半導体、フッ素化シ
リコン、リン酸トリエチル(TEPO)膜やオルトケイ
酸テトラエチル(TEOS)膜、その他の材料の堆積に
使用される。堆積プロセスのプロセス状態、プロセス事
象及びチャンバに関する情報は、堆積レート、化学反
応、高周波電源の動作などをモニタするために利用さ
れ、同様に前述のようなチャンバ不良のモニタやチャン
バマッチングの目的にも使用される。
【0050】従って、本発明は発明の好ましい実施形態
と関連づけて開示されてきたが、その他の実施形態も、
以下の請求に定義される本発明の精神とその範囲に含ま
れることが理解されるべきである。
【図面の簡単な説明】
【図1】 本発明に基づいて考案されたプロセスモニタ
リングシステムを使用したプラズマ処理システムの概略
図である。
【図2】 図1(a)に記載の、本発明によるプロセス
モニタリングシステムによって生成される光放射スペク
トル信号の代表的なグラフである。
【図3】(a)は、本発明に基づいてアルミニウムエッ
チングされる間に発生された、光低周波放射(OLE)
データの輪郭図であり、(b)は(a)のOLEデータ
が得られる元となった光放射スペクトル信号の図であ
り、(c)は、(b)の光放射スペクトル信号を発生す
るようにエッチングされた、多層半導体構造の略断面図
である。
【図4】(a)は、本発明に基づいてポリシリコンエッ
チングされる間に発生された、光低周波放射(OLE)
データの輪郭図であり、(b)は(a)のOLEデータ
を作成する元となった光放射スペクトル信号の図であ
り、(c)は(b)の光放射スペクトル信号を発生する
ようにエッチングされた、ポリシリコン積層構造の略断
面図である。
【図5】 デジタル信号処理(DSP)採用による、図
1(a)〜図1(c)に記載の本発明のプロセスモニタ
リングシステム概略図である。
【図6】 プラズマ処理中のRFパワー変動のモニタ用
に改造された、図1(a)〜図1(c)のプラズマ処理
システム概略図である。
【図7】(a)は図3(c)に記載の多層半導体構造の
プラズマエッチング中に発生する、順方向RFパワー検
出信号から得られたデータの輪郭図であり、(b)は
(a)が得られる元となった、順方向RFパワー検出信
号の図である。
【図8】 本発明に基づいて半導体デバイスを製造する
ための、自動化手段の平面図である。
【符号の説明】
100…処理システム、102…プラズマエッチングシ
ステム、104…プロセスモニタリングシステム、10
6…プラズマチャンバ、108…プラズマエッチシステ
ム制御装置、110…レシピ制御ポート、120…検出
器、122…プロセッサ。
フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/68 H01L 21/68 A H05H 1/00 H05H 1/00 A 1/46 1/46 A (72)発明者 ジェッド ダヴィドー アメリカ合衆国, カリフォルニア州, サンタ クララ, クラマス アヴェニュ ー 2006, ナンバー1 (72)発明者 モーシュ サファティ アメリカ合衆国, カリフォルニア州, クパティノ, パークウッド ドライブ 10203, ナンバー2 (72)発明者 ディミトリス リンバロポウロス アメリカ合衆国, カリフォルニア州, サンタ クララ, オーク グローブ ド ライブ 480, ナンバー112

Claims (49)

    【特許請求の範囲】
  1. 【請求項1】 広帯域光電磁放射特性以外のプラズマの
    特性を測定し、それと関連した大きさを有する少なくと
    も1つの周波数成分を有する検出信号を発生し、 前記検出信号の少なくとも1つの周波数成分の大きさを
    経時的にモニタする、プラズマを使用したプロセスモニ
    タリング方法。
  2. 【請求項2】 プラズマの特性を測定してそれと関係し
    た大きさを有する少なくとも一つの周波数成分を有する
    検出信号を発生することが、 プラズマの特性を測定してそれと関連した大きさを各々
    が有する複数の周波数成分を有する検出信号を発生する
    ことを含み、 前記検出信号の少なくとも一つの周波数成分の大きさの
    経時的モニタリングが、前記検出信号の複数の周波数成
    分の大きさの経時的モニタリングを含む請求項1に記載
    の方法。
  3. 【請求項3】 前記検出信号の少なくとも1つの周波数
    成分の大きさの経時的モニタリングが、プラズマ処理の
    化学反応レートに関連した周波数を有する検出信号の少
    なくとも1つの周波数成分の大きさの経時的モニタリン
    グを含む請求項1に記載の方法。
  4. 【請求項4】 前記検出信号の少なくとも1つの周波数
    成分の大きさの経時的モニタリングが、プラズマ発生に
    使用されるRF周波数よりも低い周波数を有する前記検
    出信号の少なくとも1つの周波数成分の大きさの経時的
    モニタリングを含む請求項1に記載の方法。
  5. 【請求項5】 前記前記検出信号の少なくとも1つの周
    波数成分の大きさの経時的モニタリングが、約50kH
    zよりも低い周波数を有する検出信号の少なくとも1つ
    の周波数成分の大きさの経時的モニタリングを含む請求
    項4に記載の方法。
  6. 【請求項6】 検出信号を発生するためにプラズマの特
    性を測定することが、 プラズマによって発生され、それと関連した強度を有す
    る電磁放射を収集することと、 前記収集された電磁放射の強度に基づいて、これに関連
    する大きさを有する少なくとも1つの周波数成分を有す
    る検出信号を発生することと、を含む、請求項1に記載
    の方法。
  7. 【請求項7】 プラズマによって発生された電磁放射の
    収集が、 前記プラズマ中の化学種からの電磁放射を通すように適
    合された光フィルタリング機構を提供することと、 前記光フィルタリング機構を通った電磁放射の収集と、
    を含む請求項6に記載の方法。
  8. 【請求項8】 検出信号の発生が検波電流の発生を含む
    請求項6に記載の方法。
  9. 【請求項9】 検出信号を発生するためのプラズマ特性
    の測定が、それと関連した大きさを有する少なくとも1
    つの周波数成分を有した検出信号を発生させるようにウ
    ェーハペデスタルの順方向及び反射方向RFパワーの少
    なくとも1つを測定することを含む請求項1に記載の方
    法。
  10. 【請求項10】 前記検出信号の少なくとも1つの周波
    数成分の大きさの経時的モニタリングが、 前記検出信号のサンプリングと、 複数の検出信号サンプルのタイムドメインサンプルから
    周波数ドメインサンプルへの変換と、 少なくとも1つの周波数ドメインサンプルの経時的モニ
    タリングと、を含む請求項1に記載の方法。
  11. 【請求項11】(a)プラズマ処理中に、請求項1の方
    法を実施し、 (b)前記検出信号の少なくとも1つのモニタされた周
    波数成分に基づいて、前記プラズマ処理に特有の指紋を
    発生させ、 (c)前記プラズマ処理に特有の指紋に、前記プラズマ
    処理の終点を示す少なくとも1つの特徴があるかどうか
    を調べ、 (d)少なくとも1つの特徴が存在すれば、これをその
    プラズマ処理の終点とみなすプラズマ処理の終点の検出
    方法。
  12. 【請求項12】 更に、 (e)少なくとも1つの特徴の存在をそのプラズマ処理
    の終点とみなした後、更に時間を追加してプラズマ処理
    を行う請求項11に記載の方法。
  13. 【請求項13】 (a)〜(d)がプラズマ処理中に行
    われる請求項11に記載の方法。
  14. 【請求項14】 (a)〜(d)の少なくとも1つがプ
    ラズマ処理に引き続いて行われる請求項11に記載の方
    法。
  15. 【請求項15】 プラズマ処理中に請求項1の方法を実
    施し、 検出信号の少なくとも1つのモニタされた周波数成分に
    基づいて、前記プラズマ処理に特有の指紋を発生させ、 前記プラズマ処理に特有の指紋に、プラズマ処理中のブ
    レークスルーを示す少なくとも1つの特徴があるかどう
    かを調べ、 少なくとも1つの特徴が存在すれば、これをブレークス
    ルーとみなすプラズマ処理中のブレークスルー検出方
    法。
  16. 【請求項16】 プラズマ処理中に請求項15の方法を
    実施し、 少なくとも1つの特徴の存在をブレークスルーとみなし
    た後、プラズマ処理を停止させるストップオンエッチン
    グ方法。
  17. 【請求項17】 プラズマ処理中に請求項1の方法を実
    施し、 検出信号の少なくとも1つのモニタされた周波数成分に
    基づいて、前記プラズマ処理に特有の指紋を発生させ、 そのプラズマ処理に特有の指紋に、プラズマ処理中の不
    適正なチャッキングを示す少なくとも1つの特徴がある
    かどうかを調べ、 少なくとも1つの特徴が存在すれば、これをプラズマ処
    理中の不適正なチャッキングとみなす、プラズマ処理中
    の不適正なチャッキング検出方法。
  18. 【請求項18】 不良のないチャンバ内でのプラズマ処
    理中に請求項1の方法を実施し、 検出信号の少なくとも1つのモニタされた周波数成分に
    基づいて、前記不良のないチャンバ内でのプラズマ処理
    に特有の指紋を発生させ、 不良の可能性のあるチャンバ内でのプラズマ処理中に請
    求項1の方法を実施し、 検出信号の少なくとも1つのモニタされた周波数成分に
    基づいて、前記不良の可能性のあるチャンバ内でのプラ
    ズマ処理に特有の指紋を発生させ、 前記不良のないチャンバ内でのプラズマ処理に特有の指
    紋と、前記不良の可能性のあるチャンバ内でのプラズマ
    処理に特有の指紋とを比較し、 前記不良のないチャンバ内でのプラズマ処理に特有の指
    紋と前記不良の可能性のあるチャンバ内でのプラズマ処
    理に特有の指紋とが、予め決めた量よりも大きく異なっ
    ている場合、前記不良の可能性のあるチャンバを不良で
    あると指定する不良の可能性のあるチャンバ内の不良検
    出方法。
  19. 【請求項19】 前記不良のないチャンバと前記不良の
    可能性のあるチャンバとが同じのチャンバである請求項
    18に記載の方法。
  20. 【請求項20】 第1のチャンバ内でのプラズマ処理中
    に請求項1の方法を実施し、 前記検出信号の前記少なくとも1つのモニタされた周波
    数成分に基づいて、前記第1のチャンバ内での前記プラ
    ズマ処理に特有の指紋を発生させ、 前記第2のチャンバ内での前記プラズマ処理中に請求項
    1の方法を実施し、 前記検出信号の少なくとも1つのモニタされた周波数成
    分に基づいて、前記第2のチャンバ内でのプラズマ処理
    に特有の指紋を発生させ、 前記第1のチャンバ内での前記プラズマ処理に特有の指
    紋と前記第2のチャンバ内での前記プラズマ処理に特有
    の指紋とを比較し、 前記第1のチャンバ内でのプラズマ処理に特有の指紋と
    前記第2のチャンバ内でのプラズマ処理に特有の指紋と
    の違いが、予め決めた量よりも少ない場合に、前記第1
    及び前記第2のチャンバがマッチングしていると指定す
    る第1のチャンバと第2のチャンバのマッチング方法。
  21. 【請求項21】 プラズマ処理中に請求項1の方法を実
    施し、 前記検出信号の少なくとも1つのモニタされた周波数成
    分に基づいて、前記プラズマ処理中にプラズマ処理の処
    理パラメータを調整するリアルタイムのプラズマ処理制
    御方法。
  22. 【請求項22】 前記プラズマ処理中の処理パラメータ
    の調整がRFパワー、ウェーハ温度、及びエッチングレ
    ートからなる群から選択される処理パラメータの調整す
    ることを含む請求項21に記載の方法。
  23. 【請求項23】 広帯域光電磁放射特性以外のプラズマ
    の特性を測定し、それに基づきそれと関連した大きさを
    有する少なくとも1つの周波数成分を有する検出信号を
    発生するように適合された測定装置と、前記検出信号を
    受信し、検出信号の少なくとも1つの周波数成分の大き
    さを経時的にモニタするように適合された、測定装置と
    連結した処理機構、とを備えるプラズマを使用したプロ
    セスモニタリング装置。
  24. 【請求項24】 前期測定装置が更にプラズマの特性を
    測定し、これに基づき複数の周波数成分を有する検出信
    号を発生し、前記周波数成分がそれぞれこれに関係した
    大きさを有するように適合されており、 処理機構がさらに、検出信号の複数の周波数成分の大き
    さを経時的にモニタするように適合されている請求項2
    3に記載の装置。
  25. 【請求項25】 前記測定装置が、プラズマからの電磁
    放射の強度を検出しこれに基づいた検出信号を発生する
    ように適合された検出器を備え、前記検出信号がそれと
    関連した大きさを有する少なくとも1つの周波数成分を
    有する請求項23に記載の装置。
  26. 【請求項26】 ウェーハペデスタルに対して順方向及
    び反射RF電圧の少なくとも1つを測定し、前記RFパ
    ワーに基づき前記電圧に関連した大きさを有する少なく
    とも1つの周波数成分を有する検出信号を発生させるよ
    うに適合されたRF電圧測定装置を備える請求項23に
    記載の装置。
  27. 【請求項27】 前記処理機構が、検出信号の少なくと
    も1つの周波数成分の大きさを経時的にモニタするため
    に、プログラムコードを格納したコンピュータシステム
    を有する請求項23に記載の装置。
  28. 【請求項28】 前期コンピュータシステムが更に、 前記検出信号をサンプリングし、 複数の検出信号サンプルをタイムドメインサンプルから
    周波数ドメインサンプルへ変換し、 少なくとも1つの周波数ドメインサンプルを経時的にモ
    ニタする、プログラムコードを備える請求項27に記載
    の装置。
  29. 【請求項29】 更に、前期コンピュータシステムに連
    結されタイムドメインサンプルを周波数ドメインサンプ
    ルへ変換するよう適合されたデジタル信号処理装置を備
    える請求項28に記載の装置。
  30. 【請求項30】 前期コンピュータシステムが更に、 前記検出信号の少なくとも1つのモニタされた周波数成
    分に基づいて、前期プラズマ処理に特有の指紋を発生さ
    せ、 プラズマ処理に特有の指紋に、プラズマ処理の終点を示
    す少なくとも1つの特徴があるかどうかを調べ、 少なくとも1つの特徴が存在すれば、これをプラズマ処
    理の終点とみなし、 少なくとも1つの特徴の存在をプラズマ処理の終点とみ
    なした後、終点警告信号を発生させる、プログラムコー
    ドを備える請求項27に記載の装置。
  31. 【請求項31】 前期コンピュータシステムが更に、 検出信号の少なくとも1つのモニタされた周波数成分に
    基づいて、前期プラズマ処理に特有の指紋を発生させ、 プラズマ処理に特有の指紋に、プラズマ処理中のブレー
    クスルーを示す少なくとも1つの特徴があるかどうかを
    調べ、 少なくとも1つの特徴が存在すれば、これをブレークス
    ルーとみなす、プログラムコードを備える請求項27に
    記載の装置。
  32. 【請求項32】 前期コンピュータシステムが更に、 少なくとも1つの特徴の存在をブレークスルーとみなし
    た後、プラズマ処理を停止させるためのプログラムコー
    ドを備える請求項31に記載の装置。
  33. 【請求項33】 前期コンピュータシステムが更に、 検出信号の少なくとも1つのモニタされた周波数成分に
    基づいて、プラズマ処理に特有の指紋を発生させ、 プラズマ処理に特有の指紋に、プラズマ処理中の不適正
    なチャッキングを示す少なくとも1つの特徴があるかど
    うかを調べ、 少なくとも1つの特徴が存在すれば、これをプラズマ処
    理中の不適正なチャッキングとみなす、プログラムコー
    ドを備える請求項27に記載の装置。
  34. 【請求項34】 前期コンピュータシステムが更に、 少なくとも1つの特徴の存在を不適正なチャッキングと
    みなした後、プラズマ処理を停止させるためのプログラ
    ムコードを備える請求項33に記載の装置。
  35. 【請求項35】 前期コンピュータシステムが更に、 前記検出信号の少なくとも1つのモニタされた周波数成
    分に基づいて、チャンバ内のプラズマ処理に特有の指紋
    を発生させ、 チャンバ内でのプラズマ処理に特有な指紋を、前記不良
    のないチャンバ内でのプラズマ処理に特有な指紋と比較
    し、 前記不良のないチャンバに特有な指紋とチャンバに特有
    な指紋との差が、予め決めた量よりも大きい場合、チャ
    ンバを不良であると指定する、プログラムコードを備え
    る請求項27に記載の装置。
  36. 【請求項36】 前期コンピュータシステムが更に、 前記検出信号の少なくとも1つのモニタされた周波数成
    分に基づいて、チャンバ内のプラズマ処理に特有の指紋
    を発生させ、 前記チャンバ内での前記プラズマ処理に特有な指紋を、
    マッチングしている可能性のあるチャンバ内でのプラズ
    マ処理に特有な指紋と比較し、 前記マッチングしている可能性のあるチャンバに特有の
    指紋とチャンバに特有の指紋との違いが、予め決めた量
    よりも少ない場合に、チャンバ及びマッチングしている
    可能性のあるチャンバがマッチングしていると指定す
    る、プログラムコードを備える請求項27に記載の装
    置。
  37. 【請求項37】 前期コンピュータシステムが更に、 前記検出信号の少なくとも1つのモニタされた周波数成
    分に基づいて、前記プラズマ処理中に前記プラズマ処理
    に特有の指紋を発生させ、 前記検出信号の少なくとも1つのモニタされた周波数成
    分に基づいて、前記プラズマ処理中に前記プラズマ処理
    の処理パラメータを調整する、プログラムコードを備え
    る請求項27に記載の装置。
  38. 【請求項38】 少なくとも1つのロードロックと、 前記ロードロックに連結された、内部にウェーハハンド
    ラを有するウェーハハンドラチャンバと、 前記ウェーハハンドラ及び前記ウェーハハンドラチャン
    バに連結された複数の処理チャンバと、 これら複数の処理チャンバの少なくとも1つに連結され
    た請求項23に記載の装置を含む半導体デバイス自動製
    造手段。
  39. 【請求項39】 検出信号に関連した大きさを有する少
    なくとも1つの周波数成分を有する検出信号を発生する
    ようにプラズマの特性を測定することと、 前記検出信号をサンプリングすることと、 複数の前記検出信号サンプルをタイムドメインサンプル
    から周波数ドメインサンプルへ変換することと、 少なくとも1つの周波数ドメインサンプルを経時的にモ
    ニタすることと、を含むプラズマを使用したプロセスモ
    ニタリング方法。
  40. 【請求項40】 前記プラズマによって発生され、プラ
    ズマに関連した強度を有する電磁放射を収集し、 収集された電磁放射の強度に基づいて、周波数成分に関
    係する大きさを有する少なくとも1つの周波数成分を有
    する検出信号を発生することを含む、検出信号を発生す
    るようにプラズマ特性を測定する請求項39に記載の方
    法。
  41. 【請求項41】 プラズマによって発生された電磁放射
    の収集が、約200nm〜1100nmの波長を有する
    電磁放射の収集を含む請求項40に記載の方法。
  42. 【請求項42】 プラズマ処理中に請求項39の方法を
    実施し、 少なくとも1つのモニタされた周波数ドメインサンプル
    に基づいて、プラズマ処理中にプラズマ処理の処理パラ
    メータを調整するリアルタイムプラズマ処理制御方法。
  43. 【請求項43】 プラズマの特性を測定し、これに基づ
    きそれと関連した大きさを有する少なくとも1つの周波
    数成分を有する検出信号を発生するように適合された測
    定装置と、 この測定装置に連結され、 検出信号を受信し、 検出信号をサンプリングし、 複数の検出信号サンプルをタイムドメインサンプルから
    周波数ドメインサンプルへ変換し、 少なくとも1つの周波数ドメインサンプルを経時的にモ
    ニタするよう適合された処理機構とを備えるプラズマを
    使用したプロセスモニタ装置。
  44. 【請求項44】 前記測定装置が、プラズマからの電磁
    放射の強度を検出しこれに基づいた検出信号を発生する
    よう適合された検出器を含み、前記検出信号がこれと関
    連した大きさを有する少なくとも1つの周波数成分を有
    する、請求項43に記載の装置。
  45. 【請求項45】 検出信号に関連した大きさを有する少
    なくとも1つの周波数成分を有する検出信号を発生する
    ように広帯域光電磁放射特性以外の化学反応の特性を測
    定し、前記検出信号の少なくとも1つの周波数成分の大
    きさを経時的にモニタし、少なくとも1つのモニタされ
    た周波数成分は前記化学反応の化学反応レートと関連し
    ている化学反応モニタリング方法。
  46. 【請求項46】 プラズマ処理中に発生しそれと関連し
    た強度を有する電磁放射を収集することと、 収集された電磁放射の強度に基づいて、これに関連する
    大きさを有する少なくとも1つの周波数成分を有する検
    出信号を発生することと、を含む化学反応特性測定を備
    える請求項45に記載の方法。
  47. 【請求項47】 検出信号に関連した大きさを有する少
    なくとも1つの周波数成分を有する検出信号を発生する
    ように化学反応の特性を測定し、 前記検出信号をサンプリングし、 複数の前記検出信号サンプルをタイムドメインサンプル
    から周波数ドメインサンプルへ変換し、 少なくとも1つの周波数ドメインサンプルを経時的にモ
    ニタし、少なくとも1つのモニタされた周波数ドメイン
    サンプルは化学反応の化学反応レートと関連している化
    学反応モニタリング方法。
  48. 【請求項48】 プラズマ処理中に発生し、プラズマに
    関連した強度を有する電磁放射を収集することと、 収集された電磁放射の強度に基づいて、検出信号に関連
    する大きさを有する少なくとも1つの周波数成分を有す
    る検出信号を発生することと、を含む化学反応特性測定
    を備える請求項47に記載の方法。
  49. 【請求項49】 検出信号に関連した大きさを有する少
    なくとも1つの周波数成分を有した検出信号を発生させ
    るように化学反応の特性を測定し、ウェーハペデスタル
    の順方向及び反射RFパワーの少なくとも1つを測定す
    ることを含む化学反応特性測定を備える請求項47に記
    載の方法。
JP2000106763A 1999-04-07 2000-04-07 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置 Expired - Fee Related JP4456224B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/288,041 US6455437B1 (en) 1999-04-07 1999-04-07 Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US09/288041 1999-04-07

Publications (3)

Publication Number Publication Date
JP2000349076A true JP2000349076A (ja) 2000-12-15
JP2000349076A5 JP2000349076A5 (ja) 2007-06-21
JP4456224B2 JP4456224B2 (ja) 2010-04-28

Family

ID=23105492

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000106763A Expired - Fee Related JP4456224B2 (ja) 1999-04-07 2000-04-07 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置

Country Status (4)

Country Link
US (1) US6455437B1 (ja)
JP (1) JP4456224B2 (ja)
KR (1) KR20000071595A (ja)
TW (1) TW460973B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7376479B2 (en) 2001-03-05 2008-05-20 Hitachi, Ltd. Process monitoring device for sample processing apparatus and control method of sample processing apparatus
US7413914B2 (en) 2001-03-30 2008-08-19 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing semiconductor device, method and apparatus for controlling the same, and method and apparatus for simulating manufacturing process of semiconductor device
CN106292557A (zh) * 2015-05-22 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种控制机台自动测机的时间间隔约束方法

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952656B1 (en) * 2000-04-28 2005-10-04 Applied Materials, Inc. Wafer fabrication data acquisition and management systems
US6704691B2 (en) * 2001-07-18 2004-03-09 Promos Technologies, Inc. Method and system for in-line monitoring process performance using measurable equipment signals
JP4460803B2 (ja) * 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US6656848B1 (en) * 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US7102132B2 (en) * 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7402257B1 (en) * 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
US20040126906A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
KR100473794B1 (ko) * 2003-07-23 2005-03-14 한국표준과학연구원 플라즈마 전자밀도 측정 및 모니터링 장치
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
KR101312507B1 (ko) * 2006-11-10 2013-10-01 엘아이지에이디피 주식회사 블랙박스가 구비된 플라즈마 처리장치
US7746473B2 (en) * 2007-05-24 2010-06-29 Applied Materials, Inc. Full spectrum adaptive filtering (FSAF) for low open area endpoint detection
US7907260B2 (en) * 2007-06-29 2011-03-15 Lam Research Corporation Collimator arrangements including multiple collimators and implementation methods thereof
US7813895B2 (en) * 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
KR101286240B1 (ko) * 2007-10-23 2013-07-15 삼성전자주식회사 반도체 구조물의 형상을 예정하는 공정 파라 메타의 예측시스템, 상기 공정 파라 메타의 예측 시스템을 가지는반도체 제조 장비 및 그 장비의 사용방법
US20090158265A1 (en) * 2007-12-13 2009-06-18 Matthew Fenton Davis Implementation of advanced endpoint functions within third party software by using a plug-in approach
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
KR101683657B1 (ko) * 2008-10-08 2016-12-07 어플라이드 머티어리얼스, 인코포레이티드 처리 장비의 유휴 모드를 검출하기 위한 방법 및 장치
US8101906B2 (en) * 2008-10-08 2012-01-24 Applied Materials, Inc. Method and apparatus for calibrating optical path degradation useful for decoupled plasma nitridation chambers
DE102009039417B4 (de) * 2009-08-31 2015-09-24 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG UV-Strahlungsüberwachung in der Halbleiterverarbeitung unter Anwendung eines temperaturabhänigen Signals
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
EP3204741A4 (en) * 2014-10-10 2018-06-06 Orthobond, Inc. Method for detecting and analyzing surface films
US9851389B2 (en) * 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
KR101685087B1 (ko) * 2015-05-14 2016-12-09 임현길 비 접촉식 플라즈마 전계 검출 장치 및 검출 방법
KR102459432B1 (ko) 2015-06-16 2022-10-27 삼성전자주식회사 기판 제조 설비 및 그의 관리 방법
US20180166301A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system
US10217654B1 (en) * 2018-02-12 2019-02-26 Varian Semiconductor Equipment Associates, Inc. Embedded features for interlocks using additive manufacturing
KR20210069117A (ko) * 2018-10-30 2021-06-10 램 리써치 코포레이션 플라즈마 프로세싱 툴들을 위한 기판 상태 검출
DE102019107295A1 (de) * 2019-03-21 2020-09-24 Aixtron Se Verfahren zur Erfassung eines Zustandes eines CVD-Reaktors unter Produktionsbedingungen
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
KR102476767B1 (ko) 2021-03-17 2022-12-09 피에스케이홀딩스 (주) 플라즈마 감지 장치
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125494A (ja) * 1996-10-25 1998-05-15 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2001516963A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
JP2001516940A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 Rfプラズマシステムにおけるアーキングを検出しかつ防止するための装置および方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5812261A (en) * 1992-07-08 1998-09-22 Active Impulse Systems, Inc. Method and device for measuring the thickness of opaque and transparent films
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
WO1994025977A1 (en) 1993-04-28 1994-11-10 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
KR0152355B1 (ko) * 1994-03-24 1998-12-01 가나이 쓰토무 플라즈마 처리장치 및 처리방법
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
KR0137822B1 (ko) * 1994-11-23 1998-06-01 김주용 반도체 플라즈마 식각 공정의 식각 속도 및 균일도 측정 방법
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
KR980012187A (ko) * 1996-07-29 1998-04-30 김광호 반도체소자 제조장치
US6104487A (en) * 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
JPH10335309A (ja) * 1997-05-29 1998-12-18 Sony Corp プラズマ処理システム
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125494A (ja) * 1996-10-25 1998-05-15 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2001516963A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
JP2001516940A (ja) * 1997-09-17 2001-10-02 東京エレクトロン株式会社 Rfプラズマシステムにおけるアーキングを検出しかつ防止するための装置および方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7376479B2 (en) 2001-03-05 2008-05-20 Hitachi, Ltd. Process monitoring device for sample processing apparatus and control method of sample processing apparatus
US7413914B2 (en) 2001-03-30 2008-08-19 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing semiconductor device, method and apparatus for controlling the same, and method and apparatus for simulating manufacturing process of semiconductor device
CN106292557A (zh) * 2015-05-22 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种控制机台自动测机的时间间隔约束方法
CN106292557B (zh) * 2015-05-22 2018-10-19 中芯国际集成电路制造(上海)有限公司 一种控制机台自动测机的时间间隔约束方法

Also Published As

Publication number Publication date
US6455437B1 (en) 2002-09-24
TW460973B (en) 2001-10-21
JP4456224B2 (ja) 2010-04-28
KR20000071595A (ko) 2000-11-25

Similar Documents

Publication Publication Date Title
JP4456224B2 (ja) 半導体デバイス製造プロセスの処理状況をモニタするための方法及び装置
US6745095B1 (en) Detection of process endpoint through monitoring fluctuation of output data
US10002804B2 (en) Method of endpoint detection of plasma etching process using multivariate analysis
US6368975B1 (en) Method and apparatus for monitoring a process by employing principal component analysis
US6815228B2 (en) Film thickness measuring method of member to be processed using emission spectroscopy and processing method of the member using the measuring method
US7201174B2 (en) Processing apparatus and cleaning method
US6652710B2 (en) Process monitoring apparatus and method
US6060328A (en) Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6395563B1 (en) Device for manufacturing semiconductor device and method of manufacturing the same
US20020183977A1 (en) Endpoint detection in substrate fabrication processes
US8193007B1 (en) Etch process control using optical metrology and sensor devices
JP2002512447A (ja) 半導体プロセスの終点検出
KR100557673B1 (ko) 플라즈마 장비를 시즌닝하는 방법
US6537833B1 (en) Method and apparatus for characterizing an interconnect structure profile using scatterometry measurements
US20050217795A1 (en) Method of plasma etch endpoint detection using a V-I probe diagnostics
JP2000357679A (ja) エッチング終点検出方法
JPH11265878A (ja) 残留ガス分析により終点検出を提供する方法及び装置
Barna et al. In Situ Metrology
JP2000031226A (ja) 半導体装置の製造装置及びその製造方法
JP2016009720A (ja) 推定方法及びプラズマ処理装置
JPH11238723A (ja) プラズマ処理のモニタリング方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070327

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100119

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100205

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140212

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees