TW460973B - Method and apparatus for monitoring the process state of a semiconductor device fabrication process - Google Patents

Method and apparatus for monitoring the process state of a semiconductor device fabrication process Download PDF

Info

Publication number
TW460973B
TW460973B TW089103632A TW89103632A TW460973B TW 460973 B TW460973 B TW 460973B TW 089103632 A TW089103632 A TW 089103632A TW 89103632 A TW89103632 A TW 89103632A TW 460973 B TW460973 B TW 460973B
Authority
TW
Taiwan
Prior art keywords
plasma
detection signal
patent application
scope
item
Prior art date
Application number
TW089103632A
Other languages
English (en)
Inventor
Davidow Jed
Sarfaty Moshe
Lymberopoulos Dimitris
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW460973B publication Critical patent/TW460973B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

460973 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 發明領域: 本發明關於半導體元件製造,更明確地說,關係於用 以監測半導體元件製程之處理狀態之方法與設備。 發明背景: 於半導體工業中’ 一直需要有改良之處理重覆性及# 制性。例如’於形成一典型金屬層至金屬層内連線時,— 介電層係沉積於第一金屬層上’一導孔係被蝕刻於介電層 中’以將第一金屬層露出,導孔係被填充以一金屬插塞及 一第二金屬層係被沉積於金屬插塞上(例如,形成一内連 線於第一及第二金屬層之間)。為了確保内連線具有低接 觸電阻’所有於導孔内之介電材料必須於形成金屬插塞: 其上之前由第一金屬層之上表面触刻;否則,於導孔内之 殘留高電阻率介電材料會大量地衰減内連線之接觸= 阻。於姓刻金屬層(例如’鋁,鋼,銷等),多晶秒層等時, 需要類似之處理控制。 : ’ ' . ' . 當一材料已經完全被蝕刻(即饮 u η)時,傳統監測技術 只能提供大略之估計。因此,為了容 w 各4材料層之變化厚度 (例如元件改變)或材料層之變化触刻 . x迷率(例如,處理/處 理室變化)’一触刻處理可以持續― 奴大於蝕刻材料層之 預定時間(即用於過度蝕刻時間)。過 、 , L n又1虫刻時間之蝕刻確 保了所有予以被去除之材料被去除,不 t 向不管増加所需蝕刻 時間之元件變化’及不管減緩蝕刻速 株 遝丰(垮加所需蝕刻時 間)所造成之處理/處理室變化。 第2頁 本紙張尺度適用中國國家標準(CNS)A4規格(2】ϋ X四7公复 -I n n n- f靖先閱讀背面之注意事項再填寫本頁) -i f i n- ir — 0^--------訂---------線( 經濟部智慧財產局員工消費合作杜印製 雖然 要以處理 量。再者 之半導體 成相當不 能指出元 反應化學 處理劓件 足夠資訊 具及作為 而降低過 理室變化 化等)。 .因_此 元件製程 460973 A7 ------B7 五、發明說明( ’過度蝕刻確保了完全蝕刻,但過度蝕刻增加需 蝕刻半導體晶圓所需之時間,而減少了晶圓產出 ’用於愈高效能積體電路之機具需要每一次生產 元件具有更細微尺寸容許度,而使得過度蝕刻變 想要。一更吸引人之解決方法是一監測技街,其 件變化及處理/處理室變化(例如,室故障,不當 ’不當敍刻速率等)之成因,其更精確地指出一 ,例如一終點。然而,傳統監測技術均未能提供 ,以作為例如指明有害處理/處理室變化之診斷工 元件處理控.制工.具.,以足夠正確追縱處.理進.度, 度姓刻或其他過度處理時間所需以補償處理/處 及7L件變化(例如材料層厚度變化,钕刻特性變 ,有需要一種改良方法與設備,用以監測半導體 發明目的及概述: 本案發明人已經發現到,於電漿處理時,某些例如電 漿電磁發射或傳送至晶圓托架之RF功率之電裝"屬性"顯 現低頻上下變動’該變動包含有關電漿處理及電漿室之重 大資訊。例如’電漿電磁發射之強度上下變動(於此後稱" 電衆發射上下變動")已經被發現到包含有三大範圍分類中 之某些資訊: (1)處理狀態資訊’例如電漿触刻率,RF功率,晶圓 第3頁 (請先閱讀背面之注意事項再填.寫本頁) --------訂—.—.I 丨-線., 4. 6 0 973 A7 B7 五、發明說明( 損壞,晶圓溫度,蝕刻均 (2)處理京杜本' _弓性,電漿反應化學等; (2) 處理事件資訊’例如 (請先閱讀背面之注意事項再填寫本頁) 過或去除(即貫穿時),何 曰時—特定材料已經被蚀刻穿 ”夾持,,)等;及 —晶晶圓未被適當夾持(即不當 (3) 處理室資訊,例如 你π #广ά 疋否—室含有故障,是否室之操 作係類似於前一操作或類 • ' 不另一室操作(即室匹配)等。 類似資訊已經於雷將# 、 水處理時,所傳送至晶圓托架之 RF功率之上下變動所找到。 為了監..測電黻發.射上τ 耵上下麦動,由一電漿所產生之電磁 發射係被收集,以及,其认叱 基於所收集電磁發射之強度,而產 生有至/頻率分量(具有與之相關之振幅)之檢測信 號。檢測信號之至少頻率之振幅然後於時間上作監測。較 佳地’具有頻率少於用以產生電漿之RF頻率(例如 13.56MHz)之頻率分量,最好是少於5〇kHz係於時間上加 以監測。較佳地’收集到之電磁發射包含具有範圍2〇〇至 1 1 〇〇奈米範圍内之波長(即寬頻帶光電磁發射),但,其他 . .. . ...... . .... ... .. 範圍也可以使用。相關於電漿處理(例如用於鋁蝕刻處理 之Al ’ A1C1或BC1)特定化學種類之電磁發射可以被監測。 經濟部智慧財產局員工消費合作社印製 為了監測RF功專上下變動,電漿處理時,被傳送至 晶圓托架之RF功率(例如前向及/或反射)係被監測,並作 為”檢測”信號。檢測信號之至少一頻率分量之振幅然後於 時間上作監測。較佳地,具有頻率少於用於產生電漿(例 如1 3·56ΜΗζ)之頻率及較佳少於5〇kHz之頻率分量係於時 間上作監測。 本紙張尺度適用中國國家標準(CNS)A-l規格(210 X 297公釐) A7 B7 Λ 6 0973 五、發明說明( 藉由於時間上,監測電漿發射上下變動檢测信號戒 RF功率上下變動檢測信號之至少一頻率分量之振幅,而 取得一電漿處理之特徵指紋。本案發明人發現於特徵指紋 内之頻率分量之時間位置(時間位置)及特性(例如頻率分 量振幅)提供處理狀態資訊,處理事件資訊及處理室資 訊。這些特性可以於電漿處理被執行後,或於電漿處理時 被監測,以允許作即時處理狀態控制。—般而言,具有一 隨反應速率化之屬性之化學反應可以同樣地被監測(例 如,是否一電漿被使用及是否相關於半導體元件製造)。 圖式簡單說明: : 第1 A- 1C圖為利用依據本發明之發明處理監測系統之電 漿處理系統之示意圖; 第2圖為由第1A圖之發明處理監測系統所產生之光發射 頻譜信號之代表圖; 第3A圖為於鋁蝕刻時,依據本發明所產生之光低頻發射 (OLE)資料之輪廓圖; 第3B圖為一光發射頻譜信號之圖表,第3A圖之〇LE資 料係由該處產生; 第3C圖為一多層半導體結構之剖面示冑圖,其係被姓刻 以產生第3 B 圖之光發射頻率.信號;. 第 4 A圖為於多晶石夕钱刻時,佑滅十敗)丄、… J τ 依龈本發明所產生之光低頻 發射(Ο L Ε)資訊之輪靡圖; 第4Β圖為一光發射頻譜信號之圖表,第4α圖之〇le資 本纸張尺度適用中國國家標準(CNS)A4規^ (請先閱讀背面之注意事項再填寫本頁) Μ 訂--------;線, 經濟部智慧財產局員工消費合作社印製 460973
五、發明説明() 料係由該處產生; 第4 C圖為一多晶珍堆叠妹播、,丨 „ 弟 _ β夕曰7®恕構又剖面圖,其係被蝕刻以產 生第4Β圖之光發射頻譜信號; 第5圖 '第1A-1C圖之發明處理監測系統之示意圖,其 中,使用一專用數位信號處理機(DSP); 第6圖為第丨八-…圖之電衆處理系統之示意圖,適用以監 測於電漿處理時,之RFa率上下變動; 第7A圖為於電漿蚀刻第3C圖之多層半導體結構時,所產 生之前向RF功率檢測信號導出之資料之輪廓圖; 第7B圖為一前向RF功車撿測信號之圖表,第7A圖之資 料係由該處產生;及 ' ....... ...... . 第8圖為依據本發明之製造半導體元件之自動工具之俯視 圖。 (請先閱讀背面之注咅?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 圖號谢照說明: 100 處理系統 102 電漿蝕刻系統 104 處理監測系統 106 電漿室 108 電漿蝕刻系統控制器 110 程式控制埠 1 12 第一控制匯流排 114 觀看埠 118 電漿 120 檢測益· 122 處理機 126 單色儀 128 光電倍增管 130 透.鏡 132 光纜 134 第二控制匯流排 136 使用者 1 3 8 第三控制匯流排 第6頁 ^紙張尺度適用中國國家標準(CNS)A-l规格(210 χ·297公复) d 6 0973 Λ7 --------- --B7 五、發明說明() 140 第四控制匯流排 200 OE信號 304 多層半導體結構 306 鈇層 308 .碎基材 310 氮化欽層 312 鋁層 3 14 氮化鈦反反射塗層 404 多晶矽堆疊結構 406 二氧化矽層 40 8 矽基材 410 多晶矽層 412 矽化鎢層 414 氮化矽層 501 數位信號處理機 602 晶圓偏壓產生器 606 晶圓托架 608 半導體晶圓 610 類比輸入/輸出界面 800 自動工具 802 真空隔絕室 804 晶圓揲作室 806 晶圓操作艮 808 處理室 810 處理室 812 控制器 發明詳細說明: 第1 A圖為處理系統〗〇〇之示意圖,其包含一傳統電 漿蚀刻系統1 02及依據本發明之處理監測系統1〇4連接至 其上。於此所用"連接"係直接或間接連接以操作' 傳統電槳姓刻系統1 〇 2包含一電漿室} 0 6經由一程式 控制埠1 1 0及第一控制匯流排〗丨2 ’連接至一電漿蝕刻系 統控.制器1 0 8。可以.了解的是,雖'然,於電漿室1 〇 6及.電 漿蝕刻系統控制器1 08間為了方便僅顯示單一界面(例如 程式控制埠1 1 0),但一般而言,電漿蝕刻系統控制器1 〇8 可以與各種相關於電漿室]06之質流控制器,RF產生器, 第7頁 .本紙張尺度適用中國國家標準(CNS)A-l規格(2_]0 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁) · a^i n n 一»J n n 1 n· n I ... 經濟部智慧財產局員工消費合作社印製 五、發明說明( 溫度控制器等經由多數界面(未示出)作成界面。 電名至106包含_觀看埠114,其用以由包含於電 至1 〇6(如T所述)内之電聚1 1 8所輸出電磁發射(例如 為範圍200至! I A .,/ ^ 奈未間之光波長’於第1 A-lc圖中以 1 1 6加以代表)。逾狄 a “、’觀看琿1 1 4係被顯示定位於電带舍 10 6之側邊’但可? γ ά 乂 了解的是,觀看蜂Π4係定位於其他 位置(例如’於室1〇6之頂面或底部)。 本發明疋處理監測系、统1〇4包含一檢測器KG, 至處理機制(例如 _未_她_ 處理機122)。檢測器12〇係定位以 集來自電萦118之電磁發射116,並較佳包含―寬頻帶光 ,極體 '例如梦光二極體。或者,檢測器12〇可以包含一 單色儀1 26連接至_决命月ι .广纪 主先电倍增管128(第1Β圖),用以如下 所述檢測來自特定化學物種類之電磁發射。一透鏡】3〇及 於光境132同時可以安[於觀看卑ιΐ4及檢測器」2〇之間 广圖)用以改炎由光檢測器1 2 〇之電磁發射1 1 6之 收集齡藉由連接電磁發射116經由认 ⑴’並藉由將電磁發射116經由光境132傳送至檢測器 u〇)。用以收集來自電漿118之電磁發射的其他架構可以 例如使用-光二極體陣列,其中每一光檢測器監測不同波 長或不同波長頻譜。若想要的話’一束光境可以連接至該 二極體陣列,其中於該束内之每一光纜係連接至一特有光 二極體並供給電磁發射至其上。同樣地,折射光柵,棱鏡, 光纖(例如,玻璃光纖)及其他波長選擇裝置可以用以替代· 該單色儀U6。處理機122經由—第二控制匿流排134連 6 097 3
五、發明說明( 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格 接至電漿钱刻系統控制器1 0 8。 A操作中’―使用者136(例如管理晶圓製造處理 者)(經由—第三控制匯流排138)供給一組指令(即電漿程 式)給電漿蝕刻系統控制器丨〇8,以於電漿室1〇6内產生電 桌118。或者’—用以執行製程之遠端電腦系統可以供給 .電桌红式(如由使用者1 3 6所供給或儲存於電漿程式資料 庫者)給電漿蝕刻系統控制器1 〇8,該電腦系統包含處理系 統1 00,製造執行系統或其他製造控制系統。一典型電衆 程式包含例如於電浆處理時之壓力’溫度,功率,氣體類 型’氣體流速等用以啟始及維持於電漿室1 〇6内之電漿之 處理參數。例如,為了於電漿室106内執行鋁蝕刻,一典 =電漿程式將包含至少如下:—想要室壓力,_想要處理 =度,一想要RF功專位準’一想要晶圓偏壓,想要處理 氣體流速(修料例如紅,犯3或cl2之處理氣體之想 要流速)等。一旦,電漿蝕刻系統控制器108由使用者136, 自遠端電㈣統,由製造執行系料接收電㈣式時,電 锻程式係經由第一控制匯流排U2,及程式控制蜂i i 〇 (或 電漿蚀刻$統控制器^本身^被供給至程式控㈣以^, 二万、电桌至1 06内建立並維持由電漿程式所指定之處理參 數。 於電漿室106内作電漿處理時,電漿ιΐ8產生主要且 有波長於光頻譜(例如約_至丄⑽奈米)之電磁發射^ 也可能造成紫外線或紅外線波長。這些電磁發射之—部份 (例如電磁發射1丨6)行經觀看库】丨4,並到達本發明處理監 第9頁 ⑵〇 X 297 ------------1 '裝--------訂-----------線' 「 (請先閱讀背面之注意事項再填寫本頁) 經 濟 部 智 慧 財 產 局 員 X 消 費 合 社 印 製 Λ 6 09 7 3 Λ7 I-:---- Β7 五、發明說明( 測系統1 04。注意’雖然電磁發射丨丨6於第1 A-1 c圖中大 致由三個發射波長所代表,但可以了解的是電磁發射u 6 典型包含更多之波長。 參考第1A及1 C圖’檢測器丨2〇直接(第! a圖)或間 接經由透鏡3 0及光纖1 3 2接收電磁發射1 1 6 (第1 C圖)。 假設’檢測器1 20為一碎光二極體,檢測器} 2〇檢測於約 2〇〇至1100奈米(隨後係稱”光發射頻譜(0ES)”)之寬波長 範圍内之電磁發射之強度’並反應以成比例於所檢測電磁 發射4強度’而產生一檢測信號(例如一光發射電流信號 或一 "OE信號”)。檢測器丨2〇然後輸出該〇E信號,該〇]£ 仏號係適當地被放大(.例如_.:.經.由未示出之前置放大器)及 〇E信號係被供給至處理機丨22,作為隨後處理(如下述)。 予以由處理機122所執行之特定類型之處理較佳係由使用 者136經由一第四控制匯流排14〇所選擇(或由遠端電腦 系統’藉一製造執行系統等加以選擇)。因此,依據本發 明,電漿118之屬性(例如電磁發射)係經由檢測器12〇所 量測’及一檢測信號(例如0E信號)係由檢測器12〇所產 生。如下所述,處理機丨22於時間上監測檢測信號之至少 —頻率成份之振幅。 第2圖為由檢測器120所輪出之〇E信號2〇〇之代表 圖。依據本發明,並不是如同傳統於時間上檢測整個振幅 變化(例如強度由Is至Iz之變化),處理機122檢測由電漿 發射上下變動所造成之〇E信號中之局部频率於時間上之 又化(例如’於頻率.分量.之..振幅.變化,,例如放大示於第2 第10頁 (請先閱讀背面之注意事項再填寫本頁) -----------*---------- 、裝---I-----訂----------線 -.i ) n i ί 1· n ϋ- 本紙張尺度標準 (2】(Jx 297公釐) •60973 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 圖中之OE信號202之部份202)。明確地說,OE信號2〇〇 係被處理機122所週期性取樣,連續取樣之集合係被週期 地由時域轉換為頻域(例如經由一快速傅氏轉換或一類似 技術)。例如,若OE信號200係以1 〇kHz取樣速率加以取 樣(例如每〇.〇〇01秒取出一取樣),一時域至頻域轉換較佳 係由處理機122每〇_ 1秒執行一次。以此方式,包含丨 次取樣之OE信號200之集合將被週期地由時域轉換為頻 域’大至約5kHz之OE信號頻率分量可以以〇.;[秒之時間 間距作監視。注意’於100〇次取樣集合内之每一取樣係 被在1 0 0 0次取樣之面積所正規化,以去除於〇E信號中之 任何直流偏壓。 可以了解的是用於時域至頻域之其他取樣速率 、. 丁久取 樣集合大小可以同樣地使用。然而,本發明人已經發 兄到 當OE信號之低頻分量(即,光低頻發射(〇le)資料)於時 間上作監视時’一 0 E信號提供了重要之處理狀態,處理 事件及處理室資訊(如下述)。用以監視之較佳低頻分量範 圍係少於用以驅動電漿處理之RF頻率(例如1 3 56]^2), 最好係少於約50kHz。一具有頻率由約1至300Hz之^ 信號之低頻分量顯示以包含如以下所述參考第3 Α_3 h m 之最重要資訊。 第3A圖為於電漿蝕刻一多層半導體結構3〇4
^ 3 C 圖)時’所產生之OE信號3〇2所導出之OLE資料3〇0、 等強線圖。於第3 A圖中之較暗陰影表示較大振幅;及 資料300係被如前所述地正規化。該多層半導體纴 、'構 第11頁 私紙張尺度適用中國國家標準(CNS)A4規格 (2.10 X 297 公釐) (請先閱讀背面之注音?事項再填寫本頁) 裝--------訂-----------線. 4
、發明說明() 304(第3C圖)包含—鈦(丁〇層3〇6(具有約2〇〇埃之厚度) >几積於一矽(Si)基材308上,一氮化鈥(TiN)層3 1〇(具有约 800埃之厚度)沉積該鈦層3〇6上,一鋁(AI)層312(具有約 50〇〇埃之厚度)沉積於該氮化鈦層31〇上及一氮化鈦反反 射塗層(TiN ARC)3丨4(具有約2〇〇埃之厚度)係沉積於該鋁 層3 12上。 為了取得OLE資料3〇〇及〇E信號3〇2,多層半導體 結構304係放置於電漿室1〇6中,及電漿118係例如使用 於本技藝中所知之Ar,α及Βα加以衝擊◊具有波長範 圍由約200至11〇〇奈米之通過觀看埠之電磁發射係由檢 測器120(例如光二極體)所收集,及〇Ε信號3〇2係由檢測 器120所產生。〇£信號然後被適當地放大並傳送至處理 機122。隨後’ 〇Ε信號3〇2係以1 〇kHz之取樣速率被週 期地取樣’及一快速傅氏轉換係每〇1秒被執行一次,以 產生0 L E資料3 0 0。 不像未處理之0E信號3〇2,〇LE資料3〇〇提供有關 發生於多層半導體結構3〇4蝕刻時之處理事件的詳細資 訊。例如,於多電漿處理中,一晶圓係如眾所知地被靜電
經濟部智慧財產局員工消費合作社印K 爽持(即爽持)至-晶圓托架。若夾持未被適#執行,則於 處理時’晶圓可能振動’可能發損害該晶圓及/或於電漿室 内產生$染物。無論如何,不當夾持係很困難檢測出來 的。 參考第3Α圖,OLE資料30〇容易指出適當及不當夾 持。例如,於時間及h之間,電漿us係被衝撞於電聚 第12頁 私紙張尺度適用中國國家標準(CNS)A4規格(2]〇 X 297公 4 b 09 7 3 A7 ~ ---^-- 五、發明說明() ~" 至〇6巾並於時間t2開始触刻多層半導體結構304。於 時間t2後,0LE資料係明顯可見。OLE資料只出現於當適 當夾持發生之電漿處理時。因此,犯資料之出現清楚地 指示適當之夾持,若没有出現則指出不當爽持。 於時間h時,多層半導體結構3〇4之央持被完成及電 聚姓刻開始。㈣間12及t3之間,則氮化鈇反反射塗^ 314被蝕刻。可以由〇LE資料300中看出,TiN ARC蝕^ 處理係可區別地藉由於集中於約i 5〇至25〇Hz間之頻率分 量所指出。然而’當氮化鈦反反射塗層314始清除,接近 時間h時,於200HZ以上之頻率分量快速地下降,並可肴 到於氮化叙反反射塗層3丨4之蝕刻及鋁層3〗2之蝕刻間之 清楚分界。 於時間1及U時,鋁層3 12之蝕刻係只要被集中於 1〇〇至175HZ之頻率分量所可區分地表示。然而,當鋁層 ..3 1 2開始清除接近時間.t〆時,於..丨75Hz之上之頻率分量下 降及一突波暫態發生於時間“。於時間“及ts之間,氮化 鈦層3 1 0之蝕刻係由示於第3 A圖之頻率分量特性序列所 可區分地表示。當氮化鈦層310開始於接近時間七時清除 時,OLE資料300内之頻率分量於振幅上快速減少,於時 間ts中不再可以檢測出。同樣地’於時間^及、間,軚 層306之蝕刻係可以藉由(具有少於]〇Hz頻率)之頻率分 量之出現所可區分地指出,該頻率分量於鈦層3 〇 6被清除 時,於振幅上快速減少。 不像0E信號3Ό2,OLE·資料3〇〇當一材料層蚀刻結 第13頁 本紙張尺度適用中國國家標% (CNS)A4規格(210 X 297公釐) ' '~~—---^ (請先閱讀背面之注意事項再填寫本頁} 裝-------訂----------線 經濟部智慧財產局員工消費合作社印製 五、發明說明( 構及另-材料層I虫刻開始(即穿透)時,展現一清晰 因此,OLE資料則可以容易分析特性,用以㈣穿。 用以於穿透處立即停止蚀刻處理(即用以停止蚀刻 , 終點檢測。0LE資料300同時藉由提供電锻處理之,,产Γ ”,而提供有關電漿處理狀態之資訊(例如,rf㈣,= 速率’晶圓損壞,圓溫度,餘刻均句性’電襞反應化學 等)及有關處理室(例如是否故障發生,是否一室匹配另一 室等)之資訊。 有關處理狀態資訊,因為不同材料層於飯刻時,展 現不同頻率分量及一層之清除及另一層之蝕刻間,展現清 晰特性轉換,及用於每一材料層之蚀刻速率係容易由〇le 資料300識別。然後,蝕刻速率可以被共相關至電漿參數, 例如RF功率,晶圓損壞,晶圓溫度,姓刻均勾性,電漿 反應化學等。另外,於OLE資料3〇〇内之各種特性之形狀 及位置提供類似資訊’其係可以藉由改變處理參數或條件 及藉由檢測於OLE資料3 00内之位置及形狀之改變而加以 研判。 經 .濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 有關於處理室資訊,當電簸室106被知道予以適當操 作時所取 < 電漿處理之0LE資料指纹可以作為處理室之" 权正"指紋。隨後,後續處理執行之指紋可以定期地與該 處理(校正指紋比較。於後續指紋中之漂移,特性加寬, 雜訊位準或其他類似改變可以被量化,以作為處理室106 健康之指標,並可以(例如經由屬性於每一室故障之特有 〇LE資料特性)而指出室故障。例如,於室清潔/維修操作 本纸張尺度適用中國國家標規格⑽χ 297 ^ 460973 五、發明說明( 後’一 OLE資料指紋可以被量測並與該室之卩 較,以確保該室於清潔/維㈣^指紋比 室之指紋可以比較作為室匹配之目的,或允,兩個不同 或”等化”,以匹配另一室之指纹。 攻兄并—室被調整 〇le資科3〇〇之分析可以以一運算一為、 要的話也可以以—批次—批次為主(例如為為^,若想 執行或(例如為處理機122)自動執行二用者所手動 執行於當OLE資斜姑此隹 也,分析作為 m 允許處理參數為於處理、 時被調整(例如即時調整)。參考第=理〈同 一執行—製程之遠端電时統,-製造執行相I/6’ 明處理機122應指明之處理事件⑼如不當夹:等=指 106中之電漿慮琿ν相 是威至 二 處理)想要《處理狀態資訊(例如’银刻速 …RF功率、晶圓損壞,晶圓溫度,蝕刻均勻性,電漿 ^ ^ ^ ^ ^ ^ # ^ ^ ^ ^ ^ ^ ^ ^ 至如室故障資訊,室匹配資訊等)及於室故障被檢 出時,是否於電漿室1〇6中之電榘處理應否被中止。 除了監視電磁發射之寬波長範圍(例如〇£信號至 HO0奈米)之益處外,本案發明人已經發現某些電漿發射 波長或電衆’'線,'同時展現類似於OLE資料300之特性的 OLE資料持性。單電漿線量測可以藉由調整第a圖之單 色2 U6至適當電漿線,或藉由利用適當間隔折射光栅, 一光纖,—稜鏡等加以執行,以產生用於該線之〇£信號, 第15肓 訂 經 濟 部 智 慧 財 產 肩 消 費 合 作 社 印 製 本紙張(度適用中_ ii^7CNS) A4規格⑵g χ观 公t) 460973 五、發明說明( Λ7 B7 然後,藉由如前所述轉換用於該監視電漿線之0E信號成 為OLE資料。於典型鋁蝕刻處理中,用於Ar,ci,^, A1C1及BC1之電聚線係例於表1中。 化學物種 Ar Cl A1 A1C1 BC1 Si
I,--------Γί Μ —— /_\ (請先閱讀背面之注意事項再填寫本頁) 訂. 列於表1中之每一電漿線之0LE資料(未示出)之分 指出於蝕刻鋁時,整個電漿並未展現電漿發射上下變動 而只是某些特定化學物種展現電漿發射上下變動。更明 地說,Ar及C1電漿線均未展現如上所觀察於寬波長範 PLE資料(0LE資料3〇〇)之〇LE特性,而a卜Alci及b 電漿線均展現類似於示於〇LE資料3 〇〇中所見之特性。 此,OLE資料特性顯現對於反應產物(例如M,Aici及& 之貝獻’使得OLE資料可以極端有用於了解電漿處理之 應化學。 於此所述之本發明電漿監視技術可以用於其他電 處理’例如,二氧化妙蝕刻處理,鉑蝕刻處理,多晶矽 第16頁 本紙張尺度適用中國國家標準(CNS)A4 997 •線: 經濟部智慧財產局員工消費合作社印製 A7 B7 460973 五、發明說明( 刻處理,矽蝕刻處理等。例如,第4A圖示出一由電激触 刻多晶碎堆叠結構404(第4C圖)所產生之〇E信號4〇2(第 4B圖)所導出之0LE資料400之輪廓圖。多晶矽堆藝結構 404包含一厚二氧化矽層4〇6沉積於一矽(3丨)基材4〇8上, 一多晶碎層41 〇(具有約2000埃厚度)沉積於該二氧化碎層 40ό上,一矽化鎢(WSix;^ 412(具有約1〇〇〇埃之厚度)沉 積於該多晶矽層410上,及一氮化矽層414(具有约2〇〇〇 埃之厚度)沉積於矽化鎢(WSi)層412上。 為了取得OLE資料400及OE信號402,多晶矽堆疊 結構404係放於電漿室丨06中,及電漿丨丨8係使用為本技 藝中已知之多晶矽蝕刻化學方法加以衝撞,該化學方法係 例如氯為主多晶矽蝕刻化學。來自電衆丨〗8之電磁發射然 後被收集,OE信號402被檢測器120所產生,及〇E信號 4 02 .係被處理以產生如前所述之資料'400·。 參考第4A圖,於時間tl及h之間,氮化矽層414及 矽化鎢層4 1 2被蝕刻。注意,於此時間段中,〇LE資料4〇〇 内並未觀祭到信號。然而,,對於其他處理條件(例如,並 未用於最佳化多晶矽蚀刻處理之條件),〇LE資料可以於 氮化矽及矽化鎢被蝕刻時被觀察到。隨後,於時間h及h 之間,多晶矽層410係被蝕刻並產生一清楚〇LE資料圖 案。於時間多晶矽層41〇開始清除,並持續清除,直 到時間U為止。於時間“後,二氧化矽層4〇6被蝕刻及於 OLE資料400中並未觀看到任何信號。對於其他處理條件 (例如用於多晶矽蝕刻處理並未最佳之條件),〇LE資料可 第17頁 本紙張尺度i賴中關家標準(CNS)A找格⑵〇 X 297 . - - -Γ裝---- (請先閱讀背面之注意事項再填寫本頁) 訂---------線 經 濟 部 智 慧 財 產 局 消 費 合 作 社 印 製 公釐) άβ0973 Α7
經濟部智慧財產局員.工消費合作社印製 五、發明說明() 以於蝕刻二氧化矽(或其他未於此所述之材料)時被觀察 到。 第5圖為本發明之第!n c圖之本發明監視系統1 〇4 之示意圖’其中,使用一專用數位信號處理機(DSp)5〇1。 DSP50 1較佳係被規劃以遠較處理機1 22為高,執行(如前 述之)Ο E仏號取樣之時域至頻域轉換,以供給所得頻率分 量給處理機1 2 2 ’作分析用.。以此方式,.〇乙E資料之分析 可以足夠快地執行,以於想要時,允許即時處理參數調 整。 除了監視電漿發射上下變動外.,本案發明人也發現例 如於電漿處理時,傳送至電漿室之晶圓托架之RF功率之 電漿處理屬性同時也包含處理狀態’處理事件及處理室資 訊。第6圖為處理系統1 〇〇之示意圖,其中本發明之處理 監視系統1 04係適用以監視於電漿處理時之RF功率上下 變動而不是電漿發射上下變動。明確地說,於本發明之處 理監視系統1 04中’檢測器1 20係未被顯示出,及輸送至 電漿室1 06之RF功率之信號代表係被供給至處理機122。 RF功率信號係由電漿蝕刻系統1 〇2之一 rf晶圓偏壓產生 器6 0 2經由一第五控制匯流排6 0 4傳送。可以了解的是, 檢測器120可以用以供給〇E信號至處理機122,若想要 的話,也可以供給RF功率信號至處理機。 如於第6圖所示,rf晶圓偏壓產生器602係連接至 接收控制埠1 10(例如用以接收為想要電漿接收所需之RF 功率位準),並連接至位於電漿室]06内之晶圓托架606、 第18頁 本紙張尺度ΐΐϋ國國家標準(CNS)A;] 297公釐) - ;— --- (請先閱讀背面之注意事項再填寫本頁) :裝.-------訂---------線. 460973
五、發明說明( 經濟部智慧財產局眞工消費合作社印製 晶圓托架606係被顯示為具有一半導體晶圓6〇8安置於其 卜如於本技藝中所知’當一電感棘合電漿源係用以產生 電漿時,典型為一 RF產生器(未示出)驅動—安置於•漿 室⑽外之RF天線(未示出),及若想要—晶圓偏壓時: 則一分開之RF晶圓偏壓產生器(例如&?晶圓偏壓產生器 602)提供晶圓偏壓。然而,當使用一電容耦合電漿時,一 第二電極(未示出)係安置於電漿室1〇6,内及—單一 產 生器(例如RF晶圓偏壓產生器6〇2)輸送功率給晶圓托架 6 0 6·及第二電極.。 RF晶圓偏壓產生器602包含一資料埠,例如於產生 器之背面之類比輸入/翰出界面6丨〇,用以控制及監視由產 生器602所輸送之RF功率。例如,界面6】〇可以提供一 線性0-1 0伏,直流電壓輸出,其係被調整以代表聿rf產 生器602所輸送至晶圓托架606之功率(即前向功率)及由 晶圓托架606所反射回到RF產生器602之功率(即反射功 率)’或前向及反射功率信號可以以其他類比或數位形式 以任一規格加以代表。這些前向及反射功率信號係經由第 五控制匯流排604被提供給處理機1 22 ’作為Rf功率,,檢 測"信號’及一或兩個RF功率檢測.信號之至少一頻率分量 之大小係於時間上作監視,以提供處理狀態,處理事件及 ’或處理室資訊,如於以下所.述。一.用於來自產生器_ 6.0 2 之RF功率檢測信號之典型資料流速係約9600Ήζ(例如約 1 0倍快於前述電漿發射上下變動)。一般而言,傳送於處 租系統1 00之元件間之信號,不管是於控制匯流排上者, 第19貰 -適用中國國家標準(CNS)A-l規格(2〗0 X 297公f (請先閱讀背面之注意事項再填寫本頁) 裝----------訂- ---------線 4S〇973 A7 B7 五、 經濟部智慧財產局員工消費合作社印製 發明說明() 均可以以類比或數位形式傳送。例如,類比信號可以經由 —類比至數位轉換器加以數位化’並經由一 RS-232界面 加以傳送,若想要的話,也可以經由一平行界面傳送。 當電漿發射上下變動時’處理機1 2 2於時間上檢測於 RF功率檢測信號中局部之頻率變化。明確地說,RF功率 檢測信號係被處理機1 22所週期地取樣,及連續取樣之收 集係如前所述被週期地由時域轉換為頻域(例如,經由一 快速傅氏轉換或一類似技術)。第5圖之d S P 5 0 1可以與處 理機1 22 —起使用,以基於該RF功率檢測信號,而即時 處理參數調整〇 第7A圖為由前向RF功率檢測信號7〇2(第7B圖)所 導出之資料700之輪廓圖’該檢測信號係由電漿蝕刻第3C 圖之多層半導體結構304時,RF晶圓偏壓產生器6〇2之 界面610所產生者。於第7A圖中之較暗陰影表示校大振 幅。參考第3 A-C圖所述之相同處理條件係於蝕刻時被使 用。只有相對於第3 A-3B圖中之時間段t3至u係被示於 第7A-B圖中。 ' 於第7A圖中,由資料700中明顯看出,來自RF晶 圓偏壓產生器602之前向RF功率檢測信號包含相同於第 3A圖之OLE資料300之低頻分量簽章。於時間t3及(4間, 低頻分量出現,其於時間U後快速落下,表示鋁層312於 接近時間U清除。注意’用於外部電漿天線之前向及反射 RF功率信號係被分析並發現未包含低頻分量上下變動。 這發現係栢符於OLE資料特性構建反應產物而不是電漿 第20贯 (請先閱讀背面之注意事項再填寫本頁) :裝 ---- 訂·-------- 本紙張尺度過用甲_國國冢槔準(CNS)A-I規格(21ϋ X 297 Ά) ^60973 A7 ------ H7 _ 五、發明說明() " ~ '一~' 之整體觀察。 第8圖為用於製造半導體裝置之自動工具8〇〇之俯视 圖。工具8〇0包含—對真空隔絕室802a,802b,及一晶圓 操作室804,其包本右一 θ冋 、匕σ有 印固杈作器806。晶圓操作室804 印圓祆作器806係連接至多數處理室8〇8, 81〇。更明確 也說曰曰圓操作室8〇4及晶圓操作器8〇6係連接至第】α_ 或6圖义處理系統1〇〇之電漿室中。電漿室I”且 有本發明之處理監视系.统1〇4連接至其中(如所示)。整個 工具800被—控制器幻2(例如—專用於工具8〇〇之控制 益,一用以執行一製程之遠端電腦系統,一製造執行系统 等)所控制該控制器具有一程式於.其中以控制半導體 基材傳送於真空隔絕室8 〇 2 a ,.8 02.h及室8 0 8,8 1.0及.1 〇 6 之間’並控制其中之處理..。 控制器8 1 2包含一程式,用以即時控制電衆室1 〇6之 處理敗態及參考先前第1A-7B圖所述,經由本發明之處理 監視系統104 ’即時監視處理事件(例如夾持,穿透,終點 等)。本發明處理監視系統1 〇4允許電漿室1 〇6之處理狀 態之較佳控制’及更正確指出何時發生處理(有效增加電 漿室106之產能)。因此,自動製造工具80〇之良率及產 丨 .能大量地增加。 量測電聚屬性(例如電漿電磁發射,RF功率等)及監視 一檢測信號(例如一 OE信號,一 RF功率信號等)之一或多 數頻率分量之處理可以為一使用者,為一遠端電腦系統, 用以執行一製造處理,藉由製造執行系統等。如所述,檢 第21頁 本紙張尺度剌t關家標準(CNS)A-】規格⑵ϋ X 297公1 ) ' ----- (請先閱讀背面之注意事項再填寫本頁) 裝 ------^訂---------!線- 經濟部智慧財產局員Η消費合作社印製 460973
(請先閱讀背面之注意事項再填寫本頁} 測信號頻率分量之分析及監視較佳係於處理被執行,以允 許即時處理控制。較㈣’―使用者,一用以執行—製程 之遠端電腦系統…製造執行系統或任何其他適當栌制 器’其指明處理事件(例如’不當夹持,穿透,終點等), 該處理機122應指明是否-警告應對之反應,而被送至電 漿蝕刻系統1 02(例如中止於電漿室】〇6内之電漿處理), 想要之處理狀態資訊為何(例如蝕刻速率,rf功率,晶圓 損壞’晶圓溫度’触刻均勻,!生’電漿反應化學等),^否 應採用即時處理控制’想、要何種處理室資訊(例如,室故 障資訊,t匹配資訊等)’及若一室故障被檢測出時,是 否於電聚室1G6中之電漿處理應被中止。例如,使用者可 選功能 < 庫可以被假設為指示處理機122取得想要處理狀 態’處理事件及/或處理室資訊,並對其反應(例如檢測钱 刻處理之終點並隨後中止處理)。 經濟部智慧財產局員工消費合作社印製 為了指明處理事件’例如穿透及終點,及為了取得例 如室故障資訊及室匹配資訊之處理室資訊,—包含相關處 理事件或處理直識別資訊(例如終點資訊,穿透資訊,室 匹配Λ訊等)之資料庫可以提供於處理機i 22内,於用以 控制一製程之遠端電腦系統中,於一製造執行系統等之 中。然後,於資料庫中之相關資訊係被處理機丨22所存取, 並被用以指明處理事件或取出處理室資訊。例如,為了於 蚀刻一材料層時,檢測終點或穿透,一或多數檢測信號頻 率分量&特性或諸特性可以被(如前所述地)識別並被儲 存於資料庫中。隨後’於處理時,被量測檢測信號頻率分 第22頁 本紙張汶没過用1P國國冢標準(CNSM4規格(2丨0 X 297 4-6 09 7 3 A7 B7 五、發明說明( (請先閱讀背面之注意事項再填寫本頁) 量資訊可以相比較於儲存於資料庫中之頻率分量資訊。若 所量測頻率分量資訊係於儲存頻率分量資訊之預定範圍 内’則一信號可以被產生以指示是否已檢測出—終點或穿 透。用以指示每一予以蝕刻材料層之穿透或終點之一或多 數特性係較佳被儲存於資料庫中。 有關處理室資訊,一於電漿室I 06被知道為適當操作 時所取出之電漿處理之特性頻率分量指紋可以被儲存於 資料庫並作為該處理室之”校正”指紋。隨後,後續處理執 行之指紋可以被定期地與用以與儲存於資料庫之處理校 正指紋相比。於後續指紋中之漂移,特性加寬,雜訊位準 或其他類似改變可以被量化(例如與校正指紋相比),以作 為電漿室106健康之指樣,並指出室故障(例如經由屬於 每一室故障之特有頻率分量特性,其係儲存於該資料庫 中)。例如’於室清潔/維護操作後,一頻率分量指紋可以 被量測並與用.於該室之校正指紋相比,.以確.保該室於清潔 /維護操作後,係適當地操作。 經濟部智慧財產局員Η消費合作钍印製 兩個不同室之指紋同時可以被比較,作為室匹配目 的’或允許一室被調整或"等化",以匹配另一室之指紋(如 前所述)。再者’本案發_0月人發現可以於—例如第3 a,4 A 及7 A圖所見之檢測信號(由量測電漿處理屬性所產生)之 低頻分量中看到之諧頻隨著電漿狀態之改變而變化。這些 改變提供有關一 電漿室RF產生器及:匹配網路之重要資. 訊’及有.關電漿處理之資訊’_並可.以被檢測及為了室_匹配 目的而相比較(例如’以決定於兩室之RF產生器及匹配網 第23頁 本紙張反度適用中國國家標準.(CNS)A..!規格(ίΐο X 297公《 ) 460973 五、發明說明() 路間(相似性),或者,4 了室健康目的(例如, 監視RF產生器之健康及匹配網路之作用,或隨後之 護)。諧頻特性同時也可以被儲存於—資料庫中,並若想 要的話可以作為後續處理執行之校正指、纟文。/ ' 前述說明只揭示本發明之較佳實施例,上述之落、, 發:範圍内之設備及方法之修改將對於熟習此技::: 相當明顯 '例如’於此所述之監視頻率分量範圍;為較 佳’但其他頻率分量範圍若想要的話,也可以被監视。一 直接連接至晶圓托架606之RF應感器可以用以降低'於Μ 功率檢測信號602中之雜訊。若想要的話, V 也可以只監視 電漿發射上下變動檢測信號或RF功率作 θ 干琥炙—頻率分 量。 雖然,本發明已經參考監視使用電漿播 、,來又+導體裝置系 統之處理狀態加以說明,但可以了解 , … 午的疋’本發明可以用 以監視具有一屬性隨著反應速率(例如 / g %漿被使用及 不管是否關於半導體裝置製造)變化 吋丄^ 予反應。例如, 藉由監視一任意化學反應之溫度,夙 丄 土力重量(例如,經 由一結晶微平衡),化學發光等中之低心 ' —、 低頻變化,則有關此 反應之處理狀態資訊,處理事件杳訊,—士 . 右有的話,處理 f資訊可以被取得。明確地說,—化學反應之屬性可以被 量測,以產生具有至少-頻率分量之檢測信號(具有 其相關之振幅);及於時間上’檢測信號之至少一頻率二 量之振幅(例如與化學反應之化學反應速率相關之至=二 頻率分量)可以被監視。於另一例子中 y J 丁 ψ ,儿積處理(例如, 第24頁 本紙張尺度適用中國國家標準(CNS)m規格(2]0 X 297公.楚 線 經 濟 部 智 慧 財 產 局 費 合 社 印 製 460973 A7 _B7_五、發明說明() 化學氣相沉積,電漿加強化學氣相沉積及高密度電漿化學 氣相沉積,用以沉積氮化矽,矽化鎢,多晶矽,低或高K 值材料,ΙΠ-ν或II-VI半導體,氟化矽’磷酸三乙酯(TEPO) 及原矽酸四乙酯(TEOS)膜或其他材料)可以於沉積時之處 理屬性(例如溫度,壓力,重量,電漿發射,RF功率等) 中展現低頻變化,其包含處堙狀態,處理事件及與室有關 之資訊。此資訊可以用以監視沉積速率,反應化學,RF .產生器操作等,以如前所述,用於室故障及室匹配目的。 因此,雖然本發明已經配合其較佳實施例加以揭示, 但可以了解的是其他實施例仍在以下所定義之本發明之 精神及範圍之内。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局P'工消費合作社印製 第25頁 本紙張尺度適用中國國家標準(CNS)A.l規格Ci.KJ X四7公釐)

Claims (1)

  1. 460973 A8 B8 C8 90. 8. 30 拉第冴外〜“續專^隊月修I 經濟部智慧財產局員工消費合作社印製 申請專利範圍 1. 一種利用電漿以監視一處理之方法,該方法至少包含步 驟: 量測電漿寬頻帶光學電磁發射屬性以外之屬性,以 產生具有一相關振幅之至少一頻率分量的檢測信號,及 於時間上監視該檢測信號之至少一頻率分量之振 幅。 2. 如申請專利範圍第1項所述之方法,其中上述之量測電 漿之屬性以產生具有相關振幅之至少一頻率分量之檢 測信號包含步驟有量測電漿之屬性,以產生具有多數頻 率分量之一檢測信號,每一頻率分量具有一相關振幅; 及 其中於時間上監視該檢測信號之至少一頻率分量之 振幅包含步驟有於時間上監視該檢測信號之多數頻率 分量之振幅。 3 .如申請專利範圍第1項所述之方法,其中上述之於時間 上監視檢測信號之至少一頻率分量之振幅包含於時間 上監視相關於電漿處理化學反應速率之頻率之檢測信 號之至少一頻率分量之振幅。 4.如申請專利範圍第1項所述之方法,其中上述之於時間 上監視檢測信號之至少一頻率分量之振幅包含於時間 上監視檢測信號之至少一頻率分量之振幅,該檢測信號 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 m «i^i in ^^1 ^^1 an 1^1 tn n I n UK n 口、M In ^^1 l i 1^1 ^^1 I /.. I (請先閱讀背面之注意事項再填寫本頁) 460973 A8 Π8 (>, 1)8 7;、申請專利範圍 具有較用以產生電漿之RF頻率為低之頻率。 (請先閱讀背面之注意事項再填寫本頁) 5. 如申請專利範圍第4項所述之方法’其中上述之於時間 上監視檢測信號之至少一頻率分量之振幅包含於時間 上監視檢測信號之至少一頻率分量之振幅,該檢測信號 具有較50kHz為低之頻率。 6. 如申請專利範圍第1項所述之方法,其中上述之量測電 漿之屬性以產生一檢測信號之步驟包含: 收集由電漿所產生之電磁發射,該電磁發射有一與 其相關之強度;及 基於所收集之電磁發射之強度,而產生一檢測信 號,該檢測信號具有於其相關振幅之至少一頻率分量。 7. 如申請專利範圍第6項所述之方法,其中上述之收集由 電漿所產生之電磁發射包含步驟: 提供一光二極體;及 經由該光二極體收集電磁發射。 經濟部智慧財產局員工消贽合作社印翌 8. 如申請專利範圍第6項所述之方法,其中上述之收集由 電漿所產生之電磁發射包含步驟: 提供一光濾色機制,適用以使來自電漿内之化學物 種之電磁發射通過;及 由光學濾色機制收集所通過之電磁發射。 第27頁 本紙張適用中國國家標進(CNS).A.l提格) 4 6 0973 !ih CS !)h 經-部智慧財產局員工消費合作社印製 六、申請專利範圍 9 .如申請專利範圍第8項所述之方法,其中上述之光學濾 色機制包含由玻璃濾色器及單色儀光學濾色機制所構 成群組所選出之光學濾色機制。 1 0.如申請專利範圍第8項所述之方法,其中上述之化學物 種包含由BC1,Al,A1C1,Ar,C1及Si構成之群組選 出之化.學物種。 1 1 .如申請專利範圍第6項所述之方法,其中上述之產生檢 測信號包含產生一檢測器電流。 1 2 .如申請專利範圍第1項所述之方法,其中上述之量測電 漿之屬性以產生一檢測信號之步驟包含量測用於該晶 圓托架之一前向及反射RF功率之至少一個,以產生具 有與其相關之振幅之至少一頻率分量之檢測信號。 1 3 .如申請專利範圍第1項所述之方法,其中上述之於時間 上監視檢測信號之至少一頻率分量之振幅包含步驟: 取樣該檢測信號; 將多數檢測信號取樣由時域取樣轉換為頻域取樣; 及 於時間上監視至少一頻域取樣。 1 4.如申請專利範圍第1 3項所述之方法,其中上述之將多 第28頁 本紙張尺度適用由國國家標準(CNS)i.U規格公左) (請先閱讀背面之注意事項再填寫本頁) '-線· 4. 6 0 9 7 3 日修正/.要正/補先 六、申請專利範圍 數檢測.信妹% Μ _ , ’取樣由時域取樣轉換成頻域取樣包含步驟 t於時域取樣上執行一快速傅氏轉換。 種用以檢剛電漿處理終點之方法,至少包含步驟: ()尤電槳處理時’執行申請專利範圍第〗項所述之 方法; (b) 基於檢測信號之至少^監视頻率分量,而產生用 於該電裝處理之特性指紋; (c) 檢測用於電漿處理之終點的至少一特性指示之電 漿處理之特性指紋;及 (d) 將至少一特性之發生等化為電漿處理之終點。 1 6.如申請專利範圍第1 5項所述之方法,更包含步驟: (e) 於等化至少一特性之發生至電漿處理之終點猿, 執行另一時間段之電漿處理。 17·如申請專利範圍第15項所述之方法,其中上述之夕鄹 (a)-(d)係於電漿處理時被執行。 經濟部智慧財產局員工消費合作社印製 ----------/、▲-------|訂 (請先閲讀背面之漢意事頊存填寫本頁) 1 8 ·如申請專利範圍第1 5項所述之方法,其中於電漿處漆 後’步驟(a)-(d)之至少一步驟被執行。 1 9. 一種於電漿處理時檢測穿透之方法,至少包含步騾: 於電漿處理時,執行申請專利範圍第1項所述之方 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) 經濟部智慧財產局員工消費合作社印制衣 4 6 0973 as C8 D8 六、申請專利範圍 法; 基於該檢測信號之至少一監視頻率分量,來產生用 於該電漿處理之特性指紋; 檢測電漿處理之特性指纹的至少一特性,用以於電 漿處理時,指示穿透;及 將至少一特性之發生等化至穿透。 2 0. —種停止蝕刻之方法,至少包含步驟: 於電漿處理時,執行如申請專利範圍第1 9項所述之 方法;及 於將發生至少一特性等化至穿透後,停止該電漿處 理。 2 1 . —稜於電漿處理時檢測不當夾持之方法,至少包含步 驟: 於電漿處理時,執行如申請專利範圍第1項所述之 方法; 基於檢測信號之至少一監視頻率分量,產生用於電 漿處理之特性指紋; 於電漿處理時,檢測用於該電漿處理至少一特性之 特性指紋,該至少一特性表示不當夾持,及 於電漿處理時,將至少一特性之發生等化至不當夾 持。 第30貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝---- 訂-------- 460973 A8 B8 C8 D8 六、申請專利範圍 22. —種於可能故障之室中檢測—故障之方法,至少包含步 驟: 於未故障室内之電漿處理時,執行如申請專利範圍 第1項所述之方法; _基於檢測信號之至少一監視頻率分量,產生於該未 故障室内之電漿處理之特性指紋; 於電漿處理中,在該可能故障室内執行如申請專利 範圍第1項所述之方法; 基於該檢測信號之至少一監視頻率分量,而產生一 特性指紋,用於該可能故障室内之電漿處理; 將於未故障室内之電漿處理之特性指紋與可能故障 室内之電漿處理之特性指纹相比;及 若未故障室之電漿處理之特性指紋不同於可能故障 室内之電漿處理之特性指紋超出一定量時,將該可能故 障室指定為故障。 2 3 ·如申請專利範圍第2 2項所述之方法,其中上述之未故 障室及可能故障室為相同室。 24.—種用以將一第一室匹配至第二室之方法,至少包含步 驟: 於第一室内電漿處理時’執行如申請專利範圍第1 項所述之方法; 基於檢測信號之至少一監視頻率分量,產生用於第 第31肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 n n n tl·-i-r»J ϋ i ·1 I » 經濟部智慧財產局員工消費合作社印製 460973 AH 1)8 六、申請專利範圍 一室内之電漿處理之特性指紋; 於電漿處理時,檢測用於該電漿處理至少一特性之 特性指紋; 於第二室内電漿處理時,執行如申請專利範圍第1 項所述之方法; 基於該檢測信號之至少一監視頻率分量,產生該第 二室内之電漿處理之特性指紋; 將第一室内之電漿處理之特性指紋與第二室之電漿 處理之特性指紋相比較;及 若第一室内之電漿處理之特性指紋不同於第二室内 之電漿處理之特性指紋少於一預定量時,指定第一及第 二室匹配。 2 5. —種用以即時電漿處理控制之方法,至少包含步驟: 於電漿處理時,執行如申請專利範圍第1項所述之 方法;及 基於檢測信號之至少一監視頻率分量,而調整於電 漿處理時之電漿處理之處理參數。 2 6 .如申請專利範圍第2 5項所述之方法,其中上述之於電 漿處理時,調整處理參數包含調整由RF功率,晶圓溫 度,及蝕刻速率構成之群組中所選出之調整處理參數。 2 7. —種使用一電漿以監視一處理之設備,至少包含: 第32頁 (請先閱讀背面之注音?事項再填寫頁) 裝.-------訂----- 線- 經濟部智慧財產局員X消費合作钍.·!·:;'·.'. 本紙張尺度適用力陧@家標準(CNS)」\_! «格(:」10 X 297 ) 460973 Λ8 Π8 C'8 1)8 77 _、申請寻利範圍_ 一量測設備,適用以量測寬頻帶光學電磁發射屬性 以外之電漿屬性,並基於其上而產生一檢測信號,該檢 測信號具有一與之相關之振幅之至少一頻率分量;及 一處理機制,連接至該量測設備,該處理機制係適 用以接收該檢測信號,並於時間上檢視檢測信號之至少 一頻率分量之振幅。 28. 如申請專利範圍第27項所述之設備,其中上述之量測 設備係適用以量測電漿之一屬性,並適用以基於如此而 產生一檢測信號,該檢測信號具有多數頻率分量,每一 頻率分量具有一與之相關之振幅;及 其中該處理機制係更適用以於時間上監視該檢測信 號之多數頻率分量之振幅。 29. 如申請專利範圍第27項所述之設備,其中上述之量測 設備包含一檢測器,適用以檢測來自電漿之電磁發射之 強度,及並基於此而產生一檢測信號,該檢測信號具有 至少一頻率分量,其具有一與其相關之振幅。 3 〇.如申請專利範圍第2 9項所'述之設備,其中上遠之檢測 器包含一發光二極體。 3 1 .如申請專利範圍第3 0項所述之設備,更包含一光纖連 接至該光二極體,該光纖係適用以收集來自電漿之電磁 第33頁 本纸張尺度適用由因舀家標進(CNS)A4片格公f ) (請先閱讀背面之注意事項再填寫本頁) · I — I I 線. 經濟部智慧財產局員工消費合作社印¾ 460973 A8 ϊ.;8 C:8 1)8 六、申請專利範圍 發射,並傳送電磁發射至光二極體。 3 2 .如申請專利範圍第3 0項所述之設備,更包含一光濾色 器適用以收集來自電漿之電磁發射,並將來自電漿内一 化學物種之電磁發射傳送給光二極體。 3 3 .如申請專利範圍第29項所述之設備,其中該檢測器包 含一光電倍增管及更包含一單色儀接近於該光電倍增 管,該單色儀係適用以傳送來自電漿内之化學物種傳送 至光電倍增管。 34.如申請專利範圍第27項所述之設備,其中上述之量測 設備包含一 RF功率量測裝置,適用以量測用於一晶圓 托架之前向及反射RF功率,並基於與其相關之振幅之 至少一頻率分量,而產生一檢測信號。 3 5.如申請專利範圍第27項所述之設備,其中上述之處理 機制包含具有程式碼儲存於其中之電腦系統,用以: 於時間上,監視檢測信號之至少一頻率分量之振 幅。 3 6.如申請專利範圍第3 5項所述之設備,其中上述之電腦 系統更包含程式碼,用以: 取樣該檢.測信號; 第34·頁 (請先閱讀背面之注意事項再填寫本頁) /衣--------訂---- 線· 經濟部智慧財產局員工消費合作社印1 4 60973 部 1)8 rr、申請專利範圍 將多數檢測信號取樣由時域取樣轉換為頻域取樣; 及 於時間上,監視至少一頻域取樣。 3 7 .如申請專利範圍第3 6項所述之設備,更包含一數位信 號處理機,用以連接至該電腦系統,並適用以將時域取 樣轉換為頻域取樣。 3 8 ·如申請專利範圍第3 5項所述之設備,其中上述之電腦 系統更包含程式碼,用以: 基於檢測信號之至少一監視頻率分量,以產生用於 電漿處理之特性指紋; 檢測該電漿處理之特性指紋之至少一特性,其指示 電漿處理之終點; 等化至少一特定之發生至電漿處理之終點;及 於等化至少一特性之發生至電漿處理之終點後,產 生一終點警告信號。 3 9 .如申請專利範圍第3 5項所述之設備,其中該電腦系統 更包含程式碼,用以: 基於該檢測信號之至少一監視頻率分量,而產生用 於電漿處理之特性指紋; 檢測電漿處理之特性指紋之至少一特性,其係指示 於電漿處理時之穿透;及 第35頁 I --------.--->衣--------訂---------線 /--- (請先間讀背面之注意事項再填寫本頁) 經-部智慧財產局員工消費合作社印¥; 本纸張尺度適用+國國家標単·(CNS)..V〗坨格(」Κ)χ29Γ .) 經濱部智慧財產局員工消費合作社印製 4 6 097 3 !i-S C'S I)S 六、申請專利範圍 等化至少一特性之發生至穿透。 4 0.如申請專利範圍第39項所述之設備,其中上述之電腦 系統更包含程式碼’用以: 於等化至少一特性之發生至穿透後,停止電漿處 理。 4 1.如申請專利範圍第3 5項所述之設備,其中上述之電腦 系統更包含程式碼,用以: 基於該檢測信號之至少一監視頻率分量,而產生用 於電漿處理之特性指紋; 檢測電漿處理之特性指紋之至少一特性,其係指示 於電漿處理時之不當夾持;及 於電漿處理時,等化至少一特性之發生至不當夾 持。 42.如申請專利範圍第4 1項所述之設備,其中上述之電腦 系統更包含程式碼,用以: 於等化至少一特性之發生至不當夾持後,停止電漿 處理。 43 .如申請專利範圍第3 5項所述之設備,其中上述之電腦 系統更包含程式碼,用以: 基於該檢測信號之至少一監視頻率分量,而產生一 第36頁 本紙張尺度適用由@0家標準(CNS)A.l現格Ul〇x297 ) — ^--------'---菜--------訂--------丨線' \ :L (請先閱讀背面之注意事項再填寫本頁) 4 6 0 973 ΛΗ HS CK !)8 六、甲請專利範圍 特性指紋,用於該内之電漿處理; 將該室内之電漿處理之特性指紋與非故障室内.之電 漿處理之特性指纹相比;及 若非故障室之電漿處理之特性指紋不同於該室内之 電漿處理之特性指紋超出一定量時,·將該室指定為故 障。 44 ·如申請專利範圍第3 5項所述之設備,其中上述之電腦 系統更包含程式碼,用以: 基於該檢測信號之至少一監視頻率分量,而產生一 特性指紋,用於該可能故障室内之電漿處理; 將於該室内之電漿處理之特性指紋與可能故障室内 之電聚處理之特性指紋相比^及 若可能故障室之電槳處理之特性指紋不同於該室内 之電漿處理之特性指紋少於一定量時,將該室及該可能 故障室指定為匹配。 4 5 .如申請專利範圍第3 5項所述之設備,其中上述之電腦 系統更包含程式碼,用以: 基於該檢測信號之至少一監視頻率分量,而產生一 於電漿處理時之用於電漿處理之特性指紋; 基於該檢測信號之至少一監視頻率分量,而調整於 電漿處理時之電漿處理之處理參數。 第37頁 本紙張尺度適用由SS家標準(CNS):\.丨甩格(」1〇χ297公t ) (請先閱讀背面之注意事項再填寫本頁) ----- 訂--- 線' 經-部智慧財產局員工消赀合作社印:|'-代 460973 ΛΗ ΓΚ !)8 77、曱請專利範圍 46. —種自動半導體裝置製造工具,至少包含: 至少一真空隔絕室; 一晶圓操作室,連接至該真空隔絕室,該晶圓操作 室具有一晶圓操作器於其中; 多數處理室,連接至該晶圓操作器及晶圓操作室; 及 如申請專利範圍第2 7項所述之設備,連接至多數處 理室之至少一室。 4 7. —種使用電漿監視一處理之方法,至少包含步驟: 量測電衆之一屬性,以產生具有至少一頻率分量之 檢測信號,該等頻率分量具有一相關振幅; 取樣該檢測信號; 將多數檢測信號取樣由時域取樣轉換為頻域取樣; 及 於時間上監視至少一頻域取樣。 48.如申請專利範圍第47項所述之方法,其中上述之量測 電漿之一屬性以產生檢測信號包含步驟: 收集由電聚所產生之電磁發射,電磁發射具有一與 其相關之強度;及 基於所收集之電磁發射強度,而產生一檢測信號, 該檢測信號具有至少一頻率分量,諸分量具有於其相關 之振幅。 第38頁 本紙張&度適用φθθ家標迅埤格297 ) (請先閱讀背面之注意事項再填寫本頁) 裝--------訂---r,-----^線. 經濟部智慧財產局員工消費合作社印製 8. 3〇 月日修正 460973 A8 B8 C8 ____________D8六、申請專利範圍 49. 如申請專利範固第48項所述之方法,其中上述之收集 由電漿所產生之電磁發射包含收集波長由2〇〇至n〇〇 奈米之電磁發射。 50. 如申請專利範園第μ項所述之方法,其中上述之收集 由電漿所產生之電磁發射包含: 供一光—*極體;及 經由該光二極體收集電磁發射。 5 1.如申請專利範圍第48項所述之方法,其中上述收集由 電毅所產生之電磁發射包含: 提供一光濾色機制,適用以使來自電漿之化學物種 之電磁發射通過;及 收集通過光遽色機制之電磁發射。 經濟部智慧財產局員工消費合作社印製 52.—種即時電漿處理控制之方法,至少包含步驟: 於電漿處理時’執行如申請專利範圍第47項所述之 方法;及 基於至少一監視頻域取樣,調整於電漿處理時之電 漿處理之處理參數。 3 _種利用電漿以監視處理之設備,至少包含: —量測設備,適用以量測一電漿之屬性,並基於此 產生一檢測彳s號,該檢測信號具有至少一頻率分量,其 第39頁
    (請先閱讀背面之注意事項再填寫本頁) 裝--- -J'ST· I「1111. 460973 A8 Π8 C:H I)S 六、申請專利範圍 具有與之相關之振幅;及 一處理機制,連接至量測設備,該處理機制適用以: 接收該檢測信號; 取樣該檢測信號; 將多數檢測信號取樣由時域取樣轉換為頻域取樣; 及 於時間上監視至少一頻域取樣。 5 4.如申請專利範圍第5 3項所述之設備,其中上述之量測 設備包含一檢測器,適用以檢測來自一電漿之電磁發射 之強度,並基於此產生一檢測信號,該檢測信號具有至 少一頻率分量,其具有一相關振幅。 5 5 .如申請專利範圍第5 4項所述之設備,其中上述之檢測 器包含一光二極體。 5 6.如申請專利範圍第54項所述之設備,更包含一光濾色 器適用以收集來自電漿之電磁發射,並將來自電漿内一 化學物種之電磁發射傳送給光二極體。 5 7 . —種監視化學反應之方法,至少包含步驟: 量測一寬頻帶光電漿電磁發射外之化學反應之屬 性,以產生一檢測信號,其具有至少一頻率分量,其具 有一相關振幅;及 第40育 (請先閱讀背面之注意事項再填寫本頁) 裝--------訂---...-- 線· 經濟部智.€財產局|工消贽合作钍印« 460973 Λ8 B'S CK i)S rr、申請專利範圍 於時間上監視檢測信號之至少一頻率分量之振幅, 該至少一監視頻率分量係相關於該化學反應之化學反 應速率。 5 8 .如申請專利範圍第5 7項所述之方法,其中量測化學反 應之屬性之步驟包含: 於電漿處理時,收集所產生之電磁發射,該電磁發 射具有一與其相關之強度;及 基於收集電磁發射之強度,而產生一檢測信號,該 檢測信號具有至少一頻率分量,其具有與其相關之振 幅。 5 9.如申請專利範圍第5 7項所述之方法,其中上述之量測 化學反應之一屬性包含量測用於一晶圓托架之前向及 反射RF功率之至少之一,以產生具有至少一頻率分量 之檢測信號,該等分量係具有一與其相關之振幅。 6 0. —種監視化學反應之方法,至少包含步驟: 量測該化學反應之屬性 '以產生具有至少一頻率分 量之檢測信號,該等分量係具有一與其相關之振幅; 取樣該檢測信號; 將多數檢測信號取樣由時域取樣轉換為頻域取樣; 及 於時間上,監視至少一频域取樣,該被監視之至少 第41頁 本紙張尺度通用由IHS家標準(CNS),.\.!墁格297公f ) (請先閱讀背面之注意事項再填寫本頁) '衷.-------訂------ 線_ 經濟却智慧財產局—工消費合作钍印袈 6 0 973
    申請專利範圍 一頻域取樣係相關於該化 化學反應之化學反應速率。 61. 如申請專利範圍第6〇項所诫 化學反應之屬性的步驟包二,’、中上述之量測 基^ 電聚處理時’收集;產生之電磁發射,電磁發射 具有與1相關之強度;及 基於該收集之電磁發射之強度,而產生一檢測信 號,該檢測信號具有至少一頻率分量,其具有與之相關 之振幅。 62. 如申請專利範圍第60项所述之方法’其中上述之量測 化學反應之屬性之步驟包含量測一晶圓托架之前向及 反射RF功率之至少之―,以產生具有至少一頻率分量 之檢測信號,諸頻率分量真有一相關之振幅。 (請先閱讀背面之注意事項再填寫本頁) * , . . Μ — i 1!:1|1^ 一 ---〔---裝----------訂---------線_ 經濟部智慧財產局員工消費合作社印製 —— — — — — —— — — — — —I — wr i -III I I I I . 私紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱)
TW089103632A 1999-04-07 2000-03-01 Method and apparatus for monitoring the process state of a semiconductor device fabrication process TW460973B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/288,041 US6455437B1 (en) 1999-04-07 1999-04-07 Method and apparatus for monitoring the process state of a semiconductor device fabrication process

Publications (1)

Publication Number Publication Date
TW460973B true TW460973B (en) 2001-10-21

Family

ID=23105492

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089103632A TW460973B (en) 1999-04-07 2000-03-01 Method and apparatus for monitoring the process state of a semiconductor device fabrication process

Country Status (4)

Country Link
US (1) US6455437B1 (zh)
JP (1) JP4456224B2 (zh)
KR (1) KR20000071595A (zh)
TW (1) TW460973B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112970090A (zh) * 2018-10-30 2021-06-15 朗姆研究公司 用于等离子体处理工具的衬底状态检测

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952656B1 (en) * 2000-04-28 2005-10-04 Applied Materials, Inc. Wafer fabrication data acquisition and management systems
JP4128339B2 (ja) 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
JP3993396B2 (ja) 2001-03-30 2007-10-17 株式会社東芝 半導体装置の製造方法
US6704691B2 (en) * 2001-07-18 2004-03-09 Promos Technologies, Inc. Method and system for in-line monitoring process performance using measurable equipment signals
JP4460803B2 (ja) * 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US6656848B1 (en) * 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
WO2003081216A2 (en) * 2002-03-20 2003-10-02 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US20050011611A1 (en) * 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US7402257B1 (en) * 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
US20040126906A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
KR100473794B1 (ko) * 2003-07-23 2005-03-14 한국표준과학연구원 플라즈마 전자밀도 측정 및 모니터링 장치
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
KR101312507B1 (ko) * 2006-11-10 2013-10-01 엘아이지에이디피 주식회사 블랙박스가 구비된 플라즈마 처리장치
US7746473B2 (en) * 2007-05-24 2010-06-29 Applied Materials, Inc. Full spectrum adaptive filtering (FSAF) for low open area endpoint detection
US7907260B2 (en) * 2007-06-29 2011-03-15 Lam Research Corporation Collimator arrangements including multiple collimators and implementation methods thereof
US7813895B2 (en) * 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
KR101286240B1 (ko) * 2007-10-23 2013-07-15 삼성전자주식회사 반도체 구조물의 형상을 예정하는 공정 파라 메타의 예측시스템, 상기 공정 파라 메타의 예측 시스템을 가지는반도체 제조 장비 및 그 장비의 사용방법
US20090158265A1 (en) * 2007-12-13 2009-06-18 Matthew Fenton Davis Implementation of advanced endpoint functions within third party software by using a plug-in approach
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US8101906B2 (en) 2008-10-08 2012-01-24 Applied Materials, Inc. Method and apparatus for calibrating optical path degradation useful for decoupled plasma nitridation chambers
CN102177576B (zh) * 2008-10-08 2013-12-18 应用材料公司 检测处理设备闲置模式的方法及装置
DE102009039417B4 (de) * 2009-08-31 2015-09-24 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG UV-Strahlungsüberwachung in der Halbleiterverarbeitung unter Anwendung eines temperaturabhänigen Signals
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9933307B2 (en) * 2014-10-10 2018-04-03 Orthobond, Inc. Method for detecting and analzying surface films
US9851389B2 (en) * 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
KR101700391B1 (ko) 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
KR101685087B1 (ko) * 2015-05-14 2016-12-09 임현길 비 접촉식 플라즈마 전계 검출 장치 및 검출 방법
CN106292557B (zh) * 2015-05-22 2018-10-19 中芯国际集成电路制造(上海)有限公司 一种控制机台自动测机的时间间隔约束方法
KR102459432B1 (ko) 2015-06-16 2022-10-27 삼성전자주식회사 기판 제조 설비 및 그의 관리 방법
US20180166301A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system
US10217654B1 (en) * 2018-02-12 2019-02-26 Varian Semiconductor Equipment Associates, Inc. Embedded features for interlocks using additive manufacturing
CN112106179A (zh) * 2018-05-07 2020-12-18 应用材料公司 基板变形检测和校正
DE102019107295A1 (de) * 2019-03-21 2020-09-24 Aixtron Se Verfahren zur Erfassung eines Zustandes eines CVD-Reaktors unter Produktionsbedingungen
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11670488B2 (en) * 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US12057296B2 (en) 2021-02-22 2024-08-06 COMET Technologies USA, Inc. Electromagnetic field sensing device
KR102476767B1 (ko) 2021-03-17 2022-12-09 피에스케이홀딩스 (주) 플라즈마 감지 장치
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US12040139B2 (en) 2022-05-09 2024-07-16 COMET Technologies USA, Inc. Variable capacitor with linear impedance and high voltage breakdown
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US12051549B2 (en) 2022-08-02 2024-07-30 COMET Technologies USA, Inc. Coaxial variable capacitor

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5812261A (en) * 1992-07-08 1998-09-22 Active Impulse Systems, Inc. Method and device for measuring the thickness of opaque and transparent films
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
EP0662241A1 (en) 1993-04-28 1995-07-12 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
KR0152355B1 (ko) * 1994-03-24 1998-12-01 가나이 쓰토무 플라즈마 처리장치 및 처리방법
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
KR0137822B1 (ko) * 1994-11-23 1998-06-01 김주용 반도체 플라즈마 식각 공정의 식각 속도 및 균일도 측정 방법
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
KR980012187A (ko) * 1996-07-29 1998-04-30 김광호 반도체소자 제조장치
JPH10125494A (ja) * 1996-10-25 1998-05-15 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US6104487A (en) * 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
JPH10335309A (ja) * 1997-05-29 1998-12-18 Sony Corp プラズマ処理システム
US6332961B1 (en) * 1997-09-17 2001-12-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in RF plasma systems
EP1018088A4 (en) * 1997-09-17 2006-08-16 Tokyo Electron Ltd SYSTEM AND METHOD FOR CONTROLLING AND REGULATING PLASMA TREATMENTS
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112970090A (zh) * 2018-10-30 2021-06-15 朗姆研究公司 用于等离子体处理工具的衬底状态检测

Also Published As

Publication number Publication date
US6455437B1 (en) 2002-09-24
JP2000349076A (ja) 2000-12-15
JP4456224B2 (ja) 2010-04-28
KR20000071595A (ko) 2000-11-25

Similar Documents

Publication Publication Date Title
TW460973B (en) Method and apparatus for monitoring the process state of a semiconductor device fabrication process
TW469554B (en) Method and apparatus for monitoring a process by employing principal component analysis
TW498475B (en) Detection of process endpoint through monitoring fluctuation of output data
TW516075B (en) Method and apparatus for controlling operation of a substrate processing chamber
TWI248668B (en) Method and apparatus for detecting endpoint during plasma etching of thin films
TWI336107B (en) Damage-free ashing process and system for post low-k etch
TW523810B (en) Process apparatus and cleaning method
TW452881B (en) Method and apparatus for improving accuracy of plasma etching process
JP2000349076A5 (zh)
CN101359586B (zh) 半导体集成电路装置的制造方法
TW543080B (en) Semiconductor device
Weiser et al. Hydrogen-related defects measured by infrared spectroscopy in multicrystalline silicon wafers throughout an illuminated annealing process
TW200404330A (en) Method and system for controlling a process using material processing tool and performance data
KR20070020226A (ko) V-i프로브 진단을 이용한 플라즈마 에칭 종료점 검출방법
JP2001127051A (ja) 半導体ウェーハの蝕刻状態計測方法
Abdur-Rahman et al. Effect of isopropyl alcohol concentration and etching time on wet chemical anisotropic etching of low‐resistivity crystalline silicon wafer
TWI240326B (en) Method and apparatus for determining an etch property using an endpoint signal
TW201732064A (zh) 改良黏著性之方法
TW201122412A (en) Coating apparatus and method for real-time monitoring thickness change of coating film
Carpenter III et al. Substrate‐independent analysis of microcrystalline silicon thin films using UV Raman spectroscopy
TW518686B (en) System for automatic control of the wall bombardment to control wall deposition
CN101969035B (zh) 检测半导体器件的大马士革结构的方法
JP2000357679A (ja) エッチング終点検出方法
CN103346102A (zh) 检测预处理能力的方法
TWI290344B (en) Structure of layers and removing method thereof and method of testing the semiconductor machine

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees