KR100636039B1 - 공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법 - Google Patents

공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법 Download PDF

Info

Publication number
KR100636039B1
KR100636039B1 KR1020050035841A KR20050035841A KR100636039B1 KR 100636039 B1 KR100636039 B1 KR 100636039B1 KR 1020050035841 A KR1020050035841 A KR 1020050035841A KR 20050035841 A KR20050035841 A KR 20050035841A KR 100636039 B1 KR100636039 B1 KR 100636039B1
Authority
KR
South Korea
Prior art keywords
pixel
pixels
matrix
calculating
processed image
Prior art date
Application number
KR1020050035841A
Other languages
English (en)
Other versions
KR20060047613A (ko
Inventor
아자트 엠. 래티포브
Original Assignee
에이에스엠엘 홀딩 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 홀딩 엔.브이. filed Critical 에이에스엠엘 홀딩 엔.브이.
Publication of KR20060047613A publication Critical patent/KR20060047613A/ko
Application granted granted Critical
Publication of KR100636039B1 publication Critical patent/KR100636039B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • AHUMAN NECESSITIES
    • A62LIFE-SAVING; FIRE-FIGHTING
    • A62BDEVICES, APPARATUS OR METHODS FOR LIFE-SAVING
    • A62B18/00Breathing masks or helmets, e.g. affording protection against chemical agents or for use at high altitudes or incorporating a pump or compressor for reducing the inhalation effort
    • A62B18/08Component parts for gas-masks or gas-helmets, e.g. windows, straps, speech transmitters, signal-devices
    • A62B18/082Assembling eyepieces, lenses or vision-correction means in or on gas-masks
    • GPHYSICS
    • G02OPTICS
    • G02CSPECTACLES; SUNGLASSES OR GOGGLES INSOFAR AS THEY HAVE THE SAME FEATURES AS SPECTACLES; CONTACT LENSES
    • G02C5/00Constructions of non-optical parts
    • G02C5/001Constructions of non-optical parts specially adapted for particular purposes, not otherwise provided for or not fully classifiable according to technical characteristics, e.g. therapeutic glasses
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system

Abstract

공간 광변조기 배열의 가공 이미지(aerial image)를 계산하기 위한 방법은, 공간 광변조기 배열의 픽셀들 간의 상호 간섭(pair wise interference)을 나타내는 픽셀 간섭 행렬을 계산하는 단계; 픽셀들의 변조 상태에 대응하는 실효 그레이톤을 계산하는 단계; 및 그 픽셀 참조 행렬 및 실효 그레이톤에 기초하여 가공 이미지를 계산하는 단계를 포함한다. 그레이톤은 픽셀들의 변조 상태에만 의존한다. 픽셀 간섭 행렬은 위치 변수들에만 의존한다. 위치 변수는 이미지 평면에서의 위치 및 전자기 복사(electromagnetic radiation)의 소스 평면에서의 위치이다. 픽셀 간섭 행렬은 함수들의 행렬일 수 있다. 픽셀 간섭 행렬은 4차원 행렬일 수 있다. 실효 그레이톤은, sinc 함수를 사용하거나 또는 다항식 함수를 사용하여 근사화된다.
공간 광변조기, SLM, 가공 이미지, 픽셀, 미러

Description

공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법{SYSTEM AND METHOD FOR CALCULATING AERIAL IMAGE OF A SPATIAL LIGHT MODULATOR}
도 1은 반사형 공간 광변조기(reflective spatial light modulators)를 갖는 마스크리스 리소그래피 시스템(maskless lithography system)을 도시하는 도면.
도 2는 투과형(transmissive) 공간 광변조기를 갖는 마스크리스 리소그래피 시스템을 도시하는 도면.
도 3은 본 발명의 실시예에 따른 공간 광변조기의 다른 예를 도시하는 도면.
도 4는 도 3의 공간 광변조기의 상세도를 도시하는 도면.
도 5는 본 발명의 일 실시예에 따른 공간 광변조기의 2차원 배열을 도시하는 도면.
도 6은 본 발명의 일 실시예에 따른 반사형 SLM의 일부분을 도시하는 도면.
도 7은 작은 개구수(numerical aperture)의 투사 광학계에 대하여 10개의 상이한 틸트 값(tilt values)에 대한 투사 광학계의 퓨필(pupil) 내의 필드를 도시하는 도면.
도 8은 도 7에 대응하는 이미지 평면의 투사 광학계 내의 필드를 도시하는 도면.
도 9는 본 발명의 일 실시예에 따른 틸팅 미러 픽셀 필드의 근사를 도시하는 도면.
도 10은 본 발명의 일 실시예에 따른 가공 이미지 계산 프로세스의 흐름도를 도시하는 도면.
<도면의 주요 부분에 대한 부호의 설명>
102: 조명 시스템 104: SLM/SLM 배열
108: SLM 광학계 110: 투사 광학계
114, 116: 제어기
본 발명은 일반적으로 리소그래피(lithography)에 관한 것이다. 더 구체적으로, 본 발명은 공간 광변조기(spatial light modulator; SLM) 배열의 가공 이미지(aerial image)의 실시간 계산에 관한 것이다.
리소그래피는 기판의 표면에 피쳐(features)를 생성하는데 사용되는 프로세스이다. 이러한 기판으로 평면 디스플레이(예를 들어, LCD), 회로 기판, 다양한 집적 회로 등의 제조에 사용되는 것들을 포함할 수 있다. 이러한 응용을 위해 빈번하게 사용되는 기판으로 반도체 웨이퍼(semiconductor wafer) 또는 유리 기판(glass substrate)이 있다. 본 설명은 예시적으로 반도체 웨이퍼에 관하여 쓰여져 있으나, 본 기술분야의 당업자에게 알려진 다른 유형의 기판들에도 본 설명이 적용됨을 본 기술분야의 당업자는 인식할 것이다.
리소그래피를 하는 동안, 웨이퍼 스테이지(wafer stage)에 배치된 웨이퍼는, 리소그래피 장치 내에 위치한 노광 광학계(exposure optics)에 의하여 웨이퍼의 표면에 투사되는 이미지에 노광된다. 노광 광학계는 포토리소그래피(photolithography)의 경우에 사용되지만, 다른 유형의 노광 장치가 특정 응용에 따라 사용될 수 있다. 예를 들어, 본 기술분야의 당업자에게 알려져 있는 바와 같이, X-레이(x-ray), 이온, 전자 또는 광자 리소그래피 각각은 다른 노광 장치를 필요로 할 수 있다. 포토리소그래피의 특정한 예가, 단지 예시적인 목적으로 본 명세서에서 논의된다.
투사된 이미지는, 웨이퍼의 표면에 위치한 층(layer)(예를 들어, 포토레지스트(photoresist))의 특성에 변화를 일으킨다. 이러한 변화는, 노광 동안 웨이퍼 상에 투사된 피쳐에 대응한다. 노광 후에, 이 층은 에칭되어 패턴화된 층(patterned layer)이 될 수 있다. 패턴은 노광 동안 웨이퍼 상에 투사된 피쳐에 대응한다. 그 후, 이 패턴화된 층은 도전층, 반도체층 또는 절연층과 같은, 웨이퍼 내의 하위 구조층의 노광된 부분을 제거하거나 또는 더 처리하는데 사용된다. 이 공정은 그 후, 웨이퍼의 표면 상에 또는 다양한 층에 원하는 피쳐가 형성될 때까지 다른 단계들과 함께 반복된다.
전통적인 리소그래픽 시스템 및 방법은 반도체 웨이퍼 상에 이미지를 형성한다. 그 시스템은 전형적으로, 반도체 웨이퍼 상의 이미지 형성 공정을 수행하는 장치를 포함하도록 설계된 리소그래픽 챔버(chamber)를 갖는다. 챔버는, 사용되는 광선의 파장에 따라 상이한 가스 혼합 및 진공 등급을 갖도록 설계될 수 있다. 레 티클(reticle)이 챔버 내부에 위치한다. 광선은 (시스템 내부에 위치한) 조명원(illumination source)으로부터 광학계, 레티클 상의 이미지 아웃라인 및 제2 광학계를 통과한 뒤, 반도체 웨이퍼와 상호 작용한다.
기판 상에 소자를 제작하기 위해서는 복수의 레티클이 요구된다. 이 레티클들은, 피쳐 크기 및 작은 피쳐 크기에 요구되는 정확한 허용오차(tolerances)로 인하여, 제조하는데 소요되는 시간 및 비용이 점점 증가하고 있다. 또한, 레티클은 소진되기 이전에 일정 시간 동안만 사용될 수 있다. 만약 레티클이 특정 허용오차 내에 있지 않거나 또는 레티클이 손상된다면, 추가 비용이 늘상 발생한다. 따라서, 레티클을 사용하는 웨이퍼 제조는, 더욱더, 그리고 어쩌면 엄청난 비용이 들게 된다.
이러한 단점을 극복하기 위하여, 마스크리스(maskless)(예를 들어, 직접 기록, 디지털 등) 리소그래피 시스템이 개발되어 왔다. 마스크리스 시스템은 레티클을 공간 광 변조기(spatial light modulator; SLM)라 불리는 다양한 콘트라스트(contrast) 디바이스로 대체한다. 공지의 SLM으로 DMD(digital mirror device), LCD(liquid crystal display), GLV(grating light valves device) 등을 포함한다. SLM은, 희망하는 패턴을 형성하기 위하여 광학적 특성을 조절된 방식으로 변화시키는 (예를 들어, 미러를 틸팅(tilting) 및/또는 피스토닝(pistoning)하거나 LCD 배열 셀을 그레이토닝(greytoning)하는) 액티브 영역의 배열(array)을 포함한다.
동시에, 가공 이미지(즉, 투사 광학계(projection optics)의 초점 평면의 이 미지)의 실시간 계산법 및 (이 프로세스의 반대인) 이러한 이미지를 생성하는데 요구되는 픽셀의 상태에 대한 계산법에 대한 요구가 산업계에 존재한다. 전형적으로 후자의 계산은 반복적으로 이루어진다. 이미지 평면에서 희망하는 강도(intensity) 분포를 아는, SLM 제어기 또는 SLM 제어기에 연결된 컴퓨터 시스템은, 이미지 평면에서 희망하는 강도 분포를 생성하기 위하여 픽셀 변조 입력의 최적 세트를 계산할 필요가 있다. 그러나, 이러한 계산에 대한 전통적인 "무식한" 접근법은 매우 집약적인 계산을 요하여, 실시간으로 가공 이미지를 지속적으로 재계산하는 것을 보통 불가능하게 만든다.
따라서, 가공 이미지의 신속한 계산을 위한 방법 및 시스템이 필요하다.
본 발명은 관련 기술분야의 하나 이상의 문제점 및 단점을 실질적으로 방지하는 공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법에 관한 것이다. 본 발명의 일 실시예는 공간 광변조기 배열의 가공 이미지를 계산하는 방법을 포함하며, 이 방법은 공간 광변조기 배열의 픽셀들 간의 상호 간섭(interference)을 나타내는 픽셀 간섭 행렬을 계산하는 단계; 픽셀들의 변조 상태에 대응하는 실효 그레이톤(effective graytones)을 계산하는 단계; 및 그 픽셀 간섭 행렬 및 실효 그레이톤에 기초하여 가공 이미지를 계산하는 단계를 포함한다. 그레이톤은 픽셀들의 변조 상태에만 의존할 수 있다. 픽셀 간섭 행렬은 전형적으로 위치 변수, SLM 픽셀의 변조 원리 및 조명 모드에만 의존한다. 위치 변수는 전자기 복사(electromagnetic radiation)의 소스 평면에서의 위치 및 이미지 평면에서의 위치이다. 픽셀 간섭 행렬은 함수들의 행렬 또는 4차원 행렬이 될 수 있다. 예를 들어, 실효 그레이톤은 sinc 함수를 사용하거나 또는 다항식 함수를 사용하여 근사화된다.
본 발명의 추가적인 특징 및 장점이 이하의 설명에 나타날 것이며, 부분적으로 설명으로부터 명백해지거나 본 발명의 실시에 의해 알 수 있을 것이다. 본 발명의 장점은, 특히 본 명세서의 설명 및 청구범위 그리고 첨부된 도면에 나타난 구조에 의해 실현되고 달성된다.
앞서의 일반적인 설명 및 이하의 상세한 설명은 모두 예시적이고 설명을 위한 것이며 청구된 본 발명에 대한 상세한 설명을 제공하기 위한 것임을 이해하여야 한다.
본 명세서의 일부를 구성하며 편입된, 첨부 도면은, 본 발명의 원리를 설명하는데 도움을 주는 설명과 함께 본 발명의 실시예를 도시한다.
구체적인 구성 및 배열이 논의되지만, 이는 단지 예시적인 목적으로 이루어지는 것임을 이해하여야 할 것이다. 다른 구성 및 배열이 본 발명의 사상 및 범위에서 벗어나지 않은 채 사용될 수 있음을 본 기술분야의 당업자는 인식할 것이다. 본 발명이 기타 다양한 응용에 사용될 수도 있음은 본 기술분야의 당업자에게 명백할 것이다.
도 1은 본 발명의 실시예에 따른 마스크리스 리소그래피 시스템(maskless lithography system; 100)을 도시한다. 시스템(100)은 광선을 광선 분리기(beam splitter; 106) 및 SLM 광학계(108)를 통해 반사형 공간 광변조기(SLM; 104)(예를 들어, DMD, 반사형 LCD 등)로 투과시키는 조명 시스템(illumination system; 102)을 포함한다. 전통적인 리소그래피 시스템에서 사용되는 레티클을 대체하여 SLM(104)이 광선을 패턴화하는데 사용된다. SLM(104)으로부터 반사된 패턴화된 광선은, 광선 분리기(106)를 다시 지나, 그 후 투사 광학계(projection optics; PO; 110)를 통과하며, 대상(object; 112)(예를 들어, 기판, 반도체 웨이퍼, 평면 디스플레이를 위한 유리 기판 등) 위에 회로 패턴의 이미지를 생성하는데 사용된다.
관련 기술분야에 공지된 바와 같이, 조명 광학계는 조명 시스템(102) 내에 장착할(housed) 수 있음을 알 수 있을 것이다. 또한, 관련 기술분야에 공지된 바와 같이, SLM 광학계(108) 및 투사 광학계(110)는, SLM(104) 및/또는 대상(112)의 희망하는 영역 위로 광선을 향하게 하는데 요구되는 광학 소자들의 임의의 조합을 포함할 수 있음을 알 수 있을 것이다.
대안적인 실시예에서, 조명 시스템(102) 및 SLM(104) 중 하나 또는 모두는, 각각 제어기(114 및 116)에 연결되거나 통합된 제어기(114 및 116)를 가질 수 있다. 제어기(114)는 시스템(100)으로부터의 피드백에 기초하여 조명원(102)을 조정하는데에 또는 교정(calibration)을 수행하는데 사용될 수 있다. 제어기(116) 또한 조정 및/또는 교정을 위하여 사용될 수 있다. 택일적으로, 제어기(116)는, 대상(112)을 노광하는데 사용되는 패턴을 생성하기 위하여, SLM(104) 상의 액티브 디바이스(예를 들어, 픽셀, 미러, 위치 등)(302)(이하 논의되는 도 3 참조) 제어를 위해 사용될 수 있다. 제어기(116)는 패턴 또는 패턴들을 생성하는데 사용되는 알 고리즘 및/또는 소정의 정보를 가진 (도시되지 않은) 통합형 저장 장치를 가지거나 또는 저장 소자에 연결될 수 있다.
도 2는 본 발명의 다른 실시예에 따른 마스크리스 리소그래피 시스템(200)을 도시한다. 시스템(200)은 광선을 패턴화하기 위하여 SLM(204)(예를 들어, 투과형 LCD 등)을 통하여 광선을 투과시키는 조명원(202)을 포함한다. 패턴화된 광선은 대상(212)의 표면 위에 패턴을 기록하기 위하여 투사 광학계(210)를 통하여 투과된다. 이 실시예에서, SLM(204)은 LCD 등과 같은 투과형 SLM이다. 상기와 유사하게, 조명원(202) 및 SLM(204) 중 하나 또는 모두는, 각각 제어기(214 및 216)에 연결되거나 또는 통합될 수 있다. 본 기술분야에 공지된 바와 같이, 제어기(214 및 216)는 상기 설명된 제어기(114 및 116)와 같이 유사한 기능을 수행할 수 있다.
시스템(100 또는 200)에서 사용될 수 있는 SLM의 예로는 독일의 Fraunhofer Institute for Circuits and Systems에 의해 제조되는 것이 있다. 캘리포니아, 서니베일의 Silicon Light Machines에 의해 제조된 바와 같은 GLV(grating light valve) SLM은 본 발명을 적용할 수 있는 SLM의 또 다른 예이다.
단지 편의상, 이하 시스템(100)에 대해서만 설명할 것이다. 그러나, 관련된 기술분야의 당업자에게 알려져 있는 바와 같이, 이하 논의되는 모든 개념들은 시스템(200)에도 또한 적용될 수 있다. 본 발명은 이러한 시스템들에도 역시 적용할 수 있다.
도 3은 SLM(104)의 액티브 영역(300)의 상세도를 도시한다. 액티브 영역(300)은 (도면에서 점으로 된 패턴으로 표현된) 액티브 디바이스(active devices; 302)의 배열을 포함한다. 액티브 디바이스(302)는 DMD 상의 미러(mirrors) 또는 LCD 상의 위치(locations)가 될 수 있다. 관련 기술분야에 공지된 바와 같이, 액티브 디바이스(302)는 또한 픽셀과 같은 것을 지칭하는 것일 수 있음을 알 수 있을 것이다. 액티브 디바이스(302)의 물리적 특성을 조정함으로써, 이들은 (2진 SLM의 경우) ON 또는 OFF로, 또는 다른 SLM들의 경우 ON과 OFF 및 그 사이의 상태로서 보일 수 있다. 희망하는 패턴에 기초한 디지털 또는 아날로그 입력 신호가 다양한 액티브 디바이스(302)를 제어하는데 사용된다. 일부 실시예에서는, 대상(112)에 기록되는 실제 패턴이 검출될 수 있으며, 그 패턴이 받아들일 수 있는 허용오차를 벗어나는지 여부에 관한 판정이 이루어질 수 있다. 만약 벗어난다면, SLM(104)에 의해 생성되고 있는 패턴을 실시간으로 미세-조정(fine-tune)(예를 들어, 교정(calibrate), 조정(adjust) 등)하기 위하여 아날로그 또는 디지털 제어 신호를 생성하는데 제어기(116)가 사용될 수 있다.
도 4는 SLM(104)의 상세도를 도시한다. SLM(104)은 액티브 영역(300)을 둘러싼 인액티브 패키징(inactive packaging; 400)을 포함할 수 있다. 또한, 대안적인 실시예에서, 이하 논의되는 바와 같이, 주 제어기(main controller; 402)가 모니터에 대한 각각의 SLM 제어기(116)에 연결되어 SLM의 배열을 제어할 수 있다. 이하 논의되는 바와 마찬가지로, 다른 실시예에서 인접 SLM들은 서로에 대하여 오프셋(offset)되거나 스태거링(staggering)될 수 있다.
도 5는 SLM의 배열(104)을 수용하는 지원 디바이스(support device; 502)를 포함하는 조립체(assembly; 500)를 도시한다. 다양한 실시예에서, 이하 더 상세하 게 설명된 바와 같이, 다수의 희망하는 펄스당 노광 및 사용자의 기타 기준에 기초하여, SLM의 배열(104)은 다양한 수의 행, 열, 행당 SLM, 열당 SLM 등을 가질 수 있다. SLM(104)은 지원 디바이스(502)에 연결될 수 있다. 관련 기술분야에 공지된 바와 같이, 지원 디바이스(502)는, 열 제어 영역(504)(예를 들어, 물 또는 공기 채널 등), 제어 논리 및 관련 회로를 위한 영역(예를 들어, ASICs, A/D 컨버터, D/A 컨버터, 데이터 스트림화를 위한 광 섬유(fiber optics) 등이 될 수 있는, 소자(116) 및 소자(402)를 도시하는 도 4를 참조) 및 SLM(104)을 수용하는 (파선 모양 내에 형성된)윈도우(506)를 가질 수 있다. 지원 디바이스(502), SLM(104) 및 모든 주변 냉각 또는 제어 디바이스들은 조립체라고 지칭될 수 있다. 조립체(500)는 원하는 스티칭(stitching)(예를 들어, 대상(112) 위 피쳐의 인접 소자의 연결) 및 선도(leading) 및 후미(trailing) SLM(104)들의 오버랩(overlap)을 생성하는데 바람직한 스텝 사이즈를 가능하게 할 수 있다. 예로서, 지원 장치(502)는 250㎜×250㎜(12in×12in) 또는 300㎜×300㎜(10in×10in) 치수를 가질 수 있다. 지원 디바이스(502)는 온도 안정 물질로부터 제조됨에 기초하여 열 제어를 위하여 사용될 수 있다.
지원 디바이스(502)는 SLM(104)의 공간 제어를 보증하기 위하여 그리고 회로 및 열 제어 영역(504)을 내장하기 위한 기계적 백본(mechanical backbone)으로서 이용될 수 있다. 임의의 전자 장치가 지원 디바이스(502)의 앞면과 뒷면 중 하나 또는 모두에 장착될 수 있다. 예를 들어, 아날로그 기반 SLM 또는 전자 소자를 사용할 때, 제어 또는 연결(coupling) 시스템(504)과 액티브 영역(300)이 유선 연결 될 수 있다. 지원 디바이스(502) 상에 장착됨에 기초하여, 이 선들은 상대적으로 더 짧아질 수 있으며, 이는 회로가 지원 디바이스(502)로부터 멀리 떨어져 있는 경우에 비하여 아날로그 신호의 감쇠를 줄인다. 또한, 회로와 액티브 영역(300) 간의 링크가 짧음으로 인하여, 통신 속도를 증가시키고, 따라서 실시간 패턴 재조정 속도를 증가시킬 수 있다.
대안적으로, 회로 내의 전자 디바이스 또는 SLM(104)이 닳았을 때, 조립체(500)를 쉽게 교체할 수 있다. 조립체(500)를 교체하는 것은 조립체(500) 상의 칩만을 교체하는 것보다 비용이 더 들지만, 전체 조립체(500)를 교체하는 것이 사실상 더 쉽고 빠르며, 이는 생산 비용을 절약할 수 있다. 또한, 만약 최종 사용자가 기꺼이 재활용된(refurbished) 조립체(500)를 사용하려고 한다면, 조립체(500)를 재활용하여, 교체 부분을 줄일 수 있다. 일단 조립체(500)가 교체되면, 가공을 다시 하기 전에 전체 정렬(overall alignment)에 대한 검사만이 필요하다. 일부 예에서, 필드를 대체하는 동안 조립체(500)의 반복가능한 기계적 정렬을 가능하게 하기 위하여 운동학적인 장착 기법(kinematic mounting techniques)이 사용될 수 있다. 이는 조립체(500)의 임의의 광학적 조정에 대한 필요를 제거할 수 있다.
통용 SLM 시스템은 전형적으로 16㎛×16㎛ 픽셀(302)(도 6 참조)을 이용하고 있으며, 차세대 SLM 시스템은 8㎛×8㎛ 픽셀(302)로 이동하고 있다. 전형적인 SLM(104)은 100만 픽셀(302) 이상을 포함하며, 각 픽셀(302)의 특성은 각 픽셀(302)에 개별적으로 적용된 전압에 의해 개별적으로 제어된다. SLM(104)은 반사형 및 투과형(예를 들어, 미러 타입 반사형 SLM 및 LCD 타입 투과형 SLM) 모두가 될 수 있음에 주목하자. 반사형 SLM(104)이 현재 산업에서 더 일반적으로 사용된다. 도 6은 그러한 반사형 또는 틸팅 타입의 SLM(104)의 도면인데, (302a 내지 302d로 명명된) 12 픽셀을 도시하고 있다. 일 예에서, (도시되지 않은) 용량성 연결(capacitive coupling)은 (도시되지 않은) 트랜지스터를 사용하여 제어된다. 전형적인 픽셀(302)은 커패시터 내의 병렬판이 제어되는 방법과 유사한 방식으로 제어되며, 바꾸어 말하면, 정전기력(electrostatic forces)을 사용하여 픽셀(302)의 미러의 틸트를 제어하는데 용량성 연결이 사용된다. 도 6에, 미러들 중 하나(픽셀(302d)의 미러)가 미러 아래의 커패시터가 충전되었을 때 틸팅되는 것으로 도시되어 있다.
만약 픽셀(302)이 사각(square)이면, 그 회절 패턴은 0차의 큰 로브(lobe)와 더 작은 사이드 로브(side lobe)를 갖는,
Figure 112005022538593-pat00001
로 정의된 sinc 함수이다. 픽셀(302)이 틸팅되어 있을 때, 그 픽셀(302)로부터의 회절 패턴은 각도 공간(angular space)이 측면으로 편이된다.
만약 투사 광학계(110)가 0차 로브의 일부분만을, 예를 들어, 0차 로브의 에너지 총량의 1/2 또는 1/3을 캡쳐한다면(즉, 개별 SLM 픽셀을 분해시키지 않는 PO(110)를 사용함), 픽셀(302d) 틸팅은 투사 광학계(110)를 통과하는 광선의 양을 변조한다. 따라서, 변조 기법에 있어서, 변조 효과를 갖기 위하여, 픽셀(302d)이 분해되지 않는 것이 필수적이다. 그러나 픽셀(302d)이 분해되지 않기 때문에, (사각 픽셀 또는 미러에 대하여) "뚜렷한 사각(sharp square)"을 보는 대신, 광선의 " 흐릿한 윤곽(blob)"이 이미지될 것이며, "뚜렷한 사각"의 명목상 크기를 몇 배 초과할 것이다. 따라서, 이웃 픽셀(302)로부터의 이미지가 오버랩될 것이다. 그러므로 이웃 픽셀(302)은 서로 강하게 상호 작용을 할 것이다. 이는 이미지 평면의 각 포인트에서, 수개의 픽셀들(302)로부터 광선이 수신된다는 것을 의미한다.
도 7 내지 8에 도시된 예에서, λ(소스 파장) = 193.375㎚, L(픽셀 크기) = 16㎛, PO의 NA(개구수) = 0.00265, 픽셀(302) 틸트는 α = 0 과 α = α0 =
Figure 112005022538593-pat00002
사이의 값을 갖는다. 도 7은 단일의 픽셀에 대한 10개의 상이한 틸트 각도에 대하여 투사 광학계(110)의 퓨필 내의 필드를 도시한다(도 7 및 8에서 명료함을 위하여 단지 한 픽셀의 각도 변조가 도시되어 있음을 주목하자). 0.00265의 개구수를 가지고, SLM 픽셀이 이미지 평면에 매우 불충분하게 분해되어 있다(도 8 참조). 구체적으로, 도 8에 도시된 바와 같이, 상이한 틸트 각도 α에 대하여 변조가 양호할지라도, 픽셀(302)의 이미지는 매우 "퍼져" 있다.
앞서 언급한 바와 같이, SLM을 사용하여 광선을 변조하는데 사용될 수 있는 물리적 원리는 다수 존재한다. 그 원리들 중 하나는, 각 픽셀을 통하여 투과되는 광선의 강도가 변조되는 투과형 SLM 또는 그레이톤의 사용이다. 또 다른 원리로는 각 픽셀 미러의 각도가 (일반적으로 디지털로) 제어되는 틸팅 SLM 또는 틸팅 미러 원리가 있다. SLM 출력 변조를 위한 원리의 제3 유형은, 반사된 파두(wave front)에 위상 변동(phase variation)을 삽입하는, 미러 이동 또는 피스토닝의 사용이다.
SLM의 문맥에서, 래스터라이제이션(rasterization)은, 주어진 희망 출력 및 주어진 제거 소스의 특성 및 SLM으로, SLM 픽셀의 최적 변조 파라미터를 계산하는 프로세스이다. 가공 이미지의 계산은 임의의 가상 래스터라이제이션 알고리즘에 있어서 필수적인 단계이다. 래스터라이징하기 위하여, 가공 이미지는 반복적으로 여러 번 계산되는 것이 필요하다.
따라서, 관심 문제는 다음과 같이 정의될 수 있다. 즉, "가공 이미지"라고도 알려져 있는, 이미지 평면에서 소정의 강도 분포 I( x , α )를 달성하는 것이 바람직하다. 목표는 희망하는 가공 이미지를 생성할 픽셀 변경(변조) 파라미터의 집합을 결정하는 것이다. 일반적으로, x 는 이미지 평면의 위치 벡터일 때 픽셀 변조 파라미터 α = [α1,…,αN]의 일반 패턴 I( x , α )의 계산은 가공 이미지의 시뮬레이션을 필요로 하느데, 이는 연산상 고도의 작업이다.
주어진 변조 조건의 집합 α = [α1,…,αN]에 응답하는 각 픽셀로부터의 가공 이미지는, 상대적으로 직접적인 계산을 통하거나 또는 측정을 통하는 것으로 알려져 있다. 대상 평면에서(즉, SLM에서)의 진폭 및 위상 분포를 알면, 단일 푸리에 변환을 사용하여 투사 광학계(110)의 퓨필에서의 조명 필드의 진폭 및 위상 분포 U(p)를 계산하고, 그 후 제2 푸리에 변환(또는 더 복잡한 이미징 모델)을 사용하여 이미지 평면에서의 진폭 및 위상 분포 U(i)를 계산하는 것이 가능하다. 그로부터, 이미지 평면에서의 강도 분포를 그 후 계산할 수 있다.
더욱 일반적으로, 최상 초점 이미지 평면에서의 필드 U(i) 및 퓨필 평면에서 의 필드 U(p)는 선형 연산자 F, 즉 다음과 같이 사용된 "이미징 모델"에 의해 관련되어 있다.
Figure 112005022538593-pat00003
여기서, x 는 이미지 평면에서의 2차원 위치 벡터, 즉, (x,y)이다. 앞서 언급된 바와 같이, 벡터 α = [α1,…,αN]는 SLM 배열의 모든 픽셀의 모든 변조 파라미터의 집합이다. "낮은 NA, 스칼라 모델"에서, F는 독립 변수 f p(즉, 퓨필 평면에서의 위치)로부터 x (이미지 평면에서의 위치)로의 푸리에 변환이다. 여기서,
Figure 112005022538593-pat00004
는 퓨필 위치 좌표이며,
Figure 112005022538593-pat00005
(여기서 x/R 및 y/R은 퓨필에서의 각도 좌표에 대응하는 방향성 코사인을 나타냄) 및
Figure 112005022538593-pat00006
는 평면파가 시작하는 소스 위치 좌표이다. 볼드체로 밑줄이 있는 폰트는 벡터량을 나타낸다.
확장된 소스 S의 포인트 f s에서 시작하는 평면파에 의해 조명되는 일반 단일 픽셀 j(예를 들어, 틸팅 미러 픽셀)에 의해 생성된 이미지 평면에서의 필드 U(i)는 다음과 같이 주어진다.
Figure 112005022538593-pat00007
SLM 배열의 이러한 다수의 픽셀들로부터의 결과인 가공 이미지 I( x , α )는 다 음과 같이 주어진다.
Figure 112005022538593-pat00008
여기서 N은 픽셀들의 총수이다. U(i)의 경우에, 이미지 평면의 임의의 위치(즉, x j에서)의 필드 U(i)는 틸팅 각도 αj 및 소스에서 평면파가 시작하는 포인트 소스의 위치 또는 f s에 의존한다. 상기 수식은 소스가 이진이라는 가정(즉, 소스에서 방사되는 모든 포인트의 강도는 동일함)에 기초하고 있지만, 이 수식 및 이하의 수식들은 그 강도가 일반적으로 변동하는 소스에 대하여 쉽게 재공식화될 수 있다.
그러나 수학적으로 직접적인 이 절차는 매우 계산 집약적이며, 전형적인 SLM은 수백만의 픽셀을 가질 수 있기 때문에, 이들 각각은 매우 많은 수의 가능 변조 상태를 가질 수 있다(예를 들어, 각 픽셀에 대하여 64개의 가능 상태). 더욱 중요하게도, 수학식 3에 따른 직접적인 계산을 수행하는 것은, SLM 픽셀의 주어진 변조 상태 α = [α1,…,αN]의 결과인 가공 이미지를 계산하기 위하여, 소스에 대해 적분을 수행하는 것이 요구될 것이다. 이 계산 과제를 종료하는데 소요되는 시간은 관련된 하드웨어 프로세싱에 의존하나, 프로세서 속도, I/O 인터페이스 제한, 픽셀의 수 등에 따라, 수 분에서부터 수 시간까지 걸릴 수 있다.
틸팅 미러 타입 SLM에 대한 가공 이미지 계산의 프로세스는 일반적 용어로 다음에 설명될 것이다. 전형적인 틸팅 미러 SLM은 수백만의 미러를 가지며, 이들 각각은 대략 측면 크기 L인 사각이며, 틸팅 각도 α(변조 파라미터)를 갖는다. SLM은 대상 평면에 위치한다. 따라서, 평면의 입력파를 가지고, 각 미러는 각 미러를 가로질러 위상이 선형 변동하도록(미러가 틸팅되어 있으므로) 반사를 생성한다. 바꾸어 말하면, 미러의 틸트는 각 미러를 가로지른 선형 위상 변동을 반사된 필드에 유도한다. 따라서, 대상 평면에 위치한 전체 SLM은, 진폭은 일정하나 위상은 변하는 반사를 생성하는 다수의 반사기로 이루어져 있다.
만약 미러가 이상적이라면, 위상의 변동은 각 미러를 가로질러 완벽하게 선형일 것이나, 실제로는 미러가 완벽하지 못할 수 있어 반사된 파두에 약간의 수차가 생길 수 있다. 선형 변동의 계수는 미러에 따라 상이하며, 이는 미러들의 틸트 각도가 상이하기 때문이다. 또한, 위상은 픽셀을 가로질러 선형적으로 변할지라도, 반사된 파두의 위상은 인접 픽셀들 간에 "점프한다"는 것을 알 수 있을 것이다. 픽셀의 중심에서, 위상은 정상적으로 0이다. 따라서, 이러한 분석으로, SLM은, 반사된 파두를 따라 위상이 변동하나 진폭은 일정한 반사 파두를 생성하는 평면 반사 미러로서 대안적으로 보여질 수 있다.
대상을 가로질러(즉, SLM을 가로질러) 위상 및 진폭 분포는 SLM을 커버하는 가상의 격자를 사용하여 다양한 포인트에서(전형적으로 미러당 몇 개의 격자 포인트에서) 수학적으로 "샘플링"된다. 격자는 각 픽셀이 그 위에 몇 개의 "노드들"(예를 들어, 픽셀당 10개의 노드)을 갖도록 하며, 여기서 반사된 필드가 "샘플링"된다. 따라서, 만약 반사된 파두가 가상 격자의 포인트들에서만 "샘플링"된다면, 모 든 곳에서의 진폭은 상수일 것이며, 반면에 위상은 변할(각 미러 상에서 선형적으로 변함) 것이다. 샘플링된 파두는 행렬 또는 배열로서 표현될 수 있으며, 여기서 각 (요소) 포인트는 진폭 1 및 미러의 틸트 각도 α의 함수인 위상을 갖는 복소수이다. 이 배열은 리소그래픽 패턴에 상응하며 또는 이 패턴의 재현에 동등하게 상응한다. 이 패턴은 그 후 가공 이미지를 계산하는 알고리즘에 의해 사용될 수 있다.
전통적인 알고리즘에서, 앞서 언급한 바와 같이, 가공 이미지는 두 번의 푸리에 변환(대상 평면을 퓨필 평면으로, 그리고 그 후 퓨필 평면을 이미지 평면으로)을 사용하여 계산된다. 높은 NA의 경우에 두 번째 푸리에 변환 대신에 더욱 복잡한 계산이 필요할 수 있다. 전통적인 계산은, 고속 푸리에 변환(Fast Fourier transform; FFT) 알고리즘이 사용될지라도, 상대적으로 시간이 걸린다. 두 번의 푸리에 변환을 계산한 후, 이미지 평면에서의 필드를 제곱함으로써 이미지 평면의 강도가 계산될 수 있다. 나아가, 상기 계산은 대상 위에 특정 각도로 입사되는 평면파를 생성하는 확장된 소스의 모든 포인트에 대하여 반복될 필요가 있다. 확장된 소스의 모든 포인트의 결과인 "부분적인" 가공 이미지는, 주어진 조명 모드의 결과인 이미지에 도달하기 위하여 합계되어야(소스에 대하여 적분되어야) 할 필요가 있다. "마스크"(패턴)는 일반화된 대상으로 취급되기 때문에, 앞서 설명된 계산은, 무식한(brute force) 방식으로 이루어진다면 매우 계산 집약적이다.
본 접근법은, 대상 또는 패턴이 임의의 (일반화된) 패턴이 아니라, 그 자체가 반복되는 모양으로 구성된 대상의 영역들 간의 양호하게-정의된 관계를 갖는다 는 통찰에 기초한다. 이 경우에, 반복되는 모양은 대상의 표면에 걸쳐 여러 번 반복되는 사각 픽셀들이다. 또한, 확장된 소스에 걸친 적분은 사전에 미리 계산될 수 있다. 이러한 통찰은 전통적으로 수행된 무식한 계산이 과도하다는 것을 보여준다. 이는 틸팅 미러보다 그레이톤 픽셀을 구성하는 SLM의 단순화된 예를 사용하여 알 수 있을 것이다.
그레이톤 예에서, 픽셀들은 모두 동일한 위상의 투과된 필드를 가지나, 강도 투과율 또는 반사율은 변한다. 단일의 그레이톤 픽셀을 취하면, 그 픽셀로부터의 이미지 필드는 그 진폭 투과율의 변조에 선형적으로 의존한다. 따라서, 50% 투과의 그레이톤 픽셀의 이미지 필드 U(i)는 진폭에 있어서는 100% 투과의 그레이톤 픽셀의 이미지 필드의 정확히 반이나, 모든 다른 방식에 있어서는 50% 투과율의 경우와 동일하다. 그레이톤 픽셀 및 투사 광학계(110)는 입력 광선에 (수학적 의미에서) 선형으로 효율적으로 동작한다. SLM의 이미징은 서브-분해(subresolution) 모드에서 보통은 이루어지므로, 픽셀의 이미지는 실제로, 위에서 논의되고, 도 8에 도시된 바와 같이, "흐릿한 윤곽(blob)"이다. "흐릿한 윤곽"은 대략 원형이다. 픽셀의 투과율이 변조될 때, "흐릿한 윤곽"의 강도는 픽셀 변조에 따라 선형적으로 변조된다. 그러나 "흐릿한 윤곽"의 광선의 분포는 동일하게 남는다.
변하는 진폭 투과율/반사율을 가진 픽셀을 가진 SLM에 대하여 정확한, 상기 특성은, 그레이톤 근사를 통하여 더 복잡한 변조 원리를 가진 픽셀들로 일반화될 수 있다. 서브-분해 픽셀에 대한 훌륭한 근사인, 그레이톤 근사는 다음과 같이 주 어진다.
Figure 112005022538593-pat00009
여기서, |g(αj)| <= 1 은 픽셀의 실효 진폭 그레이톤이며, αj는 변조 파라미터(그레이톤-타입 SLM의 경우에는, 투과 값)이다. 몇몇의 접근법들은 변조 파라미터 α에 대한 진폭 그레이톤 의존성을 유도하는 것이 가능하다. 예를 들어, 틸팅 미러 픽셀에 대하여, 상대적으로 정확한 모델 하나는
Figure 112005022538593-pat00010
,
Figure 112005022538593-pat00011
이다. 픽셀 대 픽셀 변동은 수학식 4에서 또한 무시되고 있음(즉, U(i) j 대신에 U(i))에 주목하자.
수학식 4로부터 볼 수 있는 바와 같이, 단일 픽셀에 대한 그레이톤 근사는, 위치 x , 틸트 각도 α 및 소스 위치 벡터 f s의 함수이며, 두 개의 개별적인 함수들의 곱으로 표현될 수 있다. 첫 번째 함수는 틸팅 미러 픽셀의 실효 그레이톤이며, sinc 함수로 표현될 수 있다. 두 번째 부분은 이미지 평면에서의 위치 및 소스의 특성만의 함수이다. sinc 함수 근사를 사용하는 것은 이미지 평면에서 광선의 "흐릿한 윤곽"으로 나타나며, 이는 g의 값에 선형적으로 스케일된다. 파라미터 g는 그러므로 틸트 각도 α에 의존하는 "실효" 그레이톤이다. 따라서, 수학식 4는, 이미지 평면 필드 U(i)는 틸트 각도 α가 아니라 틸트 각도 α의 함수에 대해 선형적 으로 스케일된다는 것을 본질적으로 말한다.
수학식 4를 수학식 3에 대입함으로써, 이미지 평면에서의 강도에 대한 결과가 다음과 같이 된다.
Figure 112005022538593-pat00012
여기서, g = [g1,…,gN]는 픽셀 그레이톤들(즉, 모든 틸트 αj에 대하여, 벡터 g 는 그 틸트 αj에 대한 연관된 그레이톤을 나타냄)이고, g j는 그 틸트 각도 αj에 대응하는 실효 그레이톤이며, N은 픽셀의 수이고, Cj1 , j2( x )는 다음과 같이 정의된 픽셀 간섭 행렬(pixel interference matrix; PIM)이다.
Figure 112005022538593-pat00013
픽셀 간섭 행렬 C는 주어진 조명 모드에 대한 픽셀들 간의 상호 간섭을 특성화하며, 그 항목들은 적은 수의 가공 이미지 시뮬레이션으로부터 또는 분석적으로 미리 계산될 수 있다. 또한, 서로 근접한 픽셀들(즉, 이웃)에 대응하는 픽셀 간섭 행렬 C의 항목들만을 유지하는 것으로도 충분하다. 픽셀 간섭 행렬 C의 항목들은 서로에 관하여 두 픽셀들의 상대적 위치에만 의존한다(일 회전(rotation) 하기까지). 바꾸어 말하면, 그레이톤의 경우, 가장 근접한 이웃을 설명하기 위하여, 픽셀 간섭 행렬 C는 단지 두 개의 필수 항목들만을 필요로 한다. 즉, 현재 픽셀과 그것의 "북향" 및 "북동향" 이웃 간의 간섭을 묘사하는 것들이다(기타 모든 항목들은 단지 이 두 가지 중 하나의 회전일 뿐이며, 북향 및 북동향은 예로서 사용된 것이다).
이 수식들은 이미지 평면에서의 강도는 위치 ( x ) 및 g 벡터의 함수이며, 여기서 g 벡터는 틸트 각도 정보(틸트 각도 자체와 혼동하지 말 것)를 나타낸다. 동등하게, 강도 I( x , α )는 그레이톤 벡터 g 의 2차 함수이다.
픽셀 변조 상태는 수학식 5의 gj1, gj2 부분으로 분리되지 않았으므로, 수학식 6에서 행렬 C는 픽셀의 변조 상태에 의존하지 않는다는 것 또한 주목하자. 바꾸어 말하면, 행렬 C는 이미지 평면 및 소스의 평면에서의 위치 변수(변수 x f s)에만 의존한다. 이는 C 행렬이 픽셀의 위치 및 조명원의 "모양(shape)"에 의존한다는 것을 다른 방식으로 표현한 것이다. 이는 C 행렬이 미리 계산될 수 있음을 의미한다. 그 후, C를 알고, 픽셀의 상태를 알면, 수학식 5의 2차 표현이 상대적으로 단순한 방식으로 계산될 수 있다. 수학식 5는 푸리에 변환을 사용하는 임의의 복잡한 실시간 재계산을 필요로 하지 않는다는 것에 주목하자. C가 계산되어 있을 때, 이 부분은 사전에 이루어질 수 있으며, 한 번 이루어지는 것만이 요구된다. 다른 방식으로 표현하면, 가공 이미지 계산은 한 번 이루어지며, 그 후 실시간으로 재사용될 수 있다.
추가적으로, 래스터라이제이션 프로세스에서, 가공 이미지 I( x , α ) 뿐만이 아니라 픽셀 변조 α 의 변경에 대한 가공 이미지 I( x , α )의 감도(sensitivity) 또 한 계산되는 것이 종종 바람직하다. 바꾸어 말하면, 만약 한 픽셀의 변조 상태 αj가 조금 변경되면, 가공 이미지의 상태는 무엇이 될 것인가? 이것이 일반적으로 래스터라이제이션 프로세스 동안의 "감도 분석(sensitivity analysis)"으로 알려져 있다. 감도는 통상적으로 가공 이미지의 미분을 사용하여 계산된다. 실시간으로 반복적인 래스터라이제이션 계산을 수행하는 것은, 적어도 특정 픽셀의 부근에서 가공 이미지에 대한 매우 고속의 계산을 요구한다. 실시간 SLM 래스터라이제이션에 적용되는 바와 같은, 전통적인 접근법들은 비실용적이다. 본 명세서에 설명된 접근법은 프로세스를 몇몇의 단계들로 나눈다. 그 단계들 중 일부는 일부 파라미터들의 사전-계산(pre-calculation)을 포함하며, 따라서 실시간으로 상대적으로 적은 양의 계산만이 요구된다.
그레이톤 근사의 프레임워크에서, 그레이톤에 대한 가공 이미지 감도는, 다음의 수식에 기초하여, 알려진 픽셀 간섭 행렬 C로부터 실시간으로 계산될 수 있다.
Figure 112005022538593-pat00014
상기 수학식 7은 픽셀 변조 파라미터에 대한 이미지의 감도를 나타내며, 강도의 함수 g에 대한 미분에 의해 표현된다. 수학식 7은, 단일 픽셀의 상태가 조금 변경된 경우, 가공 이미지에 무엇이 발생하는지를 보여준다. 최적 해답에 반복적으로 수렴하기 위하여, 래스터라이제이션 프로세스에 의해 수학식 7이 사용된다. 수학식 7에 의해 주어진 감도는 C 행렬 및 벡터 g 에 대하여 또한 선형적이며, 또한 푸리에 변환의 임의의 지속적인 재계산이 요구되지 않는다는 것에 주목하자.
따라서, 틸팅 미러 픽셀이 그레이톤 모델을 사용하여 근사화될 수 있다는 가정 하에, 사전-계산된 픽셀 간섭 행렬 C 및 각 픽셀에 대하여 픽셀 틸팅 각도로부터 유도된 함수 g 를 사용하여, 가공 이미지 I( x , α )의 계산이 매우 빠르게 수행될 수 있다. C는 (퇴보한 경우에는, 숫자들의 행렬로 축소될 수 있을지라도) 단지 숫자들의 행렬이 아니라, 함수들의 행렬임에 또한 주목하자. 행렬 Cj1 , j2( x )는, 앞서 언급한 바와 같이, 픽셀들 j1 및 j2 간의 간섭을 특성화한다. 행렬 C의 대각선의 항목들은 픽셀 자체의 간섭 또는 픽셀 자체의 가공 이미지를 나타낸다. 행렬 C의 대각선 외의 요소들(elements)은 두 개의 상이한 픽셀들 간의 간섭(즉, 상호 간섭)을 나타낸다. 이 상호 간섭은 픽셀들의 변조 상태와 독립적이다.
앞선 논의를 염두에 두고, 전체 SLM 배열로부터 가공 이미지 I( x , α )를 계산하기 위하여, 그레이톤 SLM의 경우, 두 번의 푸리에 변환의 집약적인 계산 프로세스를 수행할 필요가 없다는 것이 명백하다. 수행될 필요가 있는 것은, 간단히 100% 투과율의 단일 그레이톤 픽셀로부터 이미지를 결정하고, 그 후 적합한 스케일 계수로, 각 대응하는 픽셀에 대하여, 이미지 평면에서 x 및 y 방향으로 개별 픽셀의 이미지를 선형적으로 스케일하고 오프셋하는 것이다. 바꾸어 말하면, 두 번의 푸리에 변환 더하기 강도 계산(이미지 필드 분포 U(i)의 제곱)의 집약적인 계산 문제는, 스케일링 및 덧셈의 상대적으로 단순한 계산으로 축소될 수 있다.
이에 더하여, 서로 상대적으로 멀리 떨어진 픽셀들은, 이미지 평면에서 서로에 대하여 적어도 매우 약하게 영향을 끼친다. 이미지 평면의 특정 포인트에서 필드 U(i)를 계산하기 위하여, 알아야 할 필요가 있는 것은 하나의 픽셀 및 몇몇의 그 이웃으로부터의 강도 분포만이다. 바꾸어 말하면, 그레이톤 SLM의 경우에, 계산의 횟수에서 얼마나 극적인 감소가 획득될 수 있는지 명백하다. 이 접근법에서, 가공 이미지 계산은 전체 SLM 배열에 대해서가 아닌, 단일의 픽셀에 대하여만 수행될 필요가 있으며, 그 후 그 픽셀은 이미지 평면에 걸쳐 "복사될(replicated)" 수 있으며 전체 가공 이미지를 형성하도록 조합될 수 있다.
그레이톤 근사의 상기 예는 두 개의 간단화 또는 근사화에 의지한다. 첫 번째 간단화는 그레이톤 배열의 사용, 정확히 그것이다. (모두는 아닐지라도) 대부분의 상용 응용(commercial applications)은 틸팅 미러를 사용한다. 틸팅 미러 픽셀 강도 분포는, 그레이톤 픽셀 강도 분포와는 달리, 이미지 평면에서 α로 선형적으로 스케일하지 않는다.
두 번째 간단화는 대상이 간섭성의 광원(coherent light source)에 의해 조명된다는 것이다. 바꾸어 말하면, 조명 광원은 (펄스된 또는 지속파인) 단일 포인트 소스로 구성된다. 실제로, 이것은 드문 경우이다. 마이크로리소그래피 장비에서 사용되는 대부분의 실제 조명원은 훨씬 더 복잡한 강도 분포(예를 들어, 쌍극자(dipole) 또는 4극자(quadrupole) 소스)를 갖는다. 이 소스들은 서로에 관하여 간섭성이 아닌 다수의 "포인트" 소스로 사실상 구성될 수 있다. 상기 논의는 단지 부분적으로 간섭성인 소스를 사용하는 문제를 다룬 것이다.
더 정확한 그레이톤 근사가 필요하며, 이는 가공 이미지 및 다양한 조명 조건에 대한 그 감도의 빠른 계산을 허용할 것이다. 틸팅 미러의 경우에, 이미지 평면에서의 강도 분포의 모양은 틸트 각도 α에 따라 변한다. 핵심 난점은, 앞서 언급한 바와 같이, 강도 분포의 변화가, 그레이톤 픽셀의 경우 강도 분포에서의 변화가 투과율의 함수인 것과는 달리, 틸트 각도 α에 대해 선형적이지 않다는 것이다. 따라서, 단순한 선형 스케일링에 의지하는 접근법은 대부분의 경우 정확하게 동작하지 않을 것이다. 여기서, U(i) 진폭 및 위상 모두 틸트 각도 α에 대해 비선형 의존성을 갖는다.
그러나 서브-분해 투사 시스템의 경우에, 틸팅 미러 픽셀은 그레이톤 접근법을 사용하여 근사화될 수 있다. 이하에 논의될 이 근사와 역시 검토될 비간섭성 확장형 소스를 갖고, 본 발명은 틸팅 미러 SLM으로부터의 가공 이미지의 계산을 단순화하기 위한 방법을 제공한다.
틸팅 미러 문제에 접근하는 다른 방법은, 근사에서 다음 순서 정정(next order correction)으로 불리는 그레이톤 픽셀 동작 및 틸팅 미러 동작 간의 차이를 갖고, 틸팅 미러 픽셀을 그레이톤 픽셀로서 취급하는 것이다.
그레이톤 근사는, 앞서 설명한 바와 같이, 틸팅되고 변조된 미러 픽셀로부터의 PO(110) 퓨필에서의 필드 U(p)의 변동을 (거의) 상수로서 대체하는 것에 기초한 근사로서 생각될 수 있다. 다음 단계는 퓨필에서의 필드 U(p)의 선형 (1차) 변동에 대하여 고려하는 것이다. 이는 틸팅된 미러 픽셀로부터의 이미지 평면 필드 U(p)를 가로질러 위상 변동을 참작한 가공 이미지 및 더 정확한 PIM으로 이끌 것이다.
한 가지 더 다른 단계는 다수의 기초 함수들(예를 들어, 상수, 선형, 2차 등)의 합으로서 퓨필에서의 필드 U(p)를 나타내는 것이다. 이는 더 일반적이고 더 정확한 근사로 이끌 것이며, 계산도 더 빠르다.
도 9는 단일의 틸팅 미러 픽셀로부터의 퓨필의 필드 U(p)를 도시한다. 도 9에 도시된 곡선은 본질적으로 sinc 함수의 0차 로브이다. 곡선의 실선부분은 투사 광학계(110)의 퓨필의 개구수로서 "샘플링"된 부분이다. 바꾸어 말하면, sinc 함수의 0차 로브는 퓨필의 입구 개구수보다 더 넓으며, 로브의 작은 부분만이 서브-분해 시스템의 투사 광학계(110)의 입구 퓨필에 의해 실제로 캡쳐된다.
이러한 경우, 도 9의 곡선 그래프의 실선 부분은 (sinc 함수와 비교하여) 더 간단한 함수에 의해 합리적으로 훌륭하게 근사화될 수 있다. 예를 들어, 직선 또는 2차 방정식에 의해 근사화될 수 있다. 도 9의 전체 곡선은, 미러의 틸트 각도 α에 따라, 좌측 및 우측으로 자리 이동한다. 만약 미러가 틸팅되어 있지 않다면, 곡선의 최대값은 퓨필의 중심과 정확하게 일치할 것이다. 만약 도 9에 도시된 바와 같은 강도 곡선을 가진 픽셀이 그레이톤된다면, 도 9에서의 곡선은 상하로 움직인다(또는 강도가 스케일된다). sinc 함수의 부분의 0차 근사(도 9에서 곡선의 실선 부분으로 도시됨)는 수평선이다. 1차 근사는, 방정식 a0 + a1x 로 기울기를 가 진 직선이다. 2차 근사는 a0 + a1x + a2x2 을 형성하는 방정식이다.
따라서, 그레이톤 모델이 서브-분해 시스템에 대하여 틸팅 미러 "현실"을 얼마나 훌륭하게 근사화하는가를 고려하여야 한다. 바꾸어 말하면, 만약 픽셀로부터의 광선 중 작은 부분만이 투사 광학계(110)의 퓨필에 의해서 실제로 캡쳐된다면, 앞서 논의된 근사는 합리적으로 우수한 근사이다. 1차 근사(바꾸어 말하면, 각 픽셀 j에 대한 퓨필 필드 Uj (p)를 기울기를 가진 직선을 사용하여 근사화)는 합리적으로 우수한 근사일 것으로 생각된다. 다항식 근사가 사용될 수 있을지라도, 본 발명은 기초 함수로서 다항식 함수의 사용에만 한정되는 것은 아니며, 임의의 수의 함수들이 사용될 수 있음에 또한 주목하자. 그러나 (sinc 함수와 같은) 계산 집약적인 함수를 계산해야 하는 것은 피하는 것이 바람직하기 때문에, 직선 또는 2차 근사를 사용하는 것이 더 낫다고 여겨진다.
여기서, 계수 a0, a1,… 등은 틸트 각도 α에 의존한다. 따라서, 픽셀의 변조는 계수들 [a0,a1,…] 로서 표현된다. f p는 퓨필을 가로지른 거리를 나타내는 변수이며, 2차원 변수이다. 따라서, 이하 수학식 8 및 9에 나타난 바와 같이, 가공 이미지의 변조 파라미터에 대한 의존성은 방정식과 다시 한번 분리될 수 있으며, 방정식 자체는 신속한 계산을 위하여 단순화된다. 방정식에서, 두 개의 위치 변수들이 존재하기 때문에(바꾸어 말하면, 퓨필 평면에서의 거리 f p 는 2차원 벡터이며, 각 픽셀의 방향(orientation)은 2차원으로 제어될 수 있기 때문에), 이 경우에 대한 C 행렬은 실제로 "4차원" 행렬이 되어, 행렬 C의 각 항목은 4개의 인덱스 j1, j2, k1 및 k2에 의해 정의된다.
계수들 [a0,a1,…] 은 사전-계산되거나, 또는 노광 프로세스 이전에 결정된다. 계수 ak의 의존성은 분석적으로 결정될 수 있음에 주목하자. 이런 모든 정보를 가지고, 그 후 행렬 C가 계산될 수 있다.
앞서와 같이, 서로 멀리 떨어진 픽셀들의 간섭은 상대적으로 적으며, 소수 이웃 픽셀들 이외의 각 픽셀에 대하여, 다른 남은 픽셀들과의 간섭은 사실상 존재하지 않는다는 점에 또한 주목하자. 따라서, 행렬 C의 대부분의 항목은 0 항목으로서 실제로 근사화될 수 있다. 이에 의해 가공 이미지의 계산 속도는 더 개선될 수 있다.
따라서, 상기 논의와 함께, 퓨필 평면에서의 필드 U(p)를 계산하고, 프로세스에서, 강도 I의 변조 파라미터 α에 대한 의존성을 퓨필 좌표 f p 및 소스 좌표 f s에 대한 의존성으로부터 분리하는 것이 가능하다. 이는 행렬 C의 요소의 계산을 편리하게 할 것이다. 4차원 행렬 C의 경우에, 각 요소는 이하 설명된 바와 같이 계산된다. 다음과 같은 일반화된 그레이스케일(generalized grayscale) 근사를 고려하자.
Figure 112005022538593-pat00015
Figure 112005022538593-pat00016
여기서, 이다.
그리고 n은 기초 함수들의 수이다. 그 다음에, 가공 이미지 결과는 다음과 같이 쓸 수 있다.
Figure 112005022538593-pat00017
Figure 112005022538593-pat00018
여기서,
이며, 주어진 조명 모드에 대한(즉, 주어진 "소스"에 대한) 4차원 픽셀 간섭 행렬 C이다. 가공 이미지에 대한 상기 표현은 가공 이미지 및 사전-계산된 PIM 계수 Cj1j2k1k2(x)로부터의 그 미분을 빠르게 계산하도록 해준다.
수학식 4에 따라서, 다음의 적절한 그레이톤 근사를 고려하자.
Figure 112005022538593-pat00019
여기서,
Figure 112005022538593-pat00020
는 픽셀의 이미지 필드이며,
Figure 112005022538593-pat00021
는 그레이톤이다. 틸팅 미러 픽셀에 대하여, 이 근사는 변조된 픽셀 이미지의 진폭의 변동은 캡쳐하나, 변조된 픽셀 이미지의 위상의 변동은 캡쳐하지 않는다. 퓨필 필드 U(p)의 변동을 표 시하기 위하여, Pk( f p, f s)가 알려진 기초 함수를 나타낸다고 하자. 이 근사는 n=1 및 f p의 상수인 P1( f p, f s)(함수조차 느리게 변함)에 대응한다.
다음으로, 퓨필을 가로지른 필드의 선형 변동을 고려한 정확한 그레이톤 근사를 고려하자. 틸팅 미러 픽셀에 대하여 다음과 같다.
n=2 P1( f p, f s) = P1( f s) - 퓨필 변동에서의 상수항
P2( f p, f s) = fp (x)·P1( f s) - 퓨필 변동에서의 1차항
P1의 퓨필을 가로지른 콘트라스트 (짝수) 변동은 이미지 평면에서의 진폭 변조를 설명할 것이다. P2의 선형 (홀수) 변동은 이미지 평면에서의 위상 변동을 설명할 것이다.
그러나 궁극적으로 관심 있는 것은 퓨필 평면의 필드 U(p)가 아니라, 오히려 이미지 평면의 필드 U(i), 또는 더 구체적으로, 이미지 평면에서의 강도 분포 I( x , α )이다. 강도 분포를 계산하기 위하여, 앞서 논의된 바와 같이, 수학식 10이 사용된다. 따라서, 수학식 10은, 퓨필 평면 필드 U(p)의 이미지 평면 강도 I( x , α )로의 변환으로서 생각될 수 있다. 다음의 수학식 12를 주목하자.
Figure 112005022538593-pat00022
Figure 112005022538593-pat00023
여기서, 이다.
F는 선형 연산자이며, 이는, 예를 들어, 푸리에 변환이 될 수 있다. 앞서 논의된 바와 같이, 행렬 C는 변조 파라미터 α 에 의존하지 않으며, 단지 위치 변수에만 의존한다. 변조 파라미터 의존성은 함수들 ak1, ak2로 분리되었다. C는, 예를 들어, 가공 이미지 시뮬레이션을 사용하여 사전-계산될 수 있다. 이는 "확장형 그레이톤 근사"로서 생각될 수 있다. 대부분 0 항목을 갖는 행렬을 포함하는 계산의 최적화된 취급에 대하여는 본 기술분야에 다수의 기법들이 알려져 있다.
상기 방정식을 사용하는 접근법의 장점은, 대부분의 계산이 행렬 C의 계산에 있으며, 행렬 C는 사전-계산되어, 실시간 프로세싱을 위하여 상대적으로 별로 많지 않은 양의 계산만 하면 된다는 것이다.
PO(110) 퓨필에서 픽셀화된 SLM에 의해 생성된 퓨필 필드 U(p)는 다음과 같이 주어진다.
Figure 112005022538593-pat00024
그 후, Pk( f p, f s) 항으로 표현되는 근사는 다음과 같이 된다.
Figure 112005022538593-pat00025
akj)는 변조 프로세스를 특성화한다. 중요한 특정 경우는 n=1, P1 = sinc L· f s x (즉, 픽셀의 그레이스케일 근사)인 경우이며, 필드가 퓨필 내에서 상수이며, 확장된 소스의 한 포인트로부터 다른 포인트로의 이동 결과로서만 변한다.
이 결과는 다음과 같은 가장 일반적인 형태로 또한 제시될 수 있다.
Figure 112005022538593-pat00026
Figure 112005022538593-pat00027
여기서,
Figure 112005022538593-pat00028
는 픽셀 간섭 행렬(이는 사전-계산됨)이며,
Figure 112005022538593-pat00029
는 상대적으로 빠르게 계산될 수 있는 그 인수들의 알려진 함수이다.
도 10은 가공 이미지 계산의 프로세스를 흐름도 형식으로 도시한다. 도 10에 도시된 바와 같이, 위치, 변조 상태 및 근사 함수에 기초하여, 픽셀 간섭 행렬이 계산된다(단계 1002). 실효 그레이톤이 계산된다(단계 1004). 그 후, 가공 이미지가 계산된다(단계 1006). 그 후, 감도가 계산된다(단계 1008). 만약 감도 및 가공 이미지가 희망하는 바와 같다면(단계 1010) 프로세스는 종료하고, 그렇지 않다면 계산은 단계(1006)로 되돌아간다.
따라서, 본 발명은 각 픽셀로부터의 가공 이미지와 다른 픽셀들의 가공 이미지와의 상호 작용에 관한 필수적 정보를 사전-계산하기 위한 방법 및 시스템을 제안한다. 이 정보는 픽셀의 상태 α 에 의존하지 않는다. 그것은 가공 이미지 시뮬레이션을 사용하여 사전-계산되고 저장될 수 있다. 이 정보의 도움으로, 가공 이미지 I( x , α ) 및 그 1차 및 고차 미분이 매우 빠르게 계산될 수 있다.
상기 논의는 주로 틸팅 마이크로미러-타입 SLM에 관한 것일지라도, 본 발명은, 피스토닝 또는 다른 변형가능한 마이크로미러를 이용하는 SLM들, GLV들 또는 다른 변조 원리에 기초한 투과형(반사형) 픽셀을 이용하는 SLM들과 같은, 다른 유형의 SLM들에도 또한 적용 가능하다.
본 발명의 응용은, 예를 들어, 희망하는 가공 이미지가 달성 가능한지 여부를 보기 위한 주어진 특정 SLM 패턴에 대한 가공 이미지의 시뮬레이션을 포함한다. 다른 응용으로, SLM의 실시간 프로그래밍을 포함한다. 그에 더하여 다른 응용으로, 래스터라이제이션이 사용되는, 텔레비전과 같은, 프로젝션 장치(projection devices)를 포함한다. 본 발명은, 프로젝션 텔레비전, 영화 프로젝터 등과 같은, SLM을 사용하여 이미지가 투사되는 임의의 시스템들에도 또한 적용 가능하다.
결론
본 발명의 다양한 실시예들이 상기 설명되어 있지만, 이는 제한이 아닌, 단지 예로서 제시된 것으로 이해되어야 한다. 본 발명의 사상 및 범위를 벗어나지 않은 채, 형식 및 상세한 부분에 있어서 다양한 변경이 가해질 수 있음은, 관련 기술분야의 당업자들에게 명백할 것이다. 따라서, 본 발명의 폭 및 범위는 상기 설 명된 예시적인 구현들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구범위 및 그 균등물과 관련하여서만 정의되어야 한다.
공간 광변조기 배열의 가공 이미지를 계산하기 위한 방법은, 공간 광변조기 배열의 픽셀들 간의 상호 간섭을 나타내는 픽셀 간섭 행렬을 계산하는 단계; 픽셀들의 변조 상태에 대응하는 실효 그레이톤을 계산하는 단계; 및 그 픽셀 참조 행렬 및 실효 그레이톤에 기초하여 가공 이미지를 계산하는 단계를 포함한다. 그레이톤은 픽셀들의 변조 상태에만 의존한다. 픽셀 간섭 행렬은 위치 변수들에만 의존한다. 위치 변수는 이미지 평면에서의 위치 및 전자기 복사의 소스 평면에서의 위치이다. 픽셀 간섭 행렬은 함수들의 행렬일 수 있다. 픽셀 간섭 행렬은 4차원 행렬일 수 있다. 실효 그레이톤은, sinc 함수를 사용하거나 또는 다항식 함수를 사용하여 근사화된다.
따라서, 본 발명은 각 픽셀로부터의 가공 이미지와 다른 픽셀들의 가공 이미지와의 상호 작용에 관한 필수적 정보를 사전-계산하기 위한 방법 및 시스템을 제안한다. 이 정보는 픽셀의 상태 α 에 의존하지 않는다. 그것은 가공 이미지 시뮬레이션을 사용하여 사전-계산되고 저장될 수 있다. 이 정보의 도움으로, 가공 이미지 I( x , α ) 및 그 1차 및 고차 미분이 매우 빠르게 계산될 수 있다.

Claims (20)

  1. 공간 광변조기 배열(spatial light modulator array)의 가공 이미지(aerial image)를 계산하는 방법으로서,
    상기 공간 광변조기 배열의 픽셀들 간의 상호 간섭(pair wise interference)을 나타내는 픽셀 간섭 행렬(pixel interference matrix)을 계산하는 단계;
    상기 픽셀들의 변조 상태들에 대응하는 실효 그레이톤들(effective graytones)을 계산하는 단계; 및
    상기 픽셀 간섭 행렬 및 상기 실효 그레이톤들에 기초하여 상기 가공 이미지를 계산하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 실효 그레이톤들은 상기 픽셀들의 상기 변조 상태들에만 의존하는 방법.
  3. 제1항에 있어서,
    상기 픽셀 간섭 행렬은 위치 변수들(position variables)에만 의존하는 방법.
  4. 제3항에 있어서,
    상기 위치 변수들은 이미지 평면에서의 위치 및 전자기 복사(electromagnetic radiation)의 소스(source) 평면에서의 위치인 방법.
  5. 제1항에 있어서,
    상기 픽셀 간섭 행렬은 함수들의 행렬인 방법.
  6. 제1항에 있어서,
    상기 픽셀 간섭 행렬은 4차원 행렬인 방법.
  7. 제1항에 있어서,
    상기 실효 그레이톤들은 sinc 함수들을 사용하여 근사화된 방법.
  8. 제1항에 있어서,
    상기 실효 그레이톤들은 다항식 함수들을 사용하여 근사화된 방법.
  9. 제1항에 있어서,
    상기 가공 이미지 I( x , α )는
    Figure 112005022538593-pat00030
    에 따라 계산되며,
    여기서,
    Figure 112005022538593-pat00031
    는 주어진 조명 모드에 대한 상기 픽셀 간섭 행렬 C이고,
    Figure 112005022538593-pat00032
    Figure 112005022538593-pat00033
    F는 선형 연산자이고, n은 기초 함수들의 수이며, N은 픽셀들의 수이고, α = [α1,…,αN]은 상기 픽셀들의 변조 상태이며, Pk( f p, f s)는 퓨필(pupil) 및 소스 좌표들의 함수로서 필드 U(k)의 변동을 나타내는 기초 함수들인 방법.
  10. 제9항에 있어서,
    g = [g1,…,gN]가 상기 픽셀 그레이톤들일 때,
    Figure 112005022538593-pat00034
    에 따른 감도들(sensitivities)을 사용하여 상기 가공 이미지 I( x , α )를 반복적으로 계산하는 단계를 더 포함하는 방법.
  11. 공간 광변조기 배열의 가공 이미지를 계산하기 위한 시스템으로서,
    상기 공간 광변조기 배열의 픽셀들 간의 상호 간섭(pair wise interference)을 나타내는 픽셀 간섭 행렬을 계산하기 위한 수단;
    상기 픽셀들의 변조 상태들에 대응하는 실효 그레이톤들을 계산하기 위한 수단; 및
    상기 픽셀 간섭 행렬 및 상기 실효 그레이톤들에 기초하여 상기 가공 이미지를 계산하기 위한 수단
    을 포함하는 시스템.
  12. 제11항에 있어서,
    상기 실효 그레이톤들은 상기 픽셀들의 상기 변조 상태들에만 의존하는 시스템.
  13. 제11항에 있어서,
    상기 픽셀 간섭 행렬은 위치 변수들에만 의존하는 시스템.
  14. 제13항에 있어서,
    상기 위치 변수들은 이미지 평면에서의 위치 및 전자기 복사의 소스 평면에서의 위치인 시스템.
  15. 제11항에 있어서,
    상기 픽셀 간섭 행렬은 함수들의 행렬인 시스템.
  16. 제11항에 있어서,
    상기 픽셀 간섭 행렬은 4차원 행렬인 시스템.
  17. 제11항에 있어서,
    상기 실효 그레이톤들은 sinc 함수들을 사용하여 근사화된 시스템.
  18. 제11항에 있어서,
    상기 실효 그레이톤들은 다항식 함수들을 사용하여 근사화된 시스템.
  19. 제11항에 있어서,
    상기 가공 이미지 I( x , α )는
    Figure 112005022538593-pat00035
    에 따라 계산되며,
    여기서,
    Figure 112005022538593-pat00036
    는 주어진 조명 모드에 대한 상기 픽셀 간섭 행렬 C이고,
    Figure 112005022538593-pat00037
    Figure 112005022538593-pat00038
    F는 선형 연산자이고, n은 기초 함수들의 수이며, N은 픽셀들의 수이고, α = [α1,…,αN]은 상기 픽셀들의 변조 상태이며, Pk( f p, f s)는 퓨필 및 소스 좌표들의 함수로서 필드 U(k)의 변동을 나타내는 기초 함수들인 시스템.
  20. 제11항에 있어서,
    g = [g1,…,gN]가 상기 픽셀 그레이톤들일 때,
    Figure 112005022538593-pat00039
    에 따른 감도들을 사용하여 상기 가공 이미지 I( x , α )를 반복적으로 계산하기 위한 수단을 더 포함하는 시스템.
KR1020050035841A 2004-04-30 2005-04-29 공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법 KR100636039B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/835,403 US6963434B1 (en) 2004-04-30 2004-04-30 System and method for calculating aerial image of a spatial light modulator
US10/835,403 2004-04-30

Publications (2)

Publication Number Publication Date
KR20060047613A KR20060047613A (ko) 2006-05-18
KR100636039B1 true KR100636039B1 (ko) 2006-10-19

Family

ID=35186777

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050035841A KR100636039B1 (ko) 2004-04-30 2005-04-29 공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법

Country Status (5)

Country Link
US (3) US6963434B1 (ko)
JP (1) JP4237729B2 (ko)
KR (1) KR100636039B1 (ko)
CN (1) CN100470372C (ko)
TW (1) TWI278730B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005311084A (ja) * 2004-04-21 2005-11-04 Canon Inc 露光装置、デバイス製造方法、パターン生成装置及びメンテナンス方法
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
JP2006119601A (ja) * 2004-09-24 2006-05-11 Canon Inc 光変調素子及びそれを利用した光学装置
KR20070104444A (ko) * 2005-01-28 2007-10-25 에이에스엠엘 홀딩 엔.브이. 전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US7209275B2 (en) * 2005-06-30 2007-04-24 Asml Holding N.V. Method and system for maskless lithography real-time pattern rasterization and using computationally coupled mirrors to achieve optimum feature representation
JP4810154B2 (ja) * 2005-07-28 2011-11-09 富士フイルム株式会社 微小電気機械素子の駆動方法、微小電気機械素子アレイ及び画像形成装置
US7934172B2 (en) * 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
JPWO2007058188A1 (ja) * 2005-11-15 2009-04-30 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
KR20080106293A (ko) * 2006-02-24 2008-12-04 마이크로닉 레이저 시스템즈 에이비 광학적 근접도 교정 방법
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
US8582195B2 (en) 2011-11-29 2013-11-12 General Electric Company Systems and methods for relative positioning
CN109164682B (zh) * 2012-01-18 2021-01-15 株式会社尼康 曝光方法、曝光装置和器件制造方法
JP6676941B2 (ja) * 2015-12-01 2020-04-08 株式会社ニコン 制御装置及び制御方法、露光装置及び露光方法、デバイス製造方法、データ生成方法、並びに、プログラム
JP6676942B2 (ja) * 2015-12-01 2020-04-08 株式会社ニコン 制御装置及び制御方法、露光装置及び露光方法、デバイス製造方法、データ生成方法、並びに、プログラム
JP2017207329A (ja) * 2016-05-17 2017-11-24 Juki株式会社 照明装置及び検査装置
JP6888103B2 (ja) * 2017-01-20 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 非ブレーズドdmdを伴う解像度強化型のデジタルリソグラフィ
WO2019010410A1 (en) * 2017-07-07 2019-01-10 Massachusetts Institute Of Technology SYSTEMS AND METHODS OF GENETIC IDENTIFICATION AND ANALYSIS
CN108227407B (zh) * 2018-02-28 2020-08-21 南昌航空大学 一种基于相干图像反馈的数字光成型方法
CN114924410B (zh) * 2022-05-20 2023-06-30 西南科技大学 一种基于小相位调制以及相位补偿的聚焦方法以及装置
US11899198B2 (en) 2022-05-23 2024-02-13 Applied Materials, Inc. Controlling light source wavelengths for selectable phase shifts between pixels in digital lithography systems
CN114779464A (zh) * 2022-05-24 2022-07-22 北京有竹居网络技术有限公司 光学信号调制器、控制方法及投影设备

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5416616A (en) * 1990-04-06 1995-05-16 University Of Southern California Incoherent/coherent readout of double angularly multiplexed volume holographic optical elements
US5296891A (en) * 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5083015A (en) * 1990-11-16 1992-01-21 United Technologies Corporation Optical centroid processor wavefront sensor
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6219015B1 (en) * 1992-04-28 2001-04-17 The Board Of Directors Of The Leland Stanford, Junior University Method and apparatus for using an array of grating light valves to produce multicolor optical images
JP3224041B2 (ja) * 1992-07-29 2001-10-29 株式会社ニコン 露光方法及び装置
US5402234A (en) * 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5729331A (en) * 1993-06-30 1998-03-17 Nikon Corporation Exposure apparatus, optical projection apparatus and a method for adjusting the optical projection apparatus
JP3339149B2 (ja) * 1993-12-08 2002-10-28 株式会社ニコン 走査型露光装置ならびに露光方法
US5677703A (en) * 1995-01-06 1997-10-14 Texas Instruments Incorporated Data loading circuit for digital micro-mirror device
US5530482A (en) * 1995-03-21 1996-06-25 Texas Instruments Incorporated Pixel data processing for spatial light modulator having staggered pixels
EP0991959B1 (en) * 1996-02-28 2004-06-23 Kenneth C. Johnson Microlens scanner for microlithography and wide-field confocal microscopy
US6259513B1 (en) 1996-11-25 2001-07-10 Svg Lithography Systems, Inc. Illumination system with spatially controllable partial coherence
JP4126096B2 (ja) 1997-01-29 2008-07-30 マイクロニック レーザー システムズ アクチボラゲット 感光性被覆を有する基板上に集束レーザ放射により構造物を製作する方法と装置
US6177980B1 (en) * 1997-02-20 2001-01-23 Kenneth C. Johnson High-throughput, maskless lithography system
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
US5982553A (en) * 1997-03-20 1999-11-09 Silicon Light Machines Display device incorporating one-dimensional grating light-valve array
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6219143B1 (en) * 1999-06-16 2001-04-17 Bandag, Incorporated Method and apparatus for analyzing shearogram images by animation
KR100827874B1 (ko) * 2000-05-22 2008-05-07 가부시키가이샤 니콘 노광 장치, 노광 장치의 제조 방법, 노광 방법, 마이크로 장치의 제조 방법, 및 디바이스의 제조 방법
US6804429B2 (en) * 2001-02-09 2004-10-12 The Board Of Trustees Of The Leland Stanford Junior University Reconfigurable wavelength multiplexers and filters employing micromirror array in a gires-tournois interferometer
US20020122237A1 (en) * 2001-03-01 2002-09-05 Torbjorn Sandstrom Method and apparatus for spatial light modulation
US6522983B1 (en) * 2001-03-05 2003-02-18 Tektronix, Inc. Timebase calibration method for an equivalent time sampling digitizing instrument
US6624893B1 (en) * 2001-06-06 2003-09-23 Veeco Instruments Inc. Correction of scanning errors in interferometric profiling
JP3563384B2 (ja) * 2001-11-08 2004-09-08 大日本スクリーン製造株式会社 画像記録装置
SE0104238D0 (sv) 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
EP1372036A1 (en) * 2002-06-12 2003-12-17 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6870554B2 (en) * 2003-01-07 2005-03-22 Anvik Corporation Maskless lithography with multiplexed spatial light modulators
US6775049B1 (en) * 2003-01-20 2004-08-10 Texas Instruments Incorporated Optical digital signal processing system and method
EP1482373A1 (en) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
US7755657B2 (en) * 2003-06-12 2010-07-13 Micronic Laser Systems Ab Method for high precision printing of patterns
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US6963434B1 (en) 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator

Also Published As

Publication number Publication date
JP2005322924A (ja) 2005-11-17
KR20060047613A (ko) 2006-05-18
CN1700097A (zh) 2005-11-23
US20050243398A1 (en) 2005-11-03
TWI278730B (en) 2007-04-11
US7889411B2 (en) 2011-02-15
CN100470372C (zh) 2009-03-18
US20050243397A1 (en) 2005-11-03
US6963434B1 (en) 2005-11-08
TW200535587A (en) 2005-11-01
US7394584B2 (en) 2008-07-01
US20080219562A1 (en) 2008-09-11
JP4237729B2 (ja) 2009-03-11

Similar Documents

Publication Publication Date Title
KR100636039B1 (ko) 공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법
US20170269483A1 (en) Lithographic Apparatus and Device Manufacturing Method Utilizing Data Filtering
JP5464288B2 (ja) 空間光変調器の検査装置および検査方法
JP4659000B2 (ja) 測定された光学素子特性に基づくパターンデータの変更
JP4332139B2 (ja) Duv波面センサに対するソースモジュールとしての空間光変調器
JP4495898B2 (ja) 改良型パターン・ジェネレータ
EP1491958B1 (en) Projection optical system for maskless lithography
JP2005217424A (ja) 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
JP4023541B2 (ja) リソグラフ用投影装置およびデバイス製造方法
KR100806826B1 (ko) 레티클 유도 cdu를 보상하는 디바이스 제조 방법 및리소그래피 장치
JP5994970B2 (ja) 瞳強度分布の調整方法、照明光学系およびその調整方法、露光装置、並びにデバイス製造方法
JP2009527911A (ja) Slmリソグラフィ:以前のopc処理を用いないk1=0.03未満までへのプリンティング
JP2011216796A (ja) 瞳輝度分布の評価方法、照明光学系およびその調整方法、露光装置、並びにデバイス製造方法
JP2014022628A (ja) 瞳輝度分布の評価方法、照明光学系およびその調整方法、露光装置、並びにデバイス製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121005

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131004

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141006

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee