KR100277281B1 - 코팅된 알루미늄계 부재를 가지는 처리장치와 알루미늄계 부재의 코팅방법 및 접합부의 형성방법 - Google Patents

코팅된 알루미늄계 부재를 가지는 처리장치와 알루미늄계 부재의 코팅방법 및 접합부의 형성방법 Download PDF

Info

Publication number
KR100277281B1
KR100277281B1 KR1019950006681A KR19950006681A KR100277281B1 KR 100277281 B1 KR100277281 B1 KR 100277281B1 KR 1019950006681 A KR1019950006681 A KR 1019950006681A KR 19950006681 A KR19950006681 A KR 19950006681A KR 100277281 B1 KR100277281 B1 KR 100277281B1
Authority
KR
South Korea
Prior art keywords
convex portion
gas
insulating layer
joining
forming
Prior art date
Application number
KR1019950006681A
Other languages
English (en)
Other versions
KR950034505A (ko
Inventor
미쓰아키 고미노
마사유키 기타무라
마코토 아오키
Original Assignee
히가시 데쓰로
동경엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP08099794A external-priority patent/JP3251762B2/ja
Priority claimed from JP8557394A external-priority patent/JPH07273053A/ja
Application filed by 히가시 데쓰로, 동경엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR950034505A publication Critical patent/KR950034505A/ko
Application granted granted Critical
Publication of KR100277281B1 publication Critical patent/KR100277281B1/ko

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49885Assembling or joining with coating before or during assembling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

처리장치는, 반도체 웨이퍼가 수용됨과 동시에 가스공급 및 배기가능한 처리실과, 처리실내에 수용되는 피처리체를 얹어놓는 얹어놓는대와, 처리실내에 가스를 공급하는 가스 공급계와, 처리실내를 배기하기 위한 배기계를 가지고 있다. 처리실의 내벽 및 재치대는 알루미늄계 재료로 형성되어 있고, 이들의 표면에 불소함유가스를 접촉시키므로써, 그들의 표면에 AlF3코팅이 형성된다.

Description

코팅된 알루미늄계 부재를 가지는 처리장치와 알루미늄계 부재의 코팅방법 및 접합부의 형성방법
제1도는 본 발명의 제1실시형태에 관계된 판(板)양식의 CVD장치를 모식적으로 나타낸 단면도,
제2도는 본 발명의 제1 실시형태에 관계된 판양식의 CVD장치의 웨이퍼 얹어놓는대를 모식적으로 나타낸 단면도,
제3도는 본 발명의 제1 실시형태에 관계된 판양식의 CVD장치의 웨이퍼 얹어놓는대 및 정전척을 나타낸 사시도,
제4도는 알루미늄부재 표면에 AlF3를 형성시키기 위한 장치를 나타낸 단면도,
제5도는 본 발명의 제2실시형태에 관계된 저온 플라즈마 에칭장치를 모식적으로 나타낸 단면도,
제6도는 본 발명의 제2실시형태에 관계된 저온 플라즈마 에칭장치의 접합부 근방을 확대하여 나타낸 단면도,
제7(a)∼7(c)도는 접합부의 형성과정을 나타낸 단면도,
제8도는 본 발명의 제2실시형태에 관계된 저온 플라즈마 에칭장치의 상부전극을 나타낸 사시도이다.
* 도면의 주요부분에 대한 부호의 설명
1 : CVD장치 2 : 처리실
3 : 샤워헤드 4 : 처리가스 도입관
5 : 토출구 6 : 배기장치
7,127 : 배기관 8 : 지지체
9 : 바닥판 10 : 냉각수 저장부
11 : 냉각수 파이프 12 : 단열벽
21,104 : 얹어놓는대 22,65 : 가열장치
22a : 절연체 22b : 발열체
23 : 교류전원 24,113 : 정전척
25,26 : 전극판 27,135 : 절연체
28,29 : 직류전압전원 31 : 전열매체 공급관
32 : 유로 33 : 온도센서
33a : 검지부 41 : 리프터
42,43 : 얹어놓는 부재 44,45 : 지지기둥
46 : 지지판 47,48 : 벨로우즈
52 : 로드록실 54 : 반송아암
55 : 반송장치 61 : 챔버
62 : 급기관 63 : 배기관
64 : 처리대 101 : 에칭장치
102 : 처리용기 103 : 절연판
105 : 서셉터 지지대 106,146 : 볼트
107 : 서셉터 108 : 쟈켓
110 : 냉매도입관 114 : 도전막
115 : 전압공급리드 116 : 필터
117 : 가변직류고전압원 120 : 가스통로
121 : 포커스링 122 : 파이프리드
123 : 배선 124 : 블로킹 콘덴서
125 : 고주파전원 128 : 히터
129 : 히터고정대 130 : 수용오목부
131 : 분기로 132 : 전력공급리드
133 : 전원 136 : 시일드
140 : 상부전극 141 : 가스공급관
142 : 확산판 143,144 : 작은 구멍
145,150,171 : 볼록부 147 : 절연막
148,174 : 접합부 149 : 알루미늄
153 : 절연층 160 : 중앙부
161,170 : 둘레부 172 : 천정판
173 : 측벽판
본 발명은, 코팅된 알루미늄계 부재를 가지는 처리장치 및 알루미늄부재의 코팅방법 및 접합부의 형성방법에 관한 것이다.
반도체 웨이퍼 표면에 절연막이나 실리콘의 박막을 형성하는데 사용되는 CVD장치는, 기체 밀폐적으로 구성된 처리실내에 얹어놓는대를 설치하여, 이 얹어놓는대에 피처리체인 반도체 웨이퍼를 얹어놓고, 이 처리실내를 소정의 감압분위기, 예를 들면 10-6Torr정도까지 진공흡인함과 동시에, 이 처리실내에 소정의 처리가스를 도입하여, 상기 반도체 웨이퍼에 대하여 소정의 박막을 형성한다.
그리고, 이와 같은 CVD장치의 처리실내에 설치된 상기 얹어놓는 대, 처리실 내벽 등은, 일반적으로 그 표면이 알루마이트처리(양극산화처리)된 알루미계 재료로 구성되어 있다.
그런데 상기한 바와 같이, CVD장치에 의해서 피처리체인 웨이퍼에 박막형성 처리를 하고 있으면, 상기 얹어놓는대, 처리실 내벽 등의 표면에 그때마다, 반응 생성물이 부착해간다.
그리고 처리실내는 엄격한 청정분위기가 필요하므로, 일정회수 처리할 때마다, 처리실내에 크리닝가스를 유입하여 이들 반응생성물을 제거하는 것이 행하여진다. 그리고, 이러한 종류의 크리닝가스로서는, 반응생성물의 제거가 뛰어난 ClF3, NF3가스가 주목되고 있다.
그러나, 이들 ClF3, NF3가스는 극히 반응성이 강하므로, 이것에 의해서 처리실 내벽이나 얹어놓는대 자체가 에칭되어 버려, 이들의 수명을 감소시키거나, 경우에 따라서 처리에 지장을 초래할 우려가 있다.
한편, 반도체 제조공정에 있어서 반도체 웨이퍼에 처리를 하는 다른 처리장치로서, 예를 들면 에칭처리장치가 있다. 이 처리장치는, 감압이 가능한 기체밀폐실로서의 처리실내에 하부전극으로서의 얹어놓는대가 배치되고, 처리실의 측벽에 설치된 개구부로부터 개폐수단, 예를 들면 게이트 밸브를 통해서 처리실내에 반입된 반도체 웨이퍼가 얹어놓는대에 얹어놓는다. 이 얹어놓는대에는 블로킹 콘덴서를 개재하여 고주파전원이 접속되어 있다. 또한, 하부전극으로서의 얹어놓는대와 대향하도록 상부전극이 설치되어 있다. 이 상부전극에는 처리실내에 처리가스를 도입하기 위한 가스도입관이 접속되어 있고, 또한 상부전극의 얹어놓는대에 대향하는 면에는 작은 구멍이 뚫려 있다. 그리고, 가스공급관 및 이 작은 구멍을 통해서 처리가스가 반도체 웨이퍼방향으로 유입하도록 구성되어 있다.
상부전극은 전기적으로 접지되어, 고부파전원을 ON함으로써 얹어놓는대에 고주파전력이 인가되고, 이에 의해서 형성되는 전계에 의해서 처리가스가 플라즈마화되고, 이 플라즈마 중의 활성종에 의해서 반도체 웨이퍼가 에칭처리된다.
그런데, 상기 상부전극은, 여러개의 도전성부재, 예를 들면 알루미늄을 모체로 하는 천정벽, 측벽, 바닥벽으로 구성되어 있고, 이들 부재의 표면에는, 예를들면 알루마이트처리가 행하여짐으로써 절연층이 형성되어 있다. 그리고, 각각의 부재는, 이상방전을 방지하기 위해서, 같은 전위가 되도록 전기적으로 도통하도록 접속되어 있다. 이들을 접속하기 위해서, 바닥벽에는 측벽을 접합시키기 위한 오목부가 설치되어 있다.
그러나, 이 바닥벽의 표면에는 상술한 바와 같이 알루마이트처리가 행하여져 절연층이 형성되어 있으므로, 오목부를 형성하기 위해서 깎아내기가공을 하여 모재인 알루미늄을 직접 노출시키고, 당연히 그 오목부에 접촉하는 측벽의 접촉면도 표면의 알루미 알마이트층을 깎아내고, 모재인 알루미늄을 노출하여 바닥벽과의 전기적 도통을 도모하여, 이들을 이미 설정한 전위로 하고 있다. 그리고, 이들측벽과 바닥벽과는, 예를 들면 볼트에 의해서 고착 접합된다.
그러나, 이와 같은 에칭장치에서는 처리실내에서 플라즈마를 생기시키므로, 바닥벽의 오목부에서의 측벽과의 접촉부이외의 알루미늄이 노출한 부분이 플라즈마 중의 활성종, 예를 들면 이온에 의해서 에칭되고, 에칭물이 처리실내에 파티클로서 비산하여 버리는 문제가 발생한다. 또한, 그 파티클이 피처리체에 부착하면 피처리체의 수율이 저하해 버린다.
또한, 에칭장치에 한정되지 않고 많은 반도체 처리장치에서도, 일반적으로 부식성의 가스, 예를 들면 염소계의 가스 등을 다종 사용하고 있으므로 이와 같은 가스가 상술한 알루미늄이 노줄한 부분을 부식시키고, 이 부식물이 처리실내에 파티클로서 비산하여 버리는 문제가 있다.
그리고, 이와 같은 부식은 상술한 알루미늄이 노출한 부분에만 한정되지 않고, 바닥벽과 측벽과의 접촉부에도 진행하여, 이 부분에서의 전기적인 도통이 불안정하게 되고, 또한 부식이 진행하면 이들 사이가 전기적으로 도통되지 않아서, 이에 의해서 부재간에 전위차가 발생하고, 플라즈마를 발생하는 장치에서는 이상방전을 일으키는 문제가 있다.
이와 같은 이상방전이 발생해 버리면 파티클의 발생뿐만 아니라, 고주파전원과의 인피던스 매칭을 취할 수 없게 될 우려가 발생하고, 이와 같이 인피던스 매칭을 취할 수 없게 되면 고주파전력의 공급이 불안정하게 되므로, 이와 같은 상태에서 피처리체가 처리되면 피처리체의 수율이 저하한다.는 문제가 발생한다.
본 발명이 이러한 점에 감안하여 이루어진 것으로, 그 목적은, 처리장치의 처리실에, 예를 들면 크리닝가스로서 사용되는 ClF3, NF3가스 등의 활성가스를 흘려도 부식하지 않는 얹어놓는대, 처리실 내벽 등의 코팅된 알루미늄계 부재를 가지는 처리장치를 제공하는 데 있다.
본 발명의 다른 목적은, 처리장치에서의 처리실내의 알루미늄계 부재에 내식성이 높은 코팅을 형성하는 알루미늄부재의 코팅방법을 제공하는데 있다.
본 발명의 또 다른 목적은, 플라즈마 분위기내에 있어서 전기적으로 도통하게 할 필요가 있는 부재간의 접합부로부터 파티클이 발생하는 것을 억제할 수 있고, 이 접합부의 내식성을 높게 유지할 수 있는 접합부의 형성방법을 제공하는데 있다.
본 발명의 제1관점에 의하면, 피처리체가 수용됨과 동시에, 가스공급 및 배기가능한 처리실과, 상기 처리실내에 설치된 상기 피처리체의 처리에 사용되는 부재와, 상기 처리실내에 가스를 공급하는 가스공급계와, 상기 처리실내를 배기하기 위한 배기계를 가지며, 상기 처리실의 내벽 및/또는 상기 부재 중의 분위기와 접하는 부재가 알루미늄계 재료로 형성되어 있고, 상기 처리실의 내벽 및/또는 그 중의 분위기와 접하는 부재의 표면은 불소를 포함한 코팅층을 가지고 있는 처리장치가 제공된다.
본 발명의 제2관점에 의하면, 피처리체가 수용됨과 동시에, 가스공급 및 배기가능한 처리실과, 상기 처리실내에 설치된 상기 피처리체의 처리에 사용되는 부재와, 상기 처리실내에 가스를 공급하는 가스공급계와, 상기 처리실내를 배기하기 위한 배기계를 가지며, 상기 처리실의 내벽 및/또는 상기 부재 중의 분위기와 접하는 부재가 알루미늄계 재료로 형성되어 있고, 상기 처리실의 내벽 및/ 또는 그 중의 분위기와 접하는 부재의 표면에 불소함유가스를 접촉시킴으로써, 그들 표면에 AlF3코팅이 형성되어 있는 처리장치가 제공된다.
본 발명의 제3관점에 의하면, 챔버내에 코팅되도록 알루미늄계 부재를 장입(裝入)하는 공정과, 상기 챔버내를 소정온도에 유지한 상태에서, 챔버내에 불소함유가스를 공급하는 공정을 가지면, 상기 알루미늄계 부재와 상기 불소함유가스가 반응하여 상기 부재표면에 AlF3가 형성되는 알루미늄계 부재의 코팅방법이 제공된다.
본 발명의 제4관점에 의하면, 도전성부재의 표면접합부에 볼록부를 형성하는 공정과, 상기 도전부재의 적어도 볼록부를 가지는 표면에 절연층을 형성하는 공정과, 상기 볼록부 표면의 상기 절연층의 일부를 제거하는 공정과, 상기 제거된 볼록부표면에 피접합부재를 접합하는 공정을 구비하는 접합부의 형성방법이 제공된다.
본 발명의 제5관점에 의하면, 도전성부재의 표면에 볼록부를 형성하는 공정과, 그 부재의 표면에 상기 볼록부를 덮는 두께의 절연층을 형성하는 공정과, 상기 볼록부의 표면이 적어도 노출하는 상태가 되도록 상기 절연층과 상기 볼록부를 연마하여 상기 부재의 접합부측의 면을 경면화하는 공정을 구비하는 접합부의 형성방법이 제공된다.
본 발명의 제6관점에 의하면, 피처리체를 감압분위기에서 처리하는 처리장치의 구성부재끼리를 전기적으로 도통시키기 위한 부재의 접합방법으로, 상기 부재 중 적어도 한 쪽의 접합부에 볼록부를 형성하는 공정과, 이들 부재의 접합부측면에 절연층을 형성하는 공정과, 상기 볼록부 표면의 상기 절연층을 제거하는 공정과, 이 공정에서 노출한 볼록부 표면에 피접합부재를 접촉시키는 공정을 구비하는 방법이 제공된다.
본 발명의 제7관점에 의하면, 피처리체를 감압분위기에서 처리하는 처리장치의 구성부재끼리를 전기적으로 도통시키기 위한 부재의 접합방법으로, 각각의 부재의 접합부측면에 볼록부를 형성하는 공정과, 이들 부재의 접합부측면에 상기 볼록부를 덮는 두께의 절연층을 형성하는 공정과, 상기 볼록부의 표면까지 상기 절연층을 연마하고, 또한, 상기 절연층과 상기 볼록부를 동시에 연마하여, 상기 부재의 접합부측면을 거울면처리하는 공정과, 각각의 부재의 볼록부를 접촉시키는 공정을 구비하는 방법이 제공된다.
이하, 본 발명의 적절한 실시형태에 대하여 설명한다.
제1도는, 본 발명의 제1실시형태에 관계된 판양식의 CVD장치를 모식적으로 나타낸 단면도이다. 이 CVD장치(1)는, 그 내부를 진공흡인이 가능한 대략 원통형상의 처리실(2)을 가지고 있다.
이 처리실(2)의 바닥부는, 대략 원통형상의 지지체(8)에 의해서 지지된 바닥판(9)에 의해서 구성되어 있고, 그 위에 가열장치(22)를 통해서 반도체 웨이퍼(W)를 얹어놓기 위한 얹어놓는대(21)가 설치되어 있다.
상기 처리실(2)의 윗면에는, 대략 중공형상의 샤워헤드(3)가 기체밀폐적으로 설치되어 있고, 이 샤워헤드(3)의 상부는 처리가스 도입관(4)에 접속되어 있다. 그리고 이 샤워헤드(3)의 아래면, 즉 얹어놓는대(21)와의 대향면에는, 다수의 토출구(5)가 설치되어 있고, 상기 처리가스 도입관(4)으로부터 도입되는 처리가스, 예를 들면 SiH4(실란)가스와 H2가스와의 혼합가스는, 이 샤워헤드(3)의 중공부로부터 상기 다수의 토출구(5)를 통해서, 처리실(2)내의 얹어놓는대(21)를 향하여 균등하게 토출된다.
한편, 상기 처리실(2)의 바닥부 근방에는, 진공펌프 등의 배기장치(6)로 통하는 배기관(7)이 설치되고, 이 배기장치(6)를 작동시킴으로서, 상기 처리실(2)내가 소정의 감압분위기, 예를 들면 10-6Torr에 유지된다.
상기 바닥판(9)내부에는 냉각수 저장부(10)가 설치되어 있고, 냉각수 파이프(11)에 의해서 공급되는 냉각수가, 상기 냉각수 저장부(10)내를 순환하도록 구성되어 있다.
한편, 상기 가열장치(22) 및 얹어놓는대(21)의 주위는, 단열벽(12)에 의해서 둘러싸여 있다. 이 단열벽(12)은, 그 표면이 거울면처리되어 높은 반사율을 확보하고 있고, 가열장치(22) 및 그 가열장치(22)에 의해서 가열된 얹어놓는대(21)의 측면으로부터의 방사열을 반사하고, 이에 의해서 주위와의 단열을 도모할 수 있다.
상기 가열장치(22)는, 제2도에 나타낸 바와 같이, 절연체(22a)중에 거의 띠현상의 발열체(22b)를 적절한 간격을 가지고 대략 소용돌이형상으로 형성하거나, 또는 다른 소정의 패턴에 형성되어 있고, 상기 처리실(2)외부에 설치되어 있는 교류전원(23)으로부터 급전됨으로써 발열하여, 소정의 온도, 예컨대 400℃∼2000℃까지 달한다. 이 열에 의해서 피처리체인 웨이퍼(W)를 소정의 온도, 예컨대 800℃에 유지하는 것이 가능하다.
상기 얹어놓는대(21)의 윗면에는, 피처리체인 웨이퍼(W)를 흡착, 유지하기 위한 정전척(24)이 설치되어 있다. 이 정전척(24)은, 제3도에 나타낸 바와 같이, 반원형상의 얇은 전극판(25,26)의 상하를 절연체(27)로 덮은 구조를 가지며, 제2도에 나타낸 바와 같이, 이들 전극판(25,26)에는 상기 처리실(2)의 외부에 설치되어 있는 상호간에 극성이 다른 직류전압전원(28,29)에 각각 독립하여 접속되어 있고, 소위 쌍극형의 정전척을 구성하고 있다. 그리고 상기 직류고압전원(28,29)으로부터 이들 전극판(25,26)에 대하여, 예컨대 2kV의 직류전압이 인가되면, 그때에 발생하는 클롱력에 의해서, 상기 정전척(24)의 윗면(얹어놓는 면)에 얹어놓인 웨이퍼(W)가 정전흡착되어, 정전척(24)의 윗면에 유지된다.
또한, 얹어놓는대(21)에는, 제1도에 나타낸 바와 같이, 그 중심부에, 바닥판(9), 가열장치(2)를 관통하여 설치된 전열매체 공급관(31)과 통하는 유로(32)가 형성되고, 처리실(2)외부로부터 상기 전열매체 공급관(31)을 통해서 공급된, 예컨대 He가스 등의 전열매체가, 유로(32)를 통해서 정전척(24)의 얹어놓는 면에 얹어놓인 웨이퍼(W)의 뒷면에 공급된다.
또한, 상기 얹어놓는대(21)중에는, 온도센서(33)의 검지부(33a)가 위치해 있고, 얹어놓는대(21)내부의 온도가 수차 검출되도록 구성되어 있다. 그리고, 이 온도센서(33)로부터의 신호에 의거하여, 상기 가열장치(22)에 급전하는 교류전원(23)의 파워 등이 제어되고, 이에 의해서 얹어놓는대(21)의 얹어놓는 면이 소정온도에 제어된다.
상기 단열벽(12)의 측면바깥둘레와, 바닥판(9)의 측면바깥둘레 및 상기 지지체(8)의 측면바깥둘레와, 처리실(2)의 측벽(2a)안둘레에 의해서 창출되는 대략 고리형상의 공간내에는, 상기 얹어놓는대(21)의 얹어놓는 면에 얹어놓인 웨이퍼(W)를, 상하로 움직이게 하기 위한 리프터(41)가 설치되어 있다.
이 리프터(41)의 상부는, 상기 웨이퍼(W)의 곡율에 적합한 한 쌍의 반고리형상의 얹어놓는 부재(42,43) 및 그 각 얹어놓는 부재(42,43)의 아래면에 수직으로 설치되어 있는 지지기둥(44,45)에 의해서 구성되고, 웨이퍼(W)는 이들 각 얹어놓는 부재(42,43)의 안둘레부에 설치된 적절한 걸림부 위에 얹어놓인다.
한편, 상기 리프터(41)의 하부구성은, 제1도에 나타낸 바와 같이, 상기 각 지지기둥(44,45)의 하단부가, 상기 대략 고리형상의 공간내의 바닥부를 기체밀폐적으로 폐쇄하고 있는 고리형상의 지지판(46)을 관통하여, 모터 등의 승강구동기구(도시하지 않음)에 접속되어 있고, 이 승강구동기구의 작동에 의해서, 지지기둥(44,45)이 제1도에 나타낸 화살표와 같이 상하로 움직인다.
또한, 처리실(2)내에서의 상기 지지판(46)과 상기 지지기둥(44,45)와의 관통장소에는, 각각 벨로우즈(47,48)가 개재되어 있고, 이들 각 벨로우즈(47,48)에 의해서, 상기 처리실(2)내의 기체밀폐성이 유지되어 있다.
이상과 같이 구성되어 있는 처리실(2)의 바깥쪽에는, 게이트 밸브(51)를 통해서 기체밀폐적으로 구성된 로드록실(52)가 설치되어 있고, 그 바닥부에 설치된 배기관(53)으로부터 진공흡인되어, 이 로드록실(52)내도, 상기 처리실(2)과 마찬가지로, 소정의 감압분위기, 예를 들면 10-6Torr에 유지되는 것이 가능하다.
이 로드록실(52)의 내부에는, 역시 게이트 밸브를 통해서 인접해 있는 카세트 수납실(도시하지 않음)내의 카세트와, 상기 처리실(2)내의 얹어놓는대(21)와의 사이에 웨이퍼(W)를 반송하기 위한 반송아암(54)를 구비한 반송장치(55)가 설치되어 있다.
다음으로, 이상과 같이 구성된 CVD장치(1)에 사용되고 있는 부재의 재료계에 대하여 설명한다.
얹어놓는대(21) 및 가열장치(22)의 절연체(22a), 정전척(24)의 절연체(27)는, 예를 들면 동일한 재료구성으로 되어 있다. 얹어놓는대(21)를 예로 들면, 이 얹어놓는대(21)의 표면은, Al의 산화물인 Al2O3와 불소를 반응시킴으로서, AlF3가 형성되어 있다.
이와 같이 Al2O3의 표면에 AlF3를 형성시키는 방법으로서는, 아래와 같은 방법을 들 수 있다.
즉, 제4도에 나타낸 바와 같이, 그 내면에 니켈 코팅된 열전도성이 양호한 재질, 예컨대 스테인테스강제로 구성된 챔버(61)의 상부에 급기관(62), 하부에 배기관(63)을 설치함과 동시에, 이 챔버(61)의 내부에 처리대(64)를 형성한다. 그리고 이 처리대(64)의 아래면에 가열장치(65)를 설치한다.
그리고 상기 처리대(64)위에 상기 CVD장치(1)에서 사용하는 얹어놓는대(21)를 얹어놓고, 가열장치(65)를 작동시키고, 얹어놓는대(21)를 소정의 온도, 예컨대 300℃에 유지시키며, 급기관(62)으로부터 불소계 가스, 예컨대 HF를 챔버(61)내에 공급함과 동시에 배기관(63)으로부터 배기시킨다. 그러면, 얹어놓는대(21)의 표면은, 다음과 같은 반응이 진행한다.
Al2O3+6HF → 2AlF3+ 3H2O
즉, 상기 반응에 따라서, 얹어놓는대(21)의 표면에 AlF3가 형성되어 있다.
여기에서, 챔버(61)의 내면은, 상술한 바와 같이, 니켈로 코팅되어 있으므로, 이와 같은 코팅 프로세스에 이용되는 할로겐계 가스, 특히 HF, HCl가스에 대한 내식성을 가지고 있다.
또한, 코팅가스로서, 최근 상기 성막장치 등의 처리장치의 크리닝용 가스로서 이용되고 있는 ClF3를 사용하여도 좋다. 이 경우에는,
2Al2O3+ 4ClF3→ 4AlF3+3O2↑ + 2Cl2
가 되어 Al2O3 표면에 AlF3가 형성된다.
또한, 상기 ClF3를 대신하여, 역시 크리닝용 가스로서 사용되고 있는 NF3를 챔버(61)내에 유입시켜도 좋다. 이 경우에는,
2Al2O3+ 4NF3→ 4AlF3+3O2↑ + 2Nl2
가 되어 역시 Al2O3표면에 AlF3가 형성된다.
다른 가열장치(22)의 절연체(22a), 정전척(24)의 절연체(27)에 대하여도 완전히 같은 방법에 의해서 그 표면에 부동태피막으로서의 AlF3가 형성되어 있다.
상기와 같은 반응이 발생하고 AlF3가 각 부재의 표면에 유효하게 형성되기 위해서는, 도입되는 코팅가스의 압력 및 챔버내의 온도를 일정한 범위에 제어할 필요가 있다.
예를 들면, 코팅가스로서 HF를 사용한 경우에는, 200℃이상, ClF3를 사용한 경우에는, 20℃이상, NF3를 사용한 경우에는, 200℃이상의 조건으로 설정된다.
다음으로, 이와 같이 구성된 성막장치의 동작에 대하여 설명한다.
먼저, 처리실(2)과 로드록실(52)이 동일 감압분위기가 된 시점에서, 게이트 밸브(51)가 개방되고, 성막처리된 웨이퍼(W)는 반송장치(55)의 반송아암(54)에 의해서, 처리실(2)내의 얹어놓는 대(21)의 윗쪽에까지 반입된다.
이 때, 리프터(41)의 각 얹어놓는 부재(42,43)는 상승하여 있고, 웨이퍼(W)는, 이들 각 얹어놓는 부재(42,43)의 안둘레부의 걸림부 위에 얹어놓인다. 그리고 웨이퍼(W)를 그와 같이 하여 얹어놓은 후, 반송아암(54)은 로드록실(52)내에 후퇴하여, 게이트 밸브(51)는 폐쇄된다.
그 후, 리프터(41)의 각 얹어놓는 부재(42,43)는 하강하고, 웨이퍼(W)는 얹어놓는대(21)의 정전척(24)의 얹어놓는 면에 얹어놓이며, 고압직류전원(28,29)으로부터의 직류전압이 전극판(25,26)에 인가됨으로서, 전압인가시에 발생하는 쿨롱력에 의해서 웨이퍼(W)가 얹어놓는 면에 흡착유지된다.
그 후, 교류전원(23)으로부터의 전력을 가열장치(22)의 발열체(22b)에 공급하여 가열장치(22)를 작동시켜서 웨이퍼(W)를 소정온도, 예를 들면 800℃에까지 가열함과 동시에, 처리가스 도입관(4)으로부터 처리가스, 예를 들면 SiH4(실란)+H2를 처리실(2)내에 도입하면, 웨이퍼(W)의 성막처리가 개시된다.
이와 같이 하여 피처리체인 웨이퍼(W)의 표면에 대하여 성막처리가 행하여지나, 처리실(2)내의 부재, 특히 피처리체인 웨이퍼(W)과 같은 정도의 온도에까지 달하는 얹어놓는대(21), 정전척(24) 및 가열장치(22)의 표면에도, 반응생성물이 부착한다. 이것을 그대로 방치하면 처리실(2)내의 오염의 원인이 되므로, 소정의 처리회수마다, 처리실(2)내를 크리닝할 필요가 있다.
이 경우, 최근, 크리닝가스로서 ClF3나 NF3가 사용되고 있으나, 종래의 CVD 장치에서의 얹어놓는대, 정전척, 또는 가열장치로 사용되고 있는 재료에서는, 이미 상술한 바와 같이 크리닝가스인 ClF3나 NF3에 의해서 그 표면이 부식되어 있었다.
그러나, 본 실시형태에서의 얹어놓는대(21), 정전척(24)표면의 절연체(27) 및 가열장치(22)표면의 절연체(22a)의 각 표면에는, 이들 ClF3나 NF3에 대하여 매우 안정된 부동태피막으로서의 AlF3가 형성되어 있으므로, 그와 같은 부식은 발생하지 않는다.
즉, AlF3의 결합해리에너지는 665(kJ/mol)로, 안정체라고 하는 Al2O3의 490(kJ/mol)보다 대폭적으로 크게 되어 있어, 매우 안정된 상태로 되어 있다. 또한 그 융점은 1040℃이므로, 상기한 바와 같은 성막처리에서의 온도(800℃)에 있어서도 매우 안정되어 있다.
따라서, 얹어놓는대(21)를 비롯하여 정전척(24)표면의 절연체(27) 및 가열장치(22)의 각 표면의 내식성은 크게 향상하고, 상기한 크리닝가스인 ClF3나 NF3에 의해서 그 표면이 부식할 염려는 없다.
또한 그와 같이 알루미늄계 재료의 표면에 안정된 부동태피막으로서의 AlF3를 형성시키는 데에는, 상술한 Al2O3의 표면을 불소와 반응시키는 방법 외에, AlN의 표면을 불소와 반응시키는 방법, Al의 표면을 불소와 반응시키는 방법이 있다.
즉, AlN의 경우에도 상기한 Al2O3의 경우와 마찬가지로, 예를 들면 제4도에 나타낸 대상물을 소정온도(20℃이상의 범위)로 설정, 유지한 상태에서, 챔버(61)내에, ClF3나 NF3를 유입시키면 된다.
전자의 경우에는,
2AlN +2ClF3→ 2AlF3+ N2↑ + Cl2
후자의 경우에는,
AlN + NF3→ AlF3+ N2
의 반응이 발생하여, 양쪽 경우 모두 표면에 AlF3가 형성된다.
이들에 한정되지 않고 HF가스를 사용한 경우에도,
AlN + 3HF → AlF3+ NH3
의 반응이 발생하여 표면에 AlF3가 형성된다.
또한, Al의 경우에는, 대상물을 소정온도(20℃이상의 범위)에 설정한 상태에서 F2를 공급시킴으로써,
2Al + 3F2→ 2AlF3
의 반응이 발생하여 그 표면에 부동태피막으로서의 AlF3가 형성된다.
다음으로 발명자등이 실제로 AlF3를 형성한 경우의 내식성에 대하여 검지한 결과를 나타낸다.
시료로서 Al2O3표면에 AlF3를 형성시킨 것과, 종래의 CVD장치에서의 가열장치의 절연재로 사용되고 있는 P-BN(파이로리틱-보론-나이트라이드)를, 이하에 나타낸 동일조건하에서 크리닝가스인 ClF3에 노출하였다.
조건 : 1 Torr, 620℃이하로, ClF3/N2=700/2800sccm을 120분간 유입. 각 시료의 면적은 모두 157cm2이다.
그 결과를 표 1에 나타내었다.
[표 1]
이 표에 나타낸 바와 같이, p-BN의 경우에는, 실험후 1.55g나 중량이 감소하고, 용적도 0.70cm3감소하여, ClF3에 의해서 부식되어 있는 것이 확인되었다. 이에 대하여, Al2O3표면에 AlF3를 형성시킨 것에 대하여는, 불과 0.01g밖에 감소하지 않고, 용적에 대하여는 측정불능한 정도로 극소였다. 따라서, Al2O3표면에 AlF3를 형성시킨 것에 대하여 거의 부식되지 않고, 매우 큰 내식성을 얻을 수 있다는 것이 확인되었다.
또한 상기예는, 소위 열 CVD장치에 상기 실시형태를 적용한 예이나, 이것에 한정되지 않고 본 실시형태는, 플라즈마 CVD장치를 비롯하여, 그 외의 반도체 처리장치, 예컨대 에칭장치, 에싱장치, 스패터장치에 적용하는 것이 가능하다.
또한, 이러한 작용을 감안하면, 처리실내에 있는 얹어놓는대나, 처리실 내벽 뿐만 아니라, 배기계의 유로를 구성하는 배기관(7) 및 그 외 배기계의 펌프(6)등을 구성하는 알루미계 부재표면도, 마찬가지로 불소계 가스에 의한 처리에 의해서, AlF3코팅을 하면 한층 더 바람직하다.
다음으로, 본 발명의 제2실시형태에 대하여 설명한다.
제5도는 본 발명의 제2실시형태에 관계되는 저온 플라즈마 에칭장치를 모식적으로 나타낸 단면도이다. 이 도면에 나타낸 바와 같이, 이 에칭장치(101)는, 도전성내료, 예를 들면 표면 알루마이트처리(양극산화처리)된 알루미늄 등에 의해서 원통형상(직사각형상이어도 좋다)로 형성된 처리용기(102)를 가지고 있고, 이 용기(102)의 바닥부에는 세라믹 등의 절연판(1O3)을 퉁해서, 피처리체, 예컨대 반도체 웨이퍼(W)를 얹어놓기 위한 대략 원주형상의 하부전극으로서의 얹어놓는대(104)가 설치되어 있다. 이 얹어놓는대(104)는, 표면이 알루마이트 가공된 알루미늄 등에 의해서 형성되어 있고, 구체적으로는, 쌍방원주형상의 서셉터(107)와 서셉터 지지대(105)를 구비하고 있고, 이들은 볼트(106)에 의해서 체결되어 있다. 그리고, 서셉터(107)는 볼트(106)을 뗌으로써 끼고 떼는 것이 자유롭게 되어 있다. 또한, 체결구는 볼트에 한정하지 않는다는 것을 말할 필요도 없다.
서셉터 지지대(105)내에는, 냉각수단으로서, 예를 들면 냉각쟈켓(108)이 설치되어 있고, 이 쟈켓(108)에는 예를 들면 액체질소 등의 냉매가 냉매도입관(110)을 통해서 도입된다. 이 냉매는 쟈켓(108)내를 순환하여, 냉매배출관(111)으로부터 상기 액체질소의 증발에 의한 기체를 용기(102)밖으로 배출함과 동시에 냉각하고, 다시 상기 냉매도입관(110)에 순환된다. 따라서, 냉매로서 액체질소를 사용하는 경우, -196℃의 액체질소의 냉열이 냄\냉각쟈켓(108)으로부터 서셉터(107)를 통해서 웨이퍼(W)에 대하여 공급되고, 이 냉열에 의해서 웨이퍼(W)를 소망의 온도까지 냉각할 수 있게 되어 있다.
서셉터(107)는, 상단중앙부가 돌출형상으로 이루어진 원판형상으로 형성되고, 그 중앙부의 웨이퍼 얹어놓는 부에는 정전척(113)이 웨이퍼면적과 거의 같은 면적, 또는 플라즈마에 노출되지 않도록 웨이퍼(W)의 면적보다 약간 작은 면적으로 되어 있다.
이 정전척(113)은, 예를 들면 2매의 고분자 폴리이미드 필름간에 동박 등의 도전막(114)을 절연상태에서 끼워 넣음으로써 형성되고, 이 도전막(114)은 전압공급리드(115)에 의해서, 도중에 고주파를 커트하는 필터(116)를 통해서 가변직류고전압원(117)에 접속되어 있다. 따라서, 이 도전막(114)에 고전압을 인가함으로서, 정전척(113)의 윗면에 웨이퍼(W)가 쿨롱력에 의해서 흡인유지된다.
서셉터 지지대(105) 및 서셉터(107)에는, 이들을 관통하여 He 등의 열전달가스를 웨이퍼(W)의 뒷면, 이들의 접합부, 서셉터(107)를 구성하는 부재관의 접합부 등에 공급하기 위한 가스통로(120)가 형성되어 있다. 또한, 상기 정전척(113)에도 열전달가스를 통과시키는 다수의 통기구멍(도시하지 않음)이 형성되어 있다.
또한, 서셉터(107)의 상단둘레부에는, 웨이퍼(W)를 둘러싸도록 고리형상의 포커스링(121)이 배치되어 있다. 이 포커스링(121)은 반응성 이온을 끌어당기지 않는 절연성 재질로 이루어지고, 반응성 이온을 내측의 반도체 웨이퍼(W)에만 효과적으로 입사시키도록 구성되어 있다.
그리고, 이 서셉터(7)에는, 중공에 형성된 도체로 이루어진 파이프리드(122)가 서셉터 지지대(105)를 관통하여 접속되어 있고, 이 파이스리드(121)에는 배선(123)을 통해서 블로킹 콘덴서(124) 및 플라즈마 발생용의 고주파전원(125)(예를들면 13.56MHz, 40.68MHz)이 순차적으로 접속되어 있다. 처리용기(102)의 하부측벽에는, 배기관(127)이 접속되고, 처리용기(102)내의 분위기를 도시하지 않은 배기펌프에 의해서 배출할 수 있도록 구성됨과 동시에 중앙부측벽에는 도시하지 않은 게이트 밸브가 설치되며, 이것을 통해서 웨이퍼의 반입·반출이 행하여진다.
그리고, 상기 정전척(113)과 냉각쟈켓(108)과의 사이의 서셉터 하부에는 온도 조정용 히터(128)가 설치되어 있다. 이 히터(128)는, 예를 들면 두께 수mm정도의 판인 세라믹스 히터로 이루어지고, 이 히터(128)는, 서셉터 지지대(105)의 윗면에 도시하지 않은 볼트 등에 의해서 고정되는 히터 고정대(129)의 상부에 그 윗면을 동일레벨로 하여 완전하게 수용된다. 히터 고정대(129)는, 열전도성이 양호한 재료 예컨대 알루미늄에 의해서 구성된다. 이 히터(128)의 크기는, 바람직하게는 웨이퍼면적과 그이 동일면적이거나 그 이상이 되도록 설정되는 것이 좋다. 이 히터(128)는, 이 아래방향에 위치하는 냉각쟈켓(108)으로부터의 냉열이 웨이퍼(W)에 전도하는 것을 제어하고 웨이퍼(W)의 온도조정을 할 수 있도록 구성되어 있다. 또한, 이 온도조정용 히터(128)나 히터고정대(129)에는 풋셔핀 등이 관통하는 관통구멍(도시하지 않음)등이 형성되어 있다.
서셉터(107)의 아래면에는 상기 히터 고정대(129)전체를 수용하기 위한 수용 오목부(130)기 형성됨과 동시에, 이 히터 고정대(129)에는, 히터(128)의 윗면과 서셉터(107)의 수용 오목부(130)의 아래면과의 경계부에 He 등의 열전도매체를 공급하기 위해서, 상기 가스통로(120)에 접속된 분기로(131)이 형성되어 있다.
그리고, 상기 히터(128)에는 전력공급리드(132)가 접속됨과 동시에, 이 리드(132)에는 전원(133)이 접속되어 있고, 이 전원(133)으로부터 소정의 전력이 히터(128)에 공급된다.
또한, 플라즈마 발생용의 고주파의 영향을 받기 쉬운 각종배선, 예컨대 히터에 접속되는 전력공급리드(132), 청전척(113)에 접속되는 전압공급리드(115)는 모두, 플라즈마용의 고주파전력을 공급하는 파이프리드(122)내에 수용되어 있고, 외부에 대하여 고주파노이즈의 영향을 주지 않도록 되어 있다. 상기 파이프리드(122)의 처리용기 바닥부의 관통부에는 절연체(135)가 사이에 끼어 설치되고, 용기(102)측과의 전기적 절연을 도모하고 있다.
또한, 이 용기(102)의 바깥쪽에 이어지는 파이프리드(122)의 바깥둘레에는 전기적에 접지된 시일드(136)가 설치되어 있고, 이에 의해서 고주파가 외부로 누출되는 것이 방지된다.
또한 상기 서셉터(107)의 윗쪽에는, 이것으로부터 약 3∼20mm정도 이간시켜서 접지된 상부전극(140)이 배열 설치되어 있고, 이 상부전극(140)에는 가스공급관(141)을 통해서 프로세스가스, 예를 들면 CF4등의 에칭가스가 공급되고, 상기 가스공급관(141)과 상부전극(147)과의 사이에 설치된 가스확산판(142)의 작은구멍(143)을 통해서 에칭가스가 균등하게 확산되어 상기 상부전극(140)에 뚫린 다수의 작은 구멍(144)로부터 에칭가스가 아래쪽의 처리공간에 불기 시작한다.
그리고, 이 상부전극(140)과 상기 처리용기(102)를 구성하는 부재간의 접합부는, 처리용기(102)에 설치된 피접합부재로서의 볼록부(145)와 상기 상부전극(140)이 접합되어 있고, 제6도에 나타낸 바와 같이 접속수단, 예를 들면 볼트(146)로 접속되어 있다. 이들 접합부는, 볼록부(145)와 상부전극(140)의 도전부재, 가령 알루미늄(149)의 표면에는, 플라즈마에 의한 스패터나 부식성 가스에 의한 부식등을 방지하기위해 예를 들면 Al2O3로 이루어지는 절연막(147)이, 가령, 알루마이트처리(양극산화처리)에 의해 실시되고 있는데, 이 절연막(147)은 볼록부(145)와 상부전극(140)과의 접합부(148)의 둘레부로 부터 소정의 거리 X1(가령 약 10mm)의 위치까지 실시되고 있고, 그 둘레부내의 거의 중앙부인 거리 X2약 20mm는 도전부재로서의 알루미늄이 노출되어 있어, 서로 접하여 전기적으로 도통되고 있다.
다음에, 이 접합부(148)의 형성방법을 구체적으로 설명한다.
제7(a)도에 나타내듯이 가령 모재가 알루미늄 또는 알루미늄 합금으로 형성된 도전성부재(149)의 접합부(148)측 표면에 볼록부(150)가 형성된다. 이 볼록부(150)는, 가령 그 높이 H1이 30∼200㎛의 범위, 예를 들면 약 50㎛이 되게 하여 연마등에 의해 형성된다. 이 때 접합부(148)의 표면 거칠기로 표시한 평면도는, 이 후의 공정에 있어서 필요한 평면도를 유지하기 위해, Rmax로 약 10㎛이하로 하는 것이 바람직하다.
이 공정 후, 제7(b)도에 나타나듯이 도전성부재(149)의 표면에 볼록부(150)를 덮도록 두께 H2가 31∼200㎛의 범위, 가령 약 70㎛의 절연층(153)이 형성된다. 이 절연층(153)으로서는, 가령 알루마이트 처리(양극산화처리)를 하므로써 형성된 Al2O3을 들수 있다.
그 후, 제7(c)도에 나타내듯이, 상기 볼록부(150)의 표면이 적어도 표시될 때까지, 가령 20㎛이상 절연층(153)을 연마하고 게다가 절연층(153)과 상기 볼록부(150)를 연마하여 도전성부재(149)의 접합부형성면을 표면거칠기 Rmax로 20㎛ 이하의 경면다듬질을 하므로써 접합부(148)를 형성한다.
한편, 볼록부(150)를 연마등에 의해 형성할 때에, 접합부(148)의 표면 거칠기로 나타낸 평면도를 약 10㎛이하로 한 것은, 경면다듬질공정에 있어서의 도전성부재(149)의 접합부형성면의 표면거칠기를 약 20㎛이하로 하기 때문에 그 표면거칠기 보다 배이상 정밀도의 다듬질을 하지 않으면 경면 다듬질 공정에 있어서의 약 20㎛하의 표면거칠기를 달성하는 것이 곤란해지기 때문이다.
또, 경면 다듬질 공정에 있어서 약 20㎛이하의 표면거칠기로 다듬질하는 이유로서는, 그 표면 거칠기 이하라면 접합부로서의 융합이 좋고, 접합부(148) 바깥으로부터 접합부(148)내로 플라즈마가 들어가거나 접합부(148)내에서의 플라즈마의 발생을 방지할 수 있고, 게다가 부식성가스, 가령 염소계 또는 불소계등의 가스를 접합부(148)내에 들어가는 것을 억제할 수 있는 것을 들수 있다. 그리고, 접합부(148)의 거의 중앙부에 전기적인 접합부를 설치하여, 그 주위의 접합부에는, 절연층(153)이 형성되어 있으므로, 전술과 같은 플라즈마의 침입, 혹은 부식성가스가 접합부의 둘레부로 부터 만에 하나라도 끼어 들어가도, 중앙부의 전기적 접촉부에 그것들을 도달시키지 않는 다는 효과가 있다.
따라서, 상부전극(140)의 접합면쪽은, 제8도에 나타내는 것처럼, 절연층(153)이, 상기 작은 구멍(144)이 설치된 중앙부(160)와 둘레부(161)에 배치하도록 형성되고, 그 사이에 전기적으로 도통하기 위한 접합부(148)가 배치된다. 그리고 제5도에 나타내는 볼록부(145)의 이 접합부(148)와의 접합면쪽도 같은 처리가 실시되고 있고, 이들의 부재를 접촉시킨 상태에서 제6도에 나타내듯이 볼트(146)에 의해 고정되어 있다.
또, 제5도에 나타내듯이, 상부전극(140)의 둘레부(170)는, 접속부(148)에 플라즈마등이 돌아서 들어가거나, 혹은 부식성의 가스가 돌아서 들어가는 것을 방지하기 위해 플라즈마 발생영역과 반대방향으로 곡절하여 구성되어 있다. 그리고, 플라즈마등이 돌아서 들어가거나, 혹은 부식성 가스가 돌아들어가는 것을 상기 접촉부(148)에 작용시키지 않도록, 굴곡된 둘레부(170)과 볼록부(145)와의 틈(G)은 5mm이하로 하는 것이 바람직하다. 또, 상기 가스 확산판(142)과 상기 처리용기(102)에 설치된 볼록부(171)와의 접합부도 상술과 같은 처리가 이루어져, 전기적으로 처리용기(102)와 도통하도록 구성되어 있다.
이러한, 서로 전기적으로 도통시킬 필요가 있는 부재로서, 가령 제5도에 나타나듯이 처리용기(102)를 구성하는 천정판(172)과 측벽판(173)과의 접합부(174)나 상기 서셉터(107)와 서셉터 지지대(105)와의 접합부(175)등에 있어서도 상술과 같이 접합부를 형성하여 각각의 부재를 접합시켜도 좋은 것은 말할 필요도 없다.
다음에, 이상과 같이 구성된 본 상태의 장치의 동작에 관하여 설명한다.
우선 반도체 웨이퍼(W)는, 도시하지 않은 감압된 예비실로 부터, 소정의 압력, 가령 이 예비실과 같거나 혹은 양압에 있어서 1×10-6~수Torr정도로 감압된 처리용기(102)내에 반입되고, 서셉터(107)의 상부에 설치된 정전척(113)상에 재치되어, 정전척(113)의 쿨론력에 의해 흡착유지된다. 그리고, 상부전극(140)과 하부전극(서셉터)(107)과의 사이에 파이프리드(122)를 개재하여 고주파가 인가되므로써 플라즈마가 여기되고, 이와 동시에 상부전극(140)쪽에서 프로세스가스가 처리공간에 공급되므로써, 웨이퍼(W)에 대한 플라즈마 에칭처리가 개시된다.
이 때에, 플라즈마에 의한 열에 의해 웨이퍼(W)가 소정의 설정온도 보다도 과도하게 가열되므로, 이것을 냉각하기 위해서 서셉터 지지대(105)의 냉각자켓(108)에 냉매, 가령 액체 질소가 유퉁되어 이 부분이 -196℃ 근처의 저온으로 유지되고, 여기서부터의 냉열이 이 상부의 서셉터(107)를 개재하여 웨이퍼(W)에 공급된다. 그러므로써 웨이퍼(W)가 냉각되어 원하는 저온상태로 유지되고, 웨이퍼(W)에 저온 에칭이 실시된다.
또, 냉각자켓(108)과 웨이퍼(W)와의 사이에 설치된 온도조정용 히터(128)의 발열량이 조정되므로써 웨이퍼(W)의 온도가 조정되고, 웨이퍼(W)가 원하는 온도, 예를 들면 -150℃∼100℃ 정도로 유지된다. 한편, 히터(128)의 발열량이나 자켓(108)내의 냉매의 유량을 제어하므로써 웨이퍼 온도를 상온이상, 가령 100℃까지 올릴 수 있다.
여기서, 본 실시형태에서는, 전기적인 도통을 취할 필요가 있는 부재끼리의 접합면은, 상술과 같이, 그 거의 중앙부에 전기적인 접합부(148)가 설치되고, 그 주위의 접합부에는, 절연층(153)이 형성되어 있으므로, 상술과 같이 플라즈마 에칭처리를 하는 경우에, 접합부(148)바깥에서 접합부(148)내, 즉 내부의 알루미늄 모재로 플라즈마가 끼어들거나 접합부(148)내에서의 플라즈마의 발생을 방지할 수 있고, 게다가 부식성 가스, 가령 염소계 또는 불소계등의 가스가 접합부(148)가 플라즈마 피해를 받거나 부식하는 것을 방지할 수 있고, 때문에 접합부로 부터의 플라즈마에 의한 스패터물이나 부식물이 파티클이 되어 처리용기(102)내에 부유하는 것을 방지할 수 있다. 그리고 이와 같이 파티클의 부유를 억제할 수 있으므로, 이것들이 피처리체등에 부착하는 것이 방지되어 피처리체의 수율저하를 억제할 수 있다.
또, 전기적으로 도통하는 접합부가 부식하거나 스패터되지 않으므로 전기적인 도통에 있어서의 인피던스의 안전성을 유지할 수 있다. 특히 플라즈마 처리장치에 있어서, 고주파전원의 인피던스 매칭을 안정시켜서, 인피던스의 변동을 억제하고, 피처리체를 동일한 전력 혹은 동위상에서 처리할 수 있으므로, 피처리체의 처리의 차이를 극히 적게 할 수 있어, 그 점에서도 피처리체의 수율의 향상에 기여한다.
한편, 이 제2의 실시형태에 있어서는, 저온 플라즈마 에칭장치의 상부전극부의 접합부에 적용한 예에 관하여 설명했는데, 이에 한정되지 않고, 상술한 것처럼 다른 부재에서도 전기적으로 도통할 필요가 있는 부재간의 접합부라면 어떤 장치로 사용해도 좋고, 장치로서도, 이러한 플라즈마 에칭장치에 한정하지 않고 가령 웨이퍼나 LCD등의 전기적특성을 저온에서 검사하는 플로우버 장치나 CVD장치, 시료를 관찰하기 위한 전자현미경등의 장치에도 적용할 수 있다.
또, 절연체로서 Al2O3을 형성한 예에 관하여 설명했는데, 이에 한하지않고, 예를 들면 제1의 실시형태에서 이용한 AlF3를 이용할 수도 있다. AlF3은 불소계가스에 대해서 극히 안정하므로, 불소계가스 분위기에서 이용되는 경우에는 보다 바람직하다.

Claims (10)

  1. 도전성부재의 표면접합부에 볼록부를 형성하는 공정과, 상기 도전부재의 적어도 볼록부를 가지는 표면에 절연층을 형성하는 공정과, 상기 볼록부표면의 상기 절연층의 일부를 제거하는 공정과, 상기 제거된 볼록부표면에 피접합부재를 접합하는 공정을 구비하는 접합부의 형성방법.
  2. 도전성부재의 표면에 볼록부를 형성하는 공정과, 그 부재의 표면에 상기 볼록부를 덮는 두께의 절연층을 형성하는 공정과, 상기 볼록부의 표면이 적어도 노출하는 상태가 되도록 상기 절연층과 상기 볼록부를 연마하여 상기 부재의 접합부측의 면을 거울면화하는 공정을 구비하는 접합부의 형성방법.
  3. 피처리체를 감압분위기에서 처리하는 처리장치의 구성부재끼리를 전기적으로 도통시키기 위한 도전성 부재의 접합방법으로서, 상기 부재중 적어도 한쪽의 접합부에 볼록부를 형성하는 공정과, 이들의 부재의 접합부측면에 절연층을 형성하는 공정과, 상기 볼록부표면의 상기 절연층을 제거하는 공정과, 이 공정으로 노출한 볼록부표면에 피접합부재를 접촉시키는 공정을 구비하는 도전성 부재의 접합방법.
  4. 제3항에 있어서, 상기 부재는, 알루미늄 또는 알루미늄 합금으로 형성되어 있는 접합방법.
  5. 제3항에 있어서, 상기 절연층은 Al2O3또는 AlF3로 형성되어 있는 접합방법.
  6. 제3항에 있어서, 상기 볼록부의 높이는, 30~200㎛의 범위인 접합방법.
  7. 제3항에 있어서, 상기 절연층의 두께는 31~200㎛의 범위인 접합방법.
  8. 제3항에 있어서, 상기 볼록부는, 상기 부재의 접합부측의 면의 거의 중앙에 형성되어 있는 접합방법.
  9. 피처리체를 감압분위기에서 처리하는 처리장치의 구성부재끼를 전기적으로 도통시키기 위한 도전성 부재의 접합방법으로서, 각각의 부재의 접합부측면에 볼록부를 형성하는 공정과, 이들 부재의 접합부측면에 상기 볼록부를 덮는 두께의 절연층을 형성하는 공정과, 상기 볼록부의 표면까지 상기 절연층을 연마하고 또한 상기 절연층과 상기 볼록부를 동시에 연마하고, 상기 부재의 접합부측면을 거울면 마무리하는 공정과, 각각의 부재의 볼록부를 접촉시키는 공정을 구비하고 있는 도전성 부재의 접합방법.
  10. 제9항에 있어서, 상기 거울면화에 의해, 표면 거칠기가 Rmax로 20㎛ 이하가 되는 부재의 접합방법.
KR1019950006681A 1994-03-28 1995-03-28 코팅된 알루미늄계 부재를 가지는 처리장치와 알루미늄계 부재의 코팅방법 및 접합부의 형성방법 KR100277281B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP08099794A JP3251762B2 (ja) 1994-03-28 1994-03-28 接合部の形成方法及び処理装置の部材間の接合方法
JP94-80997 1994-03-28
JP8557394A JPH07273053A (ja) 1994-03-31 1994-03-31 処理装置及びアルミ系部材のコーティング方法
JP94-85573 1994-03-31

Publications (2)

Publication Number Publication Date
KR950034505A KR950034505A (ko) 1995-12-28
KR100277281B1 true KR100277281B1 (ko) 2001-01-15

Family

ID=26421960

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950006681A KR100277281B1 (ko) 1994-03-28 1995-03-28 코팅된 알루미늄계 부재를 가지는 처리장치와 알루미늄계 부재의 코팅방법 및 접합부의 형성방법

Country Status (2)

Country Link
US (1) US5581874A (ko)
KR (1) KR100277281B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7820244B2 (en) 2005-10-31 2010-10-26 Samsung Electronics Co., Ltd. Method of forming a layer and method of removing reaction by-products
KR20210119308A (ko) * 2020-03-24 2021-10-05 도쿄엘렉트론가부시키가이샤 기판 적재대 및 기판 처리 장치

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172001A (ja) * 1995-12-15 1997-06-30 Sony Corp 半導体製造装置の温度制御方法および装置
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
JP3160229B2 (ja) * 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
WO1999048138A1 (en) * 1998-03-18 1999-09-23 Applied Materials, Inc. Large area uniform laminar gas flow dispenser
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US5886866A (en) * 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
US6639783B1 (en) * 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
JP2000150611A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
US6094334A (en) * 1999-03-02 2000-07-25 Applied Materials, Inc. Polymer chuck with heater and method of manufacture
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
KR100321613B1 (ko) * 1999-09-15 2002-01-31 권문구 금속표면에 불소화합물을 포함하는 다층 이온도금 방법
US6490144B1 (en) 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
US6328807B1 (en) * 1999-12-14 2001-12-11 Corning Incorporated Chuck heater for improved planar deposition process
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
JP4009100B2 (ja) * 2000-12-28 2007-11-14 東京エレクトロン株式会社 基板加熱装置および基板加熱方法
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6838387B1 (en) * 2001-06-21 2005-01-04 John Zajac Fast etching system and process
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
WO2003003407A1 (en) 2001-06-28 2003-01-09 Greene, Tweed Of Delaware, Inc. Self contained sensing apparatus and system
JP2003060019A (ja) * 2001-08-13 2003-02-28 Hitachi Ltd ウエハステージ
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
KR100588774B1 (ko) * 2001-11-26 2006-06-14 주성엔지니어링(주) 웨이퍼 서셉터
AU2002353039A1 (en) * 2001-12-04 2003-06-17 Primaxx, Inc. System and method for micro electro mechanical etching
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP4067858B2 (ja) * 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20050082002A1 (en) * 2003-08-29 2005-04-21 Yuusuke Sato Method of cleaning a film-forming apparatus and film-forming apparatus
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP3913244B2 (ja) * 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7807062B2 (en) * 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US20080131622A1 (en) * 2006-12-01 2008-06-05 White John M Plasma reactor substrate mounting surface texturing
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5218942B2 (ja) * 2008-02-06 2013-06-26 株式会社ユーテック プラズマcvd装置、プラズマcvd方法及び攪拌装置
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPS6355939A (ja) * 1986-08-27 1988-03-10 Hitachi Ltd ドライエツチング装置
JPS63192867A (ja) * 1987-02-06 1988-08-10 Mitsubishi Heavy Ind Ltd 金属皮膜方法
JP2580154B2 (ja) * 1987-03-23 1997-02-12 三菱重工業株式会社 金属の積層コ−テイング膜
JPH0273981A (ja) * 1988-09-09 1990-03-13 Hitachi Ltd エッチング装置
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
US5255153A (en) * 1990-07-20 1993-10-19 Tokyo Electron Limited Electrostatic chuck and plasma apparatus equipped therewith
US5099571A (en) * 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JPH04285173A (ja) * 1991-03-15 1992-10-09 Canon Inc 窓曇り除去機構を備えた光励起プロセス装置
US5213349A (en) * 1991-12-18 1993-05-25 Elliott Joe C Electrostatic chuck
US5452510A (en) * 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7820244B2 (en) 2005-10-31 2010-10-26 Samsung Electronics Co., Ltd. Method of forming a layer and method of removing reaction by-products
KR20210119308A (ko) * 2020-03-24 2021-10-05 도쿄엘렉트론가부시키가이샤 기판 적재대 및 기판 처리 장치
KR102466667B1 (ko) 2020-03-24 2022-11-14 도쿄엘렉트론가부시키가이샤 기판 적재대 및 기판 처리 장치

Also Published As

Publication number Publication date
US5581874A (en) 1996-12-10
KR950034505A (ko) 1995-12-28

Similar Documents

Publication Publication Date Title
KR100277281B1 (ko) 코팅된 알루미늄계 부재를 가지는 처리장치와 알루미늄계 부재의 코팅방법 및 접합부의 형성방법
TWI679925B (zh) 電漿處理裝置及電漿處理方法
KR101141488B1 (ko) 처리중의 기판이면(裏面) 증착 감소방법 및 장치
JP4514336B2 (ja) 基板処理装置及びその洗浄方法
US5868848A (en) Plasma processing apparatus
US20080190364A1 (en) Substrate support assembly
US20070113787A1 (en) Plasma process apparatus
KR100727733B1 (ko) 성막 장치
JP2005136350A (ja) 静電吸着装置、プラズマ処理装置及びプラズマ処理方法
WO2005048289A2 (en) Method and apparatus comprising an improved focus ring
WO2008109504A2 (en) Processing system and method for performing high throughput non-plasma processing
US20140127911A1 (en) Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
JP3162955B2 (ja) プラズマ処理装置
KR20150000834A (ko) 클리닝 방법 및 기판 처리 장치
JPH07273053A (ja) 処理装置及びアルミ系部材のコーティング方法
WO2010014384A1 (en) High throughput processing system for chemical treatment and thermal treatment and method of operating
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus
JP3096710B2 (ja) プラズマ処理装置
JP3231202B2 (ja) プラズマ処理装置
JP3181364B2 (ja) プラズマ処理装置
JPH07331445A (ja) 処理装置及び該処理装置に用いられるカバー体の洗浄方法
KR102650167B1 (ko) 정전 척 및 그를 포함하는 플라즈마 처리 장치
US6363624B1 (en) Apparatus for cleaning a semiconductor process chamber
JP4602528B2 (ja) プラズマ処理装置
JP3251762B2 (ja) 接合部の形成方法及び処理装置の部材間の接合方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20050926

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee