KR100276779B1 - 집적회로 제조시 재료를 증착시키는 개선된 방법 - Google Patents

집적회로 제조시 재료를 증착시키는 개선된 방법 Download PDF

Info

Publication number
KR100276779B1
KR100276779B1 KR1019920015035A KR920015035A KR100276779B1 KR 100276779 B1 KR100276779 B1 KR 100276779B1 KR 1019920015035 A KR1019920015035 A KR 1019920015035A KR 920015035 A KR920015035 A KR 920015035A KR 100276779 B1 KR100276779 B1 KR 100276779B1
Authority
KR
South Korea
Prior art keywords
layer
deposited
deposition
target
substrate
Prior art date
Application number
KR1019920015035A
Other languages
English (en)
Other versions
KR930005110A (ko
Inventor
타리흐 호모윤
텝멘 애비
치 키우 호아
왕 친-론
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25012238&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100276779(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR930005110A publication Critical patent/KR930005110A/ko
Application granted granted Critical
Publication of KR100276779B1 publication Critical patent/KR100276779B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

본 발명의 재료를 증착시키는 개선된 방법은 먼저, 저온에서 증착하는 단계와 다음 고온/고전력에서 증착하는 단계를 이용한다. 제1 단계에서 조준판은 스퍼터링 타켓과 기판사이에 설치되고, 따라서 스퍼터링 재료의 조준된 흐름은 기판위에 증착된다. 조준된 흐름은 기판표면에 있는 구멍 및 홈을 부분적으로 채움으로써 기공을 제거시키는 시드층을 제공한다. 제2 증착단계는 고온성 스퍼터링 증착으로서 수행된다. 고온에서 스퍼터링된 재료는 시드층과 결합되어서 유동되고, 이에 의해서 구멍 및 홈은 기공없이 더욱 쉽게 채워지며 개선된 편평한 층이 형성된다.

Description

집적회로 제조시 재료를 증착시키는 개선된 방법
제1도는 본 발명의 제1 증착 공정단계를 도시한 측면도.
제2도는 본 발명의 제1 증착 공정단계의 기판을 도시한 측단면도.
제3도는 본 발명의 제2 증착 공정단계 및 결과적으로 생성된 기판을 도시한 측 단면도.
* 도면의 주요부분에 대한 부호의 설명
12 : 기판 14 : 지지대
18 : 타켓 24 : 플라즈마
32 : 조준판 40 : 제1층
50 : 홈 80 : 제2층
본 발명은 반도체 기판위에 재료를 증착하기 위한 방법에 관한 것이며, 특히 비교적 저온의 조준된(collimated) 스퍼터링 공정단계인 제1 단계와 고온성 표준형 스퍼터링 공정단계를 이용하는 제2 단계로 이루어지는 두 단계 증착공정방법에 관한 것이다.
집적회로의 제조시 표준형 공정단계는 웨이퍼 표면상에 금속성층의 증착단계를 포함한다. 전형적으로, 웨이퍼 표면은 미리 다수의 로케이션(location)에 에칭되며, 이에 의해서 표면은 구멍과 홈으로 구성되고 결과적으로 편평한 면이 되지 못한다. 증착단계의 목적은 증착단계가 수행된 후 구멍과 홈을 균일하고 완전하게 채우고 일반적으로 편평한 외부면을 얻는 것이다. 그러나, 기공(Void)이 존재하지 않도록 구멍과 홈을 완전히 채우는 것은 어렵다. 공지된 것처럼, 기공의 존재는 불충실한 수행능력 및 결함성 장치의 결과가 될 수 있다. 이러한 문제점을 해결하기 위하여, 종래기술은 증착된 층이 녹아 흘러서 구멍 및 홈을 완전히 채우도록 증착재료의 녹는점(알루미늄의 경우 거의 450℃ 정도)에 증착용 기판의 온도를 설정하는 것이다. 이러한 공정의 성공여부은 기판전체를 통하여 균일한 온도의 설정이 광범위하게 기대되며, 따라서 증착용 재료의 증착 및 흘러내림이 실질적으로 균일하게 조절된다. 그러나, 기판 전체를 통하여 균일한 온도를 설정함은 정밀한 온도조절기가 요구되며, 따라서 이것은 어렵고 시간 소모적일 수 있다.
본 발명은 개선된 재료 증착방법은 저온성 증착단계인 제1 단계에 이어서 고온성 증착단계인 제2 단계가 이용된다. 제1 증착단계에서 조준된 흐름의 스퍼터링 재료가 기판상에 증착된다. 조준된 재료는 기판표면에 구멍 및 홈을 채우는 제 1층을 제공한다. 제2 증착단계는 고온성 스퍼터링 공정으로서 수행된다. 고온에서 스퍼터링 재료는 제 1층과 결합되고 녹아서 흐르며, 이에 의해서 구멍 및 홈부는 더욱 용이하게 채워지며, 따라서 기공은 제거되고 개선된 편평한 층이 형성된다.
본 발명의 유익한 점은 정밀한 온도조절기가 요구되지 않는 개선된 재료증착 공정방법을 제공한다는 것이다.
본 발명의 다른 유익한 점은 조준된 저온성 제1 증착단계 및 고온성 제2 증착단계로 이루어지는 개선된 재료증착 공정방법을 제공한다는 것이다.
본 발명의 다른 유익한 점은 조준판이 제1 증착단계에 이용되며, 따라서 기판표면에 있는 구멍 및 홈은 실질적인 제1층으로 덮여지며, 이에 의해서 기공의 형성은 감소되고 더욱 균일한 제 2층이 제1층 위에 증착된다는 것이다.
본 발명의 다른 목적, 특징 및 잇점은 도면을 참조로 하여 기재된 상세한 설명에 의해서 당업자들에게 명백해진다.
본 발명은 기공충진과 반도체 기판상의 재료의 증착에서 외부면을 편평화시키는 개선된 두 단계 증착공정방법을 포함한다. 제1도 및 제2도는 제1 증착단계에 관한 도면이다. 제1도 및 제2도에 도시된 것처럼, 기판(12)은 적절한 지지대(14)상에 고정되게 지지된다. 타켓(18)은 제2 지지대(20)상에 지지되며 플라즈마(24)는 타켓(18) 표면에 근접하여 표면을 때리므로서 타켓의 재료(28)는 플라즈마(24)의 충격에 의해서 타켓(18) 표면에서 스퍼터링된다. 제1도에 도시된 것처럼, 스퍼터링된 재료(28)는 불규칙한 방향으로 타켓(18) 표면에서 발산된다. 조준판(32)은 타켓(18)과 기판(12) 사이에 설치되며, 안정된 지지구조체(도시되지 않음)에 의해서 제자리에 지지된다. 조준판(32)은 플라즈마(24)로부터 떨어져서 설치되므로써, 판(32)의 모서리는 플라즈마에 노출되지 않는다.
조준판(32)은 얇고 평행한 벽들에 의해서 나누어진 다수의 구멍을 포함하여서, 벽에 일반적으로 평행한 방향으로 타켓으로부터 발산된 스퍼터링 재료(36)만이 판을 관통하도록 된다. 조준판의 광범위한 영역이 본 발명에 있어서 유용하지만, 다른 한편으로는 벽이 약 0.75인치의 높이이고 구멍이 약 1.5인치의 너비를 갖는, 즉, 조준판의 종횡비(aspect ratio)가 약 1.5인 벌집형 판으로도 적절한 결과는 얻어질 수 있다. 조준판(32; collimation plate)은 증착 챔버의 분위기 조건에 적절한 재료, 즉, 알루미늄 및 스테인레스 스틸 등으로 구성된다.
제2도는 제1 증착단계의 결과 생성된 기판(12)의 확대 단면도이다. 제2도에 도시된 것처럼, 기판(12)의 표면상에 증착된 시드 층(seed layer)(40)을 제공하는 것이 제1 증착단계의 목표다. 집적회로 제조분야의 당업자들에게 공지된 것처럼, 기판(12)의 표면은 제2도의 단면도상에 도시된 홈처럼 다수의 구멍과 홈을 포함한다. 시드층(40)은 시드층의 조준 특성 때문에 홈(50)의 바닥표면(51)상에 두꺼운 층(52)이 형성되고 측면을 따라서 얇은층(54)이 형성된다. 홈 외부면의 층(56)은 홈(50)의 바닥내에 형성되어 있는 두꺼운 층(52)보다 더욱 두껍게 형성된다. 그러므로, 조준된 증착층(52)은 홈(50)의 바닥표면(51)을 실질적으로 덮고 홈(50)의 바닥표면(51)에 증착된 재료의 완전한 접착(52)이 형성된다는 것은 이해될 수 있다. 제1 증착단계는 상온에서 약 100℃ 정도까지의 저온에서 수행되므로, 제1층(40)은 용해되어서 흘러내릴 정도로 충분한 온도로 가열되어질 필요가 없다. 제1단계에서 이러한 온도를 이용하는 것이 필요하지 않으나, 더욱 높은 온도, 즉 증착재료가 흘러내리기에는 불충분한 온도가 또한 사용될 수 있다.
증착공정의 제2 단계는 일반적으로 제3도에 도시되어 있다. 제2 증착단계는 비록 필수적이지는 않지만 제1 증착단계와 다른 챔버에서 수행되며, 종래 증착공정과 비슷한 방식으로 수해오디는 것이 바람직하다. 제3도에서는 제2도에 도시된 기판(12)과 비슷한 기판(12)이 확대 단면도로 도시되어 있고, 그러나 타켓 및 플라즈마는 제1도에 도시된 타켓 및 플라즈마와 비슷한 형상으로 도시되어 있다. 제3도에 도시된 것처럼, 적절한 증착재료로 구성된 타켓(70)은 플라즈마(74)에 의해서 스퍼터링되어서, 증착재료(78)는 플라즈마로부터 불규칙한 방향으로 발산된다. 증착재료(78)는 제1 증착단계에서 생성된 층(40)의 상부위에 하나의 층(80)으로 증착된다. 본 분야에서 실시된 것처럼, 제2 증착단계의 온도는 제2 증착재료의 유동온도(flow temperature) 정도이며, 제2 증착층은 기판의 홈(50)과 비슷한 형상인 제1층(40)의 홈과 같이 균일하지 않은 곳으로 흘러내린다. 그러므로, 제2 증착 재료로 형성된 층(80)의 유동은 홈(84)을 채우고, 따라서, 기판(12)의 홈(50)내에 결합된 층(40 및 80)의 개선된 증착이 생성된다.
그러므로, 조준된 제1층을 이용하는 제1 증착단계에서 홈(50)의 바닥표면(51)이 증착재료로 완전히 덮여진다. 이러한 형상은 바닥표면(51)이 전기적 연결에 알맞은 접점부로 작용하는 곳에서 중요하다. 제2 증착단계에서 이용된 온도 및 전력 때문에, 제2 증착층은 제1 증착층으로 유동되고 성장될 수 있으며, 이에 의해서 홈내 기공의 형성은 실질적으로 감소되고 전반적으로 개선된 증착층의 결과가 생성된다.
증착공정의 바람직한 실시예에서 제1 증착단계 및 제2 증착단계에 알맞은 증착재료는 알루미늄이다. 제1 증착단계는 약 50℃ 온도, 2mTorr 압력, 140초 동안 9kw 전력으로 제1 챔버에서 수행되어서 홈의 바닥(51)에 있는 구멍 및 홈내에서 약 1200Å의 두께인 제 1층이 생성된다. 제2 증착단계는 처음에 약 50℃ 온도에서 시작되어서 약 150℃ 온도까지 증가시키며, 4.0mTorr 압력, 30초 동안 2kw의 전력의 조건하에서 수행되는 제1 부분 단계와 4.0mTorr 압력, 30초동안 2kw의 전력조건하에서 기판가열기가 웨이퍼 온도를 약 450℃로 급히 증가시키는 제2 부분단계와 그리고 같은 온도 및 압력하에서 25초동안 전력을 9kw로 증가시키는 제3 부분단계로 구성되어서 제2 챔버에서 수행된다. 상기 제1 부분단계 및 제2 부분단계에서 증착된 층두께는 약 2600Å 이다. 그리고, 제3 부분단계에서 추가된 층은 5000Å 두께이다. 제2 증착단계중 제3 부분단계에서 사용된 온도 및 전력은 제2층에 증착된 재료를 유동시키기에 충분하여서 구멍 및 홈은 채워지고 결과적으로 편평한 외부면이 생성된다. 부가적으로, 제1층의 알루미늄은 성장하여서 제2층에 증착된 알루미늄과 결합되도록 의도된다. 그러나, 본 발명은 두 재료를 같은 재료로 한정되는 것은 아니다. 특히, 제1층의 재료는 티타늄 혹은 적절한 재료로 구성될 수 있다. 이와 유사하게, 제2층의 재료는 알루미늄과 다른 재료가 될 수 있고, 제2 단계중 적어도 한 부분단계에 사용되는 공정온도 및 전력은 거의 제2 재료의 유동온도 및 전력 매개변수이다.
본 발명은 어떤 바람직한 실시예를 참조로 하여 특별히 도시되고 기재될 수 있지만, 본 발명은 본 분야의 당업자에게 있어서 다양한 형태의 변형 및 수정이 가능하다. 따라서, 첨부된 청구범위는 본 발명의 사상에서 벗어나지 않은 범위내에서 모든 변형 및 수정을 포함한다.

Claims (21)

  1. 기판상에 재료를 증착시키기 위한 공정방법에 있어서, 기판상에 제1 재료로 형성되어 있는 조준된(collimated) 제1층을 증착시키는 단계; 상기 제1층 위에 제2 재료로 형성된 제2층을 증착시키는 단계; 및 상기 제2 재료가 상기 제1층 상에서 유동되도록(flow) 상기 제2 재료를 처리하고, 이에 의해 평탄화된 표면을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 상기 제1층은 상대적으로 낮은 온도에서 증착되며 상기 제2층은 상기 제2 재료의 유동온도에서 증착되는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 상기 제1 재료는 상기 제2 재료와 같은 것을 특징으로 하는 방법.
  4. 제3항에 있어서, 상기 제1 재료 및 제2 재료는 알루미늄인 것을 특징으로 하는 방법.
  5. 제4항에 있어서, 상기 제1층은 상대적으로 낮은 온도에서 증착되며 상기 제2층은 거의 알루미늄의 유동온도에서 증착되는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 조준된 제1층은, 상기 제1 재료를 스퍼터링 하는 단계; 상기 스퍼터링된 제1 재료를 조준판을 통과시키는 단계; 및 상기 조준판을 통과하는 상기 스퍼터링된 재료를 상기 기판상에 증착 시키는 단계로 형성되는 것을 특징으로 하는 방법.
  7. 제6항에 있어서, 상기 조준판은 약 1.5의 종횡비를 갖는 것을 특징으로 하는 방법.
  8. 기판상에 재료를 증착시키기 위한 방법에 있어서, 타켓으로부터 제1 재료를 스퍼터링 하는 단계, 및 상기 기판상에 상기 스퍼터링된 제1 재료가 증착되기 전에 상기 스퍼터링된 상기 제1 재료가 조준판을 통과하는 단계를 포함하여 상기 기판상에 상기 제1 재료로 형성되어 있는 조준된 제1층을 증착시키는 단계; 제2 재료를 상기 제1층상에 증착시키기 위하여 상기 제2 재료를 타켓으로부터 스퍼터링 함으로써 상기 기판의 상기 제1층상에 상기 제2 재료로 형성된 제2층을 증착시키는 단계; 및 상기 제2 재료가 상기 제1층 상에서 유동되도록(flow) 상기 제2 재료를 처리하고, 이에 의해 평탄화된 표면을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  9. 제8항에 있어서, 상기 제1층은 상대적으로 낮은 온도에서 증착되며 상기 제2층은 상기 제2 재료의 유동온도에서 증착되는 것을 특징으로 하는 방법.
  10. 제8항에 있어서, 상기 제1 재료는 상기 제2 재료와 같은 것을 특징으로 하는 방법.
  11. 제10항에 있어서, 상기 제1 재료 및 상기 제2 재료는 알루미늄인 것을 특징으로 하는 방법.
  12. 제11항에 있어서, 상기 제1층은 상대적으로 낮은 온도에서 증착되며 상기 제2층은 알루미늄의 유동온도에서 증착되는 것을 특징으로 하는 방법.
  13. 제1항에 있어서, 상기 조준판은 약 1.5의 종횡비를 갖는 것을 특징으로 하는 방법.
  14. 집적회로 웨이퍼의 기판상에 재료를 증착시키는 방법에 있어서, 상기 웨이퍼를 공정처리챔버내에서 하나의 지지구조체에 고정시키는 단계; 스퍼터링 타켓을 상기 공정처리챔버내에서 하나의지지구조채에 고정시키는 단계; 조준판을 상기 타켓과 상기 웨이퍼 사이에 설치되도록 상기 공정처리 챔버내에서 하나의 지지구조체에 고정시키는 단계; 플라즈마가 근접한 상기 타켓표면을 때려서, 상기 타켓을 구성하는 재료가 상기 타켓표면에서 스퍼터링되고, 이에 의해서 상기 스퍼터링된 재료의 일부는 상기 조준판을 통과하여 상기 웨이퍼상의 상기 제1 재료로 형성되어 있는 조준된 제1 증착층을 형성하는 단계; 제2층 공정처리챔버내에서 상기 제1층이 증착되어 있는 상기 웨어퍼를 하나의 지지체에 고정시키는 단계; 상기 제2층 공정처리챔버 내에서 제2 재료의 제2 타켓을 하나의 지지구조체에 고정 시키는 단계; 약 상기 제2 타켓 재료를 유동시키는 온도까지 상기 웨이퍼를 가열하는 단계; 및 상기 제2층 공정처리챔버내에서 플라즈마가 근접하는 상기 제2 타켓의 표면을 때려서 타켓 표면으로부터 제2 재료가 스퍼터링되고, 이에 의해 상기 스퍼터링된 제2 타켓 재료가 상기 웨이퍼의 상기 제1층 상에 평탄화된 제2층을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제14항에 있어서, 상기 제1 타켓 재료는 상기 제2 재료와 같은 것을 특징으로 하는 방법.
  16. 제15항에 있어서, 상기 제1 재료 및 상기 제2 재료는 알루미늄인 것을 특징으로 하는 방법.
  17. 제16항에 있어서, 상기 제1층은 상대적으로 낮은 온도에서 증착되며, 상기 제2층은 거의 알루미늄의 유동온도에서 증착되는 것을 특징으로 하는 방법.
  18. 제14항에 있어서, 상기 조준판은 약 1.5의 종횡비를 갖는 것을 특징으로 하는 방법.
  19. 제14항에 있어서, 상기 제1 증착층 및 상기 제2 증착층은 같은 공정처리챔버에서 증착되는 것을 특징으로 하는 방법.
  20. 제19항에 있어서, 같은 하나의 타켓이 상기 제1 증착층 및 상기 제2 증착층을 형성하는 데 이용되는 것을 특징으로 하는 방법.
  21. 제14항에 있어서, 상기 제1 증착층은 제1 증착처리챔버에서 수행되고 상기 제2 증착층은 제2 증착처리챔버에서 수행되는 것을 특징으로 하는 방법.
KR1019920015035A 1991-08-23 1992-08-21 집적회로 제조시 재료를 증착시키는 개선된 방법 KR100276779B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7/749096 1991-08-23
US07/749096 1991-08-23
US07/749,096 US5171412A (en) 1991-08-23 1991-08-23 Material deposition method for integrated circuit manufacturing

Publications (2)

Publication Number Publication Date
KR930005110A KR930005110A (ko) 1993-03-23
KR100276779B1 true KR100276779B1 (ko) 2001-01-15

Family

ID=25012238

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920015035A KR100276779B1 (ko) 1991-08-23 1992-08-21 집적회로 제조시 재료를 증착시키는 개선된 방법

Country Status (5)

Country Link
US (1) US5171412A (ko)
EP (1) EP0529321B1 (ko)
JP (1) JP2895324B2 (ko)
KR (1) KR100276779B1 (ko)
DE (1) DE69231757T2 (ko)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6521106B1 (en) * 1990-01-29 2003-02-18 Novellus Systems, Inc. Collimated deposition apparatus
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US6033534A (en) * 1992-05-20 2000-03-07 Siemens Aktiengesellschaft Method for producing an Al-containing layer with a planar surface on a substrate having hole structures with a high aspect ratio in the surface
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
JPH06124948A (ja) * 1992-08-31 1994-05-06 Sony Corp 配線形成方法
US5384281A (en) * 1992-12-29 1995-01-24 International Business Machines Corporation Non-conformal and oxidizable etch stops for submicron features
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5358616A (en) * 1993-02-17 1994-10-25 Ward Michael G Filling of vias and contacts employing an aluminum-germanium alloy
US5367285A (en) * 1993-02-26 1994-11-22 Lake Shore Cryotronics, Inc. Metal oxy-nitride resistance films and methods of making the same
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
KR960011865B1 (ko) * 1993-06-10 1996-09-03 삼성전자 주식회사 반도체 장치의 금속층 형성방법
TW239900B (ko) * 1993-06-17 1995-02-01 Materials Research Corp
JP2928057B2 (ja) * 1993-07-01 1999-07-28 日本電気株式会社 半導体装置の製造方法
JP3382031B2 (ja) * 1993-11-16 2003-03-04 株式会社東芝 半導体装置の製造方法
US5958193A (en) * 1994-02-01 1999-09-28 Vlsi Technology, Inc. Sputter deposition with mobile collimator
US5484640A (en) * 1994-02-16 1996-01-16 Eldim, Inc. Honeycomb structure having stiffening ribs and method and apparatus for making same
US5711858A (en) * 1994-04-12 1998-01-27 International Business Machines Corporation Process for depositing a conductive thin film upon an integrated circuit substrate
KR0144956B1 (ko) * 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
EP0692551A1 (en) 1994-07-15 1996-01-17 Applied Materials, Inc. Sputtering apparatus and methods
EP0915501B1 (en) * 1994-08-05 2003-02-26 International Business Machines Corporation Method of forming a damascene structure with WGe polishing stop
KR100336554B1 (ko) * 1994-11-23 2002-11-23 주식회사 하이닉스반도체 반도체소자의배선층형성방법
US5580823A (en) * 1994-12-15 1996-12-03 Motorola, Inc. Process for fabricating a collimated metal layer and contact structure in a semiconductor device
KR0161116B1 (ko) * 1995-01-06 1999-02-01 문정환 반도체 장치의 금속층 형성방법
US6030511A (en) * 1995-02-03 2000-02-29 Nec Corporation Collimated sputtering method and system used therefor
DE69604987T2 (de) * 1995-02-16 2000-05-04 Koninkl Philips Electronics Nv Vorrichtung mit einem schalter mit einer chrombeschichtung und verfahren zum aufbringen von chromschichten durch sputtern
US5874201A (en) * 1995-06-05 1999-02-23 International Business Machines Corporation Dual damascene process having tapered vias
US5885425A (en) * 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
TW298674B (ko) * 1995-07-07 1997-02-21 At & T Corp
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JPH09115866A (ja) * 1995-10-17 1997-05-02 Mitsubishi Electric Corp 半導体装置の製造方法
KR970052186A (ko) * 1995-12-04 1997-07-29 김주용 반도체 소자 제조 방법
US5658438A (en) * 1995-12-19 1997-08-19 Micron Technology, Inc. Sputter deposition method for improved bottom and side wall coverage of high aspect ratio features
US5985103A (en) * 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
US5807467A (en) 1996-01-22 1998-09-15 Micron Technology, Inc. In situ preclean in a PVD chamber with a biased substrate configuration
US5989633A (en) * 1996-04-29 1999-11-23 Applied Materials, Inc. Process for overcoming CVD aluminum selectivity loss with warm PVD aluminum
US5994241A (en) * 1996-07-31 1999-11-30 International Business Machines Corporation Method of forming conductive lines on a semiconductor wafer
GB9619461D0 (en) * 1996-09-18 1996-10-30 Electrotech Ltd Method of processing a workpiece
KR100206938B1 (ko) * 1996-09-19 1999-07-01 구본준 반도체 제조장치 및 이를 이용한 반도체 소자의 배선 형성방법
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6436246B1 (en) 1997-01-27 2002-08-20 Micron Technology, Inc. Collimated sputter deposition monitor using sheet resistance
US6451179B1 (en) 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5911113A (en) * 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
WO1998047178A2 (en) * 1997-04-11 1998-10-22 Novellus Systems, Inc. Method and apparatus for thin film aluminum planarization
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6176983B1 (en) * 1997-09-03 2001-01-23 Vlsi Technology, Inc. Methods of forming a semiconductor device
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6169030B1 (en) * 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
US5994213A (en) * 1998-02-09 1999-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Aluminum plug process
US6077404A (en) 1998-02-17 2000-06-20 Applied Material, Inc. Reflow chamber and process
US6048791A (en) * 1998-03-31 2000-04-11 Kabushiki Kaisha Toshiba Semiconductor device with electrode formed of conductive layer consisting of polysilicon layer and metal-silicide layer and its manufacturing method
US6177350B1 (en) 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6210541B1 (en) 1998-04-28 2001-04-03 International Business Machines Corporation Process and apparatus for cold copper deposition to enhance copper plating fill
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6409904B1 (en) * 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US7425250B2 (en) 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US7204917B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Workpiece surface influencing device designs for electrochemical mechanical processing and method of using the same
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6312568B2 (en) 1999-12-07 2001-11-06 Applied Materials, Inc. Two-step AIN-PVD for improved film properties
US6630059B1 (en) * 2000-01-14 2003-10-07 Nutool, Inc. Workpeice proximity plating apparatus
KR100652289B1 (ko) * 2000-02-19 2006-11-29 주식회사 휴비스 폴리에스테르 상압 가염사의 제조장치, 이를 이용한제조방법 및 이로부터 제조된 폴리에스테르 상압 가염사
US20090020437A1 (en) * 2000-02-23 2009-01-22 Basol Bulent M Method and system for controlled material removal by electrochemical polishing
US6582579B1 (en) 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US6478936B1 (en) * 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US7754061B2 (en) 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
JP3902000B2 (ja) * 2001-12-12 2007-04-04 株式会社ルネサステクノロジ 半導体装置の製造方法、成膜時間の決定方法
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
US6899796B2 (en) * 2003-01-10 2005-05-31 Applied Materials, Inc. Partially filling copper seed layer
US6884329B2 (en) * 2003-01-10 2005-04-26 Applied Materials, Inc. Diffusion enhanced ion plating for copper fill
US7648622B2 (en) 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US20090065365A1 (en) * 2007-09-11 2009-03-12 Asm Nutool, Inc. Method and apparatus for copper electroplating
DE102010017438A1 (de) * 2010-06-17 2011-12-22 Paul Hettich Gmbh & Co. Kg Bauteil, insbesondere für einen Beschlag, ein Möbel und/oder ein Haushaltsgerät, Verfahren zur Herstellung eines Bauteils, Beschlag, Möbel und/oder Haushaltsgerät
JP2012248613A (ja) * 2011-05-26 2012-12-13 Elpida Memory Inc 半導体装置の製造方法
US8860135B2 (en) * 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
DE102012211314A1 (de) * 2012-06-29 2014-02-20 Siemens Aktiengesellschaft Verfahren zum Herstellen eines polykristallinen Keramikfilms
CN110629171B (zh) * 2019-09-25 2022-02-01 福建阿石创新材料股份有限公司 一种试验机中使用的平面小规格溅射靶材的绑定方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4970176A (en) * 1989-09-29 1990-11-13 Motorola, Inc. Multiple step metallization process
EP0440377A2 (en) * 1990-01-29 1991-08-07 Varian Associates, Inc. Collimated deposition apparatus and method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5785970A (en) * 1980-11-18 1982-05-28 Fujitsu Ltd Formation of thin film
JPS6067658A (ja) * 1983-09-21 1985-04-18 Konishiroku Photo Ind Co Ltd 薄膜形成方法
JPS6067659A (ja) * 1983-09-21 1985-04-18 Konishiroku Photo Ind Co Ltd 薄膜形成方法
JPS6126532A (ja) * 1984-07-13 1986-02-05 Sumitomo Electric Ind Ltd 光フアイバ−用母材の製造方法
JPS6175514A (ja) * 1984-09-21 1986-04-17 Hitachi Ltd 処理装置
KR900001825B1 (ko) * 1984-11-14 1990-03-24 가부시끼가이샤 히다찌세이사꾸쇼 성막 지향성을 고려한 스퍼터링장치
EP0202572B1 (en) * 1985-05-13 1993-12-15 Nippon Telegraph And Telephone Corporation Method for forming a planarized aluminium thin film
JPS63103065A (ja) * 1986-10-20 1988-05-07 Tokyo Electron Ltd スパツタリングによる成膜方法
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
JPH0660391B2 (ja) * 1987-06-11 1994-08-10 日電アネルバ株式会社 スパッタリング装置
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
JP2681466B2 (ja) * 1987-10-05 1997-11-26 日本真空技術株式会社 半導体装置の製造方法
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
FR2634317A1 (fr) * 1988-07-12 1990-01-19 Philips Nv Procede pour fabriquer un dispositif semiconducteur ayant au moins un niveau de prise de contact a travers des ouvertures de contact de petites dimensions
US4994162A (en) * 1989-09-29 1991-02-19 Materials Research Corporation Planarization method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4970176A (en) * 1989-09-29 1990-11-13 Motorola, Inc. Multiple step metallization process
EP0440377A2 (en) * 1990-01-29 1991-08-07 Varian Associates, Inc. Collimated deposition apparatus and method

Also Published As

Publication number Publication date
US5171412A (en) 1992-12-15
KR930005110A (ko) 1993-03-23
JPH05239637A (ja) 1993-09-17
DE69231757D1 (de) 2001-05-03
EP0529321A1 (en) 1993-03-03
DE69231757T2 (de) 2001-11-15
EP0529321B1 (en) 2001-03-28
JP2895324B2 (ja) 1999-05-24

Similar Documents

Publication Publication Date Title
KR100276779B1 (ko) 집적회로 제조시 재료를 증착시키는 개선된 방법
US6449525B1 (en) Computer system to control multiple step ionized metal plasma deposition process for conformal step coverage
US4619037A (en) Method of manufacturing a semiconductor device
KR960002059B1 (ko) 반도체 장치의 제조방법
EP0954015B1 (en) High throughput Al-Cu thin film sputtering process on small contact via
KR960026261A (ko) 재 도입형 콘택 홀을 피복시키거나 또는 충진시키기 위한 방법 및 장치
EP0297521A1 (en) High temperature heating sputtering process
KR100243785B1 (ko) 스텝된 반도체 웨이퍼 위에 알루미늄층을 형성시키기위한 다단계 스퍼터링 방법
JP2765673B2 (ja) メタライゼーション層及びその形成方法
US3401055A (en) Vapor depositing solder
US4104418A (en) Glass layer fabrication
US5200030A (en) Method for manufacturing a planarized metal layer for semiconductor device
JPH0522377B2 (ko)
US6303994B1 (en) Method and apparatus for reducing the first wafer effect
JP3149887B2 (ja) スパッタ成膜方法及びスパッタ成膜装置
JP3935642B2 (ja) プラズマ処理装置及び方法
JPH07113172A (ja) 薄膜加工用コリメーター、薄膜加工装置、薄膜加工方法並びに半導体装置の配線形成方法
JPH0338042A (ja) 半導体装置の製造方法
KR100258983B1 (ko) 반도체장치의 박막 형성방법
JP2681466B2 (ja) 半導体装置の製造方法
KR20010017469A (ko) 금속막의 리플로우 장치
JPS5970774A (ja) 蒸着装置
JP2586292B2 (ja) 半導体装置の製造方法
JPH093641A (ja) バツキングプレート及びその製造方法
JPH029446B2 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20030930

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee