KR100231255B1 - 처리장치의 진공배기 시스템 - Google Patents

처리장치의 진공배기 시스템 Download PDF

Info

Publication number
KR100231255B1
KR100231255B1 KR1019950019197A KR19950019197A KR100231255B1 KR 100231255 B1 KR100231255 B1 KR 100231255B1 KR 1019950019197 A KR1019950019197 A KR 1019950019197A KR 19950019197 A KR19950019197 A KR 19950019197A KR 100231255 B1 KR100231255 B1 KR 100231255B1
Authority
KR
South Korea
Prior art keywords
valve
pipe
pump
exhaust
auxiliary
Prior art date
Application number
KR1019950019197A
Other languages
English (en)
Other versions
KR960005825A (ko
Inventor
쥰이치 아라미
마사유키 기타무라
미쓰아키 고미노
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼 가이샤 filed Critical 이노우에 아키라
Publication of KR960005825A publication Critical patent/KR960005825A/ko
Application granted granted Critical
Publication of KR100231255B1 publication Critical patent/KR100231255B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Compressors, Vaccum Pumps And Other Relevant Systems (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

처리실에 근접하여 접속된 광역 진공배기능력 및 큰 배기속도를 가지는 주펌프와, 이 주펌프의 배기측에 작은 지름의 보조배관을 통해서 접속된 작은 배기속도를 가지는 보조펌프를 구비하고 있다. 이와 같이 처리실에 근접하여 광역 진공배기능력 및 대배기속도를 가지는 주펌프를 접속하였으므로, 배기특성의 향상을 도모할 수 있음과 동시에, 주펌프 이후의 보조배관의 소경화 및 보조펌프의 소형화를 도모할 수 있다. 또한, 그와 같이 주펌프의 배기측에 작은 지름의 보조배관을 통해서 소배기속도를 가지는 보조펌프를 접속하였으므로, 시스템 전체의 소형화 및 코스트 다운을 도모할 수 있다.

Description

처리장치의 진공배기 시스템
제1도는, 본 발명의 처리장치의 진공배기 시스템(초기단계 배기용 배관을 가짐)을 낱장식 CVD장치에 적용한 제1실시예의 구성도.
제2도는, 제1도에 나타낸 낱장식 CVD장치의 종단면도.
제3도는, 본 발명의 처리장치의 진공배기 시스템(초기단계 배기용 배관을 가지고 있지 않음)의 제2실시예의 구성도.
제4도는, 진공배기 시스템의 한 예를 나타낸 구성도.
* 도면의 주요부분에 대한 부호의 설명
1 : 처리장치(낱장식 CVD장치) 2 : 처리실
3 : 측벽 4 : 지지체
5 : 둘레바닥판부 6 : 중앙바닥판부
7 : 냉각통로 8 : 얹어놓는 대
9 : 가열부 11 : 공간부
12 : 진공배기 시스템 13 : 뚜껑체
14 : 처리가스 공급부 15 : 중공부
16 : 분출구멍 17 : 처리가스 공급판
18 : 유량조정장치(MFC) 19 : 개폐밸브
20 : 처리가스 공급원 21 : 게이트 밸브
22 : 로드록실 23 : 옮겨싣기 아암
24 : 옮겨싣기 장치
25 : 모레큘러 드래그 펌프(MDP) 26 : 보조배관
27 : 드라이 펌프(DP) 28 : 제1밸브
29, 41 : 주배관 30 : 제2밸브
31, 45 : 압력제어밸브 32 : 처리용 배관
33 : 제3밸브 34, 47 : 초기단계 배기용 배관
35 : 오리피스 36 : 제4밸브
37 : 제1압력센서 38 : 제2압력센서
39 : 제3압력센서
42 : 미케니컬 부스터 펌프(MBP) 44 : 주밸브
46 : 보조밸브 48 : 터보분자펌프(TPM)
49, 50 : 터보분자펌프의 전후밸브 51 : 진공도달용 배관
60 : 복합밸브
본 발명은, 처리장치의 진공배기 시스템에 관한 것이다.
반도체 디바이스의 제조공정에서는, 피처리체인 반도체 웨이퍼에 소정의 온도, 소정의 처리가스 및 소정의 감압분위기하에서 막형성처리를 하는 감압 CVD장치 등의 각종의 처리장치가 이용되고 있다. 이와 같은 처리장치에 있어서는, 반도체 웨이퍼를 수용하여 소정의 처리를 하기 위한 처리실을 구비하고, 이 처리실에는 내부를 소정의 감압분위기로 하기 위한 진공배기 시스템이 설치되어 있다.
제4도는, 종래의 처리장치에 설치되어 있는 진공배기 시스템의 한 예를 나타낸다. 이 진공배기 시스템은, 처리장치(1)의 처리실(2)에 주배관(41)을 통해서 미케니컬 부스터 펌프(MBP)(42) 및 압력제어밸브(45)가 설치되어 있다. 주배관(41)에는, 보조밸브(46)를 가지는 작은 지름의 초기단계 배기용 배관(47)이 주밸브(44)의 전후를 연이어 통하도록 주배관(41)과 병렬로 접속됨과 동시에, 터보분자 펌프(TM P)(48)및 그 전후의 밸브(49,50)를 가지는 진공도달용 배관(51)이 주밸브(44)의 앞 및 압력제어밸브(45)의 뒤를 연이어 통하도록 병렬로 접속되어 있다.
처리용 및 터보 분자 펌프(TMP)(48)의 백업용으로서의 미케니컬 부스터 펌프(MPD)(42)는, 크린룸내에 설치된 처리실(2)로부터 멀리 떨어진 유틸리티 박스등의 유틸리티 영역내에 배치되어 있다. 제4도 중, 37은 처리실(2)내의 압력을 검지하는 압력센서, 17은 처리실(2)내에 처리가스를 공급하는 개폐밸브(19)를 가지는 처리가스 공급관으로 도시하지 않은 처리가스원에 접속되어 있다.
이와 같이 구성된 종래의 처리장치(1)의 진공배기 시스템에 있어서는, 먼저 모든 밸브(19,44,45,46,49,50)을 잠근 상태에서 드라이 펌프(DP)(43)를 기동하고, 진공도달용 배관(51)의 뒷밸브(50), 초기단계 배기용 배관(47)의 보조밸브(46) 및 압력제어밸브(45)를 열어서 처리실(2)내를 급속한 압력변화로 응축이나 먼지의 비산이 발생하지 않도록 하여 소배기유량으로 초기단계 배기한다. 이 초기단계 배기에 의해서 처리실(2)내의 압력이 예를 들면 10 Torr에 달하였으면, 미케니컬 부스터 펌프(MBP)(42)를 기동하고, 보조밸브(46)를 잠그고, 주밸브(44)를 열어서 처리실(2)내를 더 감압한다. 이에 의해서, 처리실(2)내의 압력이 예를 들면 1Torr에 달하였으면, 터보분자 펌프(TMP)(48)를 기동하고, 주밸브(44)를 잠그고, 진공도달용 배관(51)의 앞밸브(49)를 열어서 처리실(2)내를 도달진공도 예를 들면 10-6Torr정도까지 감압하고, 처리실(2)의 내벽 등에 흡착한 수분 등의 흡착분자를 거기로부터 이탈시킨다. 그 후, 진공도달용 배관(51)의 전후밸브(49,50)을 잠그고 터보분자펌프(TMP)(48)를 정지하고, 주밸브(44) 및 처리가스 공급관(17)의 개폐밸브(19)를 열고, 압력제어 밸브(45)에 의해서 처리실(2)내의 압력을 소정의 처리압력(0.1~50Torr)으로 유지하면서 처리를 실행한다.
그런데, 상기한 종래의 진공배기 시스템에 있어서는, 초기, 중간 및 진공도달의 3단계 배기를 위해 3개의 펌프가 사용되므로 펌프의 설치수가 증가하게 되며, 또한, 처리실(2)로부터 미케니컬 부스터 펌프(42)까지의 거리가 멀기 때문에 주배관(41) 길이가 길어지게 되고(예를 들면, 12~15㎝), 길이가 긴 배관계의 콘덕턴스를 크게 하기 위해서는 주배관(41)의 배관지름을 크게 함과 동시에, 필연적으로 배기속도(배기량)가 큰 펌프가 필요하게 된다.
따라서 진공배기 시스템 전체의 대형화 및 코스트업을 초래하였다.
그래서, 본 발명의 목적은 펌프의 설치수를 줄임과 동시에 배기용량을 작게하고, 배관의 길이를 단축시킴과 동시에 배관지름을 작게하여 시스템전체의 소형화 및 코스트다운을 도모할 수 있는 처리장치의 진공배기 시스템을 제공하는데 있다.
상기한 목적을 달성하기 위해서 본 발명의 처리장치의 진공배기 시스템은, 처리실에 근접하여 접속된 광역 진공배기능력 및 큰 배기속도를 가지는 주펌프와, 이 주펌프의 배기측에 작은 지름의 보조배관을 통해서 접속된 작은 배기속도를 가지는 보조펌프를 구비한 것을 특징으로 한다.
또한, 본 발명의 처리장치의 진공배기 시스템은, 상기 주 펌프가 모레큘러 드래그 펌프이고, 상기 보조펌프가 드라이 펌프인 것을 특징으로 한다.
또한, 본 발명의 처리장치의 진공배기 시스템은, 처리실에 제1밸브를 가지는 짧은 주배관을 통해서 근접하여 접속된 모레큘러 드래그 펌프와, 이 모레큘러 드래그 펌프의 배기측에 상기 주배관보다도 작은 지름의 보조배관을 통해서 접속된 드라이 펌프와, 상기 주배관의 제1밸브의 전후를 연이어 통하도록 주배관과 병렬로 접속되고, 제2밸브 및 압력제어밸브를 가지는 처리용 배관과, 상기 주배관의 제1밸브 앞과 상기 보조배관을 연이어 통하도록 병렬로 접속되고, 제3밸브를 가지는 작은 지름의 초기단계 배기용 배관을 구비한 것을 특징으로 한다.
본 발명의 처리장치의 진공배기 시스템에 의하면, 처리실에 근접하여 광역 진공배기능력 및 큰 배기속도를 가지는 주펌프를 접속하였으므로, 배기특성의 향상을 도모할 수 있음과 동시에, 주펌프이후의 보조배관의 작은 직경화및 보조펌프의 소형화를 도모할 수 있다. 또한, 그와 같이 주 펌프의 배기측에 작은 지름의 보조배관을 통해서 적은 배기속도를 가지는 보조펌프를 접속하였으므로, 시스템전체의 소형화 및 코스트다운을 도모할 수 있다.
또한, 본 발명의 처리장치의 진공배기 시스템에 의하면, 상기 주펌프로서 모레큘러 드래그 펌프를 이용하고, 상기 보조펌프로서 드라이 펌프를 이용하였으므로, 시판하는 펌프를 이용하여 진공배기 시스템을 용이하게 구성하는 것이 가능하게 된다. 또한, 종래의 시스템과 비교하여, 보조펌프의 소용량화 및 보조배관의 소경화가 가능하게 됨으로써, 제조비 및 운전비의 경감을 도모할 수 있다.
또한, 본 발명의 처리장치의 진공배기 시스템에 의하면, 시스템전체의 소형화 및 코스트다운을 도모할 수 있는 것 외에, 초기단계 배기용 배관을 통한 저진공의 초기단계 배기, 처리용 배관을 통해서 중진공의 처리배기 및 주배관을 통한 고진공의 도달배기의 3가지 배기가 가능하게 되며, 간단한 구성으로 넓은 영역의 진공배기를 실행하는 것이 가능하게 된다.
[제1실시예]
이하로, 본 발명의 제1실시예를 첨부도면에 의거하여 상술한다. 제1도에 있어서, 1은 처리장치로서의 낱장식 CVD장치이다. 이 낱장식 CVD장치는, 피처리체인 반도체 웨이퍼(W)를 1매씩 그 안에 수용하여 소정의 처리를 하기 위한 처리실(2)을 구비하고 있다. 이 처리실(2)은, 내식성 금속, 예를 들면 스테인레스강, 알루미늄 등에 의해서 형성되어 있다. 제2도에 나타낸 바와 같이, 거의 원통형상의 측벽(3)을 가지고 있다. 이 측벽(3)의 내측에는 거의 원통형상의 지지체(4)가 이것과 동심원형상에 배치되고, 이 지지체(4)의 아래끝단부와 상기 측벽(3)의 아래끝단부와의 사이에 고리형상의 둘레바닥판부(5)가 설치되어 있다. 또한, 지지체(4)의 윗측에는 중앙바닥판부(6)가 설치되고, 이 중앙바닥판부(6)의 내부에는 이것을 냉각하기 위한 냉각매체, 예를 들면 냉각수를 순환시키기 위한 냉각통로(7)가 형성되어 있다.
또한, 중앙바닥판부(6)의 상부는 반도체 웨이퍼(W)를 수평으로 얹어놓기 위한 얹어놓는 대(8)로 형성되고, 이 얹어놓는 대(8)에는 반도체 웨이퍼(W)를 가열하기 위해서 가열부(히터)(9)가 설치되어 있다. 가열부(9)는, 저항발열재, 예를 들면 캔탈선 등을 면형상으로 배열 설치하여 이루며, 반도체 웨이퍼를 소정의 처리온도, 예를 들면 700~100℃정도로 면내에 균일하게 가열할 수 있도록 구성되어 있다. 또한, 얹어놓는 대(8)에는 후술하는 옮겨싣는 장치(24)에 의해서 반도체 웨이퍼(W)의 옮겨싣기를 행할 때에 반도체 웨이퍼(W)를 얹어놓는 대(8) 위로부터 부상시키기 위한 승강기구가 설치되어 있다(도시하지 않음). 처리실(2)의 측벽(3)과 지지체(4)와의 사이에는 고리형상의 공간부(11)가 형성되어 있고, 처리실(2)의 측벽(3)에는 고리형상 공간부(11)와 연이어 통하여 처리실(2)내를 소정의 감압분위기, 예를 들면 100~10-6Torr정도로 진공흡인하기 위한 진공배기 시스템(12)이 접속되어 있다.
또한, 처리실(2)의 윗끝단부에는 측벽(3)의 상부 개구끝단을 기체밀폐적으로 폐쇄하는 뚜껑체(13)가 설치되어 있다. 이 뚜껑체(13)에는 가열부(8)와 대향하여 처리가스, 예를 들면 실란계 가스(SiH4)를 처리실(2)내로 공급하기 위한 처리가스 공급부(14)가 설치되어 있다. 뚜껑체(13)는, 내부에 중공부(15)를 가지도록 형성됨과 동시에 그 아래면에 중공부(15)와 연이어 통하는 여러개의 처리가스 분출구멍(16)이 형성되어, 소위 샤워 헤드구조로 되어 있다. 이에 의해서, 처리가스 공급부(14)의 처리가스 분출구멍(16)으로부터 처리실(2) 내에 처리가스가 균일한 분포를 유지하고 샤워형상으로 공급되도록 구성되어 있다. 또한, 뚜껑체(13)에는 중공부(15)와 연이어 통하는 처리가스 공급관(17)이 접속되고, 이 처리 가스 공급관(17)에는, 유량조정장치(MFC)(18) 및 개폐밸브(19)를 통해서 처리가스 공급원(20)이 접속되어 있다.
처리실(2)의 바깥쪽에는, 처리실(2)의 측벽(3)에 설치된 게이트 밸브(21)를 통해서 기체밀폐적으로 구성된 로드록실(22)이 설치되어 있다. 이 로드록실(22)도 처리실(2)과 마찬가지로 진공배기 시스템에 의해서 소정의 감압분위기로 제어되도록 구성되어 있다. 또한, 로드록실(22)의 내부에는, 게이트 밸브(도시하지 않음)을 통해서 인접해 있는 카세트 수납실(도시하지 않음)내의 카세트와 처리실(2)내의 얹어놓는 대(8)와의 사이에서 반도체 웨이퍼(W)의 옮겨싣기를 행하는 옮겨싣기 아암 (23)을 구비한 옮겨싣기 장치(24)가 설치되어 있다.
진공배기 시스템(12)은, 감압수단으로서, 제1도에 나타낸 바와 같이, 처리실(2)에 근접하여 접속된 광역 진공배기능력 및 큰 배기속도를 가지는 주펌프로서의 모레큘러 드래그 펌프(MDP)(25)와, 이 모레큘러 드래그 펌프(MDP)(25)의 배기측에 작은 지름의 보조배관(26)을 통해서 접속된 작은 배기속도를 가지는 보조펌프로서의 드라이 펌프(27)(DP)를 구비하고 있다. 모레큘러 드래그 펌프(MDP)(25)는, 그 표면에 나사홈(헤리칼홈)이 잘려진 특수로터를 하우징내에서 회전시켜서 진공흡인을 행하는 구조로 되어 있다(예를 들면 Alcatel 사의 것). 그리고, 회전날개로 분자를 털어내는 터보분자펌프와 달리, 처리가스 성분물질의 부착퇴적이 적고, 배기트랩을 요하지 않고 처리실(2)에 바로 부착하는 것이 가능함과 동시에, 광역 진공배기능력(예를 들면 100~10-5Torr정도) 및 큰 배기속도(예를 들면 6000 리터/분 정도)를 가진다.
그리고, 모레큘러 드래그 펌프(MDP)(25)를 처리실(2)에 근접하여 접속함으로써, 안정되게 배기특성이 얻어짐과 동시에, 모레큘러 드래그 펌프(MDP)(25)이후의 보조배관(26)의 소경화 및 보조펌프인 드라이 펌프(DP)(27)의 소용량화가 도모되어 있다. 모레큘러 드래그 펌프(MDP)(25)는 제1밸브(28)를 가지는 짧은 소정구경 예를 들면 100㎜정도의 주배관(29)을 통해서 처리실(2)에 근접하여 접속된다. 또한, 이 모레큘러 드레그 펌프(MDP)(25)의 배기측에 소경, 예를 들면 40㎜정도의 보조배관(26)을 통해서 작은 배기유속, 예를 들면 700리터/분 정도의 드라이 펌프(DP)(27)가 접속되어 있다.
드라이 펌프(DP)(27)는, 하우징내에서 서로 맞물리는 한 쌍의 회전자의 회전에 의해서 진공흡인을 행하는 구조로, 통상의 기름펌프와 달리, 반도체 웨이퍼의 오염원이 되는 윤활유의 역류가 없기 때문에 처리장치의 보조 펌프로서 적절하다. 이 드라이 펌프(DP)(27)는 크린룸내에 설치된 처리실(2)로부터 떨어진 유틸리티 박스내에 배치되어 있기 때문에, 보조배관(26)은 길이가 긴 예를 들면 10~12m정도의 것이 사용되고 있다. 드라이 펌프(DP)(27)는, 후술하는 바와 같이, 도달배기 내지 처리배기를 행하는 주펌프인 모레큘러 드래그 펌프(MDP)(25)의 임계배압(臨界背壓) , 예를 들면 30Torr정도를 확보하는 백 펌프로서의 기능을 가지는 것 외에, 그 자신이 초기단계 배기를 하는 펌프로서의 기능을 함께 가진다. 드라이 펌프(DP)(27)의 배기측은 배기가스 처리장치를 통해서 공장배기계에 접속되어 있다(도시하지 않음). 특히, 종래의 터보분자펌프의 임계배압(1Torr)에 비교해서, 모레큘러 드래그 펌프(MDP)(25)에 의하면 임계배압 30Torr정도까지 확보 할 수 있다. 또한, 종래 이 주(主)모레큘러 드래그 펌프(MDP)(25)를 막형성에 이용한 예는 없다.
한편, 주배관(29)에는 제2밸브(30) 및 압력제어밸브(31)를 가지는 소정구경, 예를 들면 25㎜정도의 처리용 배관(32)이 제1밸브(28)의 전후를 연이어 통하도록 주배관(29)이 병렬로 접속되어 있다. 주배관(29) 및 보조배관(26)에는 제3밸브(33)를 가지는 작은 지름, 예를 들면 10㎜ 정도의 초기단계 배기용 배관(34)이 주배관 (29)의 제1밸브(28) 앞과 보조배관(26)을 연이어 통하도록 주배관(29)에 병렬로 접속되어 있다. 초기단계 배기용 배관(34)에는 드라이 펌프(DP)의 구동에 의한 초기단계 배기시의 배기속도를 더 억제하기 위한 오리피스(35)가 설치되어 있다. 따라서, 초기단계 배기용 배관(초기단게 배기라인)(34)을 통해서 처리실(2)내를 낮은 배기속도로 천천히 낮은 저진공, 예를 들면 20Torr정도까지 진공배기하는 초기단계배기와, 처리용 배관(프로세스 라인)(32)을 통해서 처리실(2)내를 중간 진공의 처리압력, 에를 들면 1~50Torr정도로 진공배기하는 처리배기(프로세스배기)와, 주배관(이니셜 라인)(29)을 통해서 처리실(2)내를 높은 진공의 도달진공, 예를 들면 10-6Torr정도까지 진공흡인하는 도달배기의 3가지의 진공배기가 가능하도록 본 발명의 진공배기 시스템은 구성되어 있다.
또한, 보조배관(26)에서의 초기단계 배기용 배관(34)의 접속부보다도 상류에는 제4밸브(36)가 설치되어 있다. 제1밸브~제4밸브(28,30,33,36)는, 개폐밸브로 이루어져 있다. 또한, 처리실(2)에는 제1압력센서(37)가 주배관(29)의 제1밸브(28)보다도 하류에는 제2압력센서(38)가, 보조배관(26)의 제4밸브(36)보다도 하류에는 제3압력센서(39)가 각각 설치되어 있다. 처리가스 공급관(17)의 개폐밸브(19), 제1밸브~제4밸브(28,30,33,36), 모레큘러 드래그 펌프(MDP)(25) 및 드라이 펌프(DP) (27)등은, 제1압력센서~제3압력센서(28,30,33)의 검출치를 피드백하여 사전에 제어장치(40)에 입력된 소정의 프러그래밍에 따라서 제어되도록 구성되어 있다.
다음으로, 본 발명의 진공배기시스템의 제1실시예의 작용을 설명한다. 먼저 처리를 개시할 때에, 먼저 게이트 밸브를 포함하는 모든 밸브(19,28,30,31,33,36)를 잠근 상태에서, 드라이 펌프(DP)(27)를 기동한다. 그리고, 보조배관(26)의 제3압력센서(39)가 관내의 설정압력을 검지함으로써, 보조배관(26)의 제4밸브(36)를 열고, 이어서, 주배관(29)의 제2압력센서(38)가 관내의 설정압력을 검지함으로써, 초기단계 배기용 배관(34)의 제3밸브(33)를 연다. 이에 의해서, 처리실(2)내가 초기단계용 배관(34)을 통해서 드라이 펌프(DP)(27)에 의하여 초기단계 배기된다. 이 초기단계 배기는, 처리실(2)내의 압력이 소정의 압력, 예를 들면, 20Torr가 될 때까지 행하여진다.
그리고, 처리실(2)의 제1압력센서(37)가 처리실(2)내의 압력 20Torr에 달한 것을 검지하면, 모레큘러 드래그 펌프(MDP)(25)가 기동되고, 주배관(29)의 제1밸브(28)를 열음과 동시에, 초기단계 배기용 배관(34)의 제3밸브(33)를 잠그고, 처리실(2)내가 주배관(29)을 통해서 주배기(도달배기)된다. 이 주배기(도달배기)는, 처리실(2)내의 압력이 도달진공도, 예를 들면 10-6Torr이 될 때까지 행하여진다. 그리고, 처리실(2)의 제1압력센서(37)가 10-6Torr를 검지한 시점에서, 도달배기 (이니셜라이즈)가 완료된다.
이 도달배기가 완료되었으면, 사전에 웨이퍼 카세트 수납실내의 카세트로부터 제2도에 나타낸 로드록실(22)내에 옮겨싣기 장치(24)의 옮겨싣기 아암(23)에 의해서 반입되어 있는 처리전의 반도체 웨이퍼(W)를, 로드록실(22)내의 압력이 처리실(2)내의 압력과 같은 정도로 감압된 시점에서 개방되는 게이트 밸브(21)를 통해서 처리실(2)내의 얹어놓는대(8) 위에 옮겨 싣는다. 그리고 그 후, 게이트 밸브 (21)를 잠그고, 처리공정으로 이행한다. 이 경우, 제1도에 나타낸 처리용 배관(32)의 제2밸브(30) 및 압력제어밸브(31)를 열음과 동시에, 주배관(29)의 제11밸브(28)를 잠그고, 처리가스 공급관(17)의 개폐밸브(19)를 열어서 처리를 개시한다.
처리실(2)내의 압력이 압력제어밸브(31)에 의해서 소정의 압력으로 유지된 상태에서, 소정의 처리를 소정시간 실행한다. 처리가 완료되었으면, 처리가스공급관(17)의 개폐밸브(19) 및 처리용 배관(32)의 제2밸부(30) 및 압력제어밸브(31)를 잠그고, 주배관(29)의 제1밸브(28)를 열어서 처리실(2)내의 도달배기를 행하고, 처리후의 반도체 웨이퍼(W)를 처리실(2)로부터 로드록실(22)을 통해서 카세트 수납실내의 카세트에 옮겨 싣는다. 또한, 그 카세트로부터 다음의 반도체 웨이퍼(W)를 로드 록실(22)을 통해서 처리실(2)내의 얹어놓는 대(8) 위에 옮겨 싣고, 상술한 순서로, 반도체 웨이퍼를 1매씩 처리실(2)내에서 순차적으로 처리해 간다.
이와 같이 해서 구성된 낱장식 CVD장치(1)의 진공배기 시스템(12)에 의하면, 처리실(2)에 근접하여 광역진공능력 및 큰 배기속도를 가지는 주펌프인 모레큘러 드래그 펌프(MDP)(25)를 접속하였으므로, 배기특성의 향상을 도모할 수 있음과 동시에, 종래기술에 비하여 모레큘러 드래그 펌프(MDP)(25)이후의 보조배관(26)의 지름을 작게 할 수 있고, 이 때문에 상기 보조배관(26)에 접속되는 보조펌프인 드라이 펌프(DP)(27)의 용량을 소형화할 수 있으므로 진공배기 시스템 전체의 소형화 및 코스트다운을 도모할 수 있다.
또한, 본 제1실시예에서는, 주펌프로서 모레큘러 드래그 펌프(MDP)(25)를 이용하고, 보조펌프로서 드라이 펌프(DP)(27)를 이용하였으므로, 시판의 펌프를 이용하여 시스템을 용이하게 구성할 수 있다. 또한, 종래의 시스템과 비교하여, 보조펌프의 소용량화 및 보조배관(26)의 소경화를 도모할 수 있고, 제조비 및 운전비의 경감을 도모할 수 있다.
[제2실시예]
제3도는 본 발명의 진공배기 시스템의 제2실시에를 나타낸 구성도이다. 본 제2실시예의 진공배기 시스템(12)은, 처리실(2)에, 제1밸브(28)를 가지는 짧은 주배관(29)을 통해서 근접하여 접속된 모레큘러 드래그 펌프(MDP)(25)와, 이 모레큘러 드래그 펌프(MDP)(25)의 배기측에 주배관(29)보다도 작은 지름의 보조배관(26)을 통해서 접속된 드라이 펌프(DP)(27)와, 주배관(29)의 제1밸브(28)의 전후를 연이어 통하도록 주배관(29)과 병렬로 접속되고, 제2밸브로서의 그 내부에 초기단계 배기용 밸기를 가지는 복합 밸브(60) 및 압력제어밸브(31)를 가지는 처리용 배관(32)에 의해서 주요부가 구성되어 있다. 그 외의 부분은, 상기한 제1실시예와 같으므로 동일 참조부호를 붙이고 그들의 설명을 생략한다.
본 제2실시예의 진공배기 시스템(12)은, 상기한 제1실시예의 그것과 달리, 초기단계 배기용 배관(34)을 구비하지 않고, 그 대신에 처리용 배관(32)으리 이용한 초기단계 배기를 가능하게 하기 위해서 처리배관(32)에 복합밸브(60)가 설치되어 있다.
본 제2실시예의 진공배기 시스템(12)에 있어서는, 먼저 게이트 밸브(21)(제2도 참조)를 포함하는 모든 밸브(28,39,60)를 잠근 상태에서, 드라이 펌프(27)를 기동하고, 제4밸브(36) 및 복합밸브(60)의 초기단계 배기용 밸브를 열림작동시킴으로써 처리용 배관(32)을 통해서 처리실(2)내의 초기단계 배기를 한다. 이 초기단계 배기가 종료되었으면, 모레큘러 드래그 펌프(MDP)(25)를 기동하고, 복합밸브(60)의 초기단계 배기용 밸브를 잠금과 동시에 주배관(29)의 제1밸브(28)를 열음으로써 주배관(29)을 통해서 처리실(2)내의 도달배기를 행한다. 이 도달배기가 종료되었으면, 주배관(29)의 제1밸브를 잠금과 동시에 처리용배관(32)의 복합밸브(6)를 열림동작시키고, 처리용 배관(32)의 압력제어밸브(32)를 통해서 처리실(2)내를 소정의 압력으로 제어하면서 처리를 행한다.
그 때문에, 본 제2실시예의 진공배기 시스템(12)에 의하면 처리용 배관(32)에 복합밸브(60)를 설치함으로써 처리용 배관(32)을 통한 초기단계 배기가 가능하게 되므로, 초기단계 배기용 배관(32)(제1도 참조)가 불필요하게 되고, 이 불필요한 분만큼 더욱 더 시스템전체의 소형화 및 코스트다운을 도모할 수 있다. 또한, 본 제2실시예의 진공배기 시스템(12)에 있어서는, 제4밸브(36) 및 압력센서(39)는 반드시 필요로 하지 않는다.
또한, 본 발명은, 상기한 실시예에 한정되지 않고, 본 발명의 요지의 범위내에서 각종 변형실시가 가능하다. 예를 들면, 상기한 실시예를 가열부(9)(제2도 참조)는, 저항발열재를 동심원형상 등으로 배열 설치함으로써, 반도체 웨이퍼(W)를 면내 균일한 온도로 가열할 뿐만 아니라, 예를 들면 반도체 웨이퍼(W)의 면을 따라서 적절한 온도구배를 동심원형상으로 발생시키는 등의 임의의 온도제어가 가능하도록 구성하여도 좋다. 또한, 본 발명이 적용되는 처리장치로서는, 낱장식 CVD장치 이외에, 예를 들면 배치식 CVD장치, 산화장치, 확산장치, 에칭장치, 애싱장치 등이 적용가능하다. 또한, 피처리체로서는, 반도체 웨이퍼(W)이외에, 예를 들면 LCD기판 등이 적용가능하다.
이상 요컨대 본 발명의 진공배기 시스템에 의하면, 다음과 같은 우수한 효과가 얻어진다.
(1) 본 발명의 처리장치의 진공배기 시스템에 의하면, 처리실에 근접하여 광역 진공배기능력 및 큰 배기속도를 가지는 주 펌프를 접속하였으므로, 배기특성의 향상을 도모할 수 있음과 동시에, 주펌프이후의 보조배관의 소경화 및 보조펌프의 소형화를 도모할 수 있다. 또한, 그와 같이 주펌프 배기측에 작은 지름의 보조배관을 통해서 작은 배기속도를 가지는 보조펌프를 접속함으로써, 시스템 전체의 소형화 및 코스트다운을 도모할 수 있다.
(2) 또한, 본 발명의 처리장치의 진공배기 시스템에 의하면, 주펌프로서 모레큘러 드래그 펌프를 이용하고, 보조펌프로서 드라이 펌프를 이용하였으므로, 시판하는 펌프를 이용하여 시스템을 용이하게 구성할 수 있고, 종래의 시스템과 비교하여, 펌프 설치수의 감소, 보조펌프의 소용량화 및 보조배관의 소경화가 가능해짐으로써, 제조비 및 운전비의 경감을 도모할 수 있다.
(3) 또한, 본 발명의 처리장치의 진공배기 시스템에 의하면, 시스템 전체의 소형화 및 코스트다운을 도모할 수 있는 것 외에, 초기단계 배기용 배관을 통한 저진공의 초기단계 배기, 처리용 배관을 통한 중간진공의 처리배기 및 주배관을 통한 높은 진공의 도달배기의 3가지의 배기가 가능하며, 간단한 구성을 넓은 영역의 진공배기를 실행할 수 있다.

Claims (5)

  1. 처리실에 제1밸브를 가지는 짧은 주배관을 통해서 근접하여 접속되는 모레큘러 드래그 펌프와, 그 모레큘러 드래그 펌프의 배기측에 상기 주배관보다도 작은 지름으로 제4밸브를 가지는 보조배관을 통해서 접속되는 드라이 펌프와, 상기 주배관의 상기 제1밸브의 상류 및 하류측을 연이어 통하도록 상기 주배관과 병렬로 접속되고, 또한 제2밸브 및 압력제어밸브를 가지는 처리용 배관과, 상기 주배관의 상기 제1밸브의 상류측과 상기 보조배관을 연이어 통하도록 상기 주배관과 병렬로 접속되고, 또한, 제3밸브를 가지는 상기 주배관보다도 작은 지름의 초기단계 배기용 배관을 구비한 처리장치의 진공배기 시스템.
  2. 제1항에 있어서, 상기 처리실에 제1압력센서를, 상기 주배관의 상기 제1밸브보다도 하류측에 제2압력센서를, 상기 보조배관의 상기 제4밸브의 하류측에 제3압력센서를 구비한 진공배기 시스템.
  3. 제1항에 있어서, 상기 처리실내에 피처리체의 가열부를 설치하고, 그 가열부가 동심원형상으로 배열 설치한 저항발열재로 이루어짐과 동시에, 피처리체의 표면에 동심원형상의 적절한 온도구배를 발생시키도록 온도제어가 가능하게 되어 있는 진공배기 시스템.
  4. 처리실에 제1밸브를 가지는 짧은 주배관을 통해서 근접하여 접속되는 모레큘러 드래그 펌프와, 그 모레큘러 드래그 펌프의 배기측에 상기 주배관보다도 작은 지름으로 제4밸브를 가지는 보조배관을 통해서 접속되는 드라이 펌프와, 상기 주배관의 상기 제1밸브의 상류 및 하류측을 연이어 통하도록 상기 주배관과 병렬로 접속되고, 또한, 제2밸브 및 압력제어밸브를 가지는 처리용 배관을 구비한 처리장치의 진공배기 시스템.
  5. 제4항에 있어서, 상기 처리실에 제1압력센서를, 상기 주배관의 상기 제1밸브보다도 하류측에 제2압력센서를, 상기 보조배관의 상기 제4밸브의 하류측에 제3압력센서를 구비한 진공배기 시스템.
KR1019950019197A 1994-07-01 1995-07-01 처리장치의 진공배기 시스템 KR100231255B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP17342794 1994-07-01
JP94-173427 1994-07-01
JP94-284391 1994-10-24
JP28439194A JP3501524B2 (ja) 1994-07-01 1994-10-24 処理装置の真空排気システム

Publications (2)

Publication Number Publication Date
KR960005825A KR960005825A (ko) 1996-02-23
KR100231255B1 true KR100231255B1 (ko) 1999-11-15

Family

ID=26495404

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950019197A KR100231255B1 (ko) 1994-07-01 1995-07-01 처리장치의 진공배기 시스템

Country Status (4)

Country Link
US (1) US5575853A (ko)
JP (1) JP3501524B2 (ko)
KR (1) KR100231255B1 (ko)
TW (1) TW344086B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100495022B1 (ko) * 2001-08-31 2005-06-14 가부시끼가이샤 도시바 진공 배기 시스템 및 그 감시 및 제어 방법
KR100604106B1 (ko) * 1998-11-27 2006-07-25 씨케이디 가부시키 가이샤 진공 압력 제어 시스템
KR101330856B1 (ko) * 2011-05-25 2013-11-18 주식회사 케이씨텍 배기부의 역류를 방지하는 원자층 증착장치
KR20170044684A (ko) * 2014-09-30 2017-04-25 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6318093B2 (en) 1988-09-13 2001-11-20 Helix Technology Corporation Electronically controlled cryopump
US6022195A (en) * 1988-09-13 2000-02-08 Helix Technology Corporation Electronically controlled vacuum pump with control module
US6902378B2 (en) 1993-07-16 2005-06-07 Helix Technology Corporation Electronically controlled vacuum pump
KR100407412B1 (ko) * 1995-02-10 2004-03-24 동경 엘렉트론 주식회사 열처리방법및그장치
US6217937B1 (en) 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
US7077159B1 (en) 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6174376B1 (en) * 1999-06-01 2001-01-16 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus for evacuating a process chamber
SG97943A1 (en) * 1999-10-04 2003-08-20 Ebara Corp Vacuum exhaust system
JP3543949B2 (ja) * 1999-11-09 2004-07-21 東京エレクトロン株式会社 熱処理装置
FR2808098B1 (fr) * 2000-04-20 2002-07-19 Cit Alcatel Procede et dispositif de conditionnement de l'atmosphere dans une chambre de procedes
US6544869B1 (en) * 2000-06-23 2003-04-08 Matsushita Electric Industrial Co., Ltd. Method and apparatus for depositing semiconductor film and method for fabricating semiconductor device
JP3872952B2 (ja) * 2000-10-27 2007-01-24 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
KR100876318B1 (ko) * 2001-09-06 2008-12-31 가부시키가이샤 아루박 진공배기장치 및 진공배기장치의 운전방법
KR100434493B1 (ko) * 2001-10-05 2004-06-05 삼성전자주식회사 원자층 증착 장치 및 그 구동 방법
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US6739840B2 (en) * 2002-05-22 2004-05-25 Applied Materials Inc Speed control of variable speed pump
KR100541814B1 (ko) * 2003-09-15 2006-01-11 삼성전자주식회사 화학기상증착장치
US7437944B2 (en) * 2003-12-04 2008-10-21 Applied Materials, Inc. Method and apparatus for pressure and mix ratio control
US20080241587A1 (en) * 2004-03-29 2008-10-02 Tadahiro Ohmi Film-Forming Apparatus And Film-Forming Method
GB0418771D0 (en) * 2004-08-20 2004-09-22 Boc Group Plc Evacuation of a load lock enclosure
KR20060063188A (ko) * 2004-12-07 2006-06-12 삼성전자주식회사 화학기상증착장치 및 그를 이용한 화학기상증착방법
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
JP4943047B2 (ja) 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
JP2007324478A (ja) * 2006-06-02 2007-12-13 Hitachi Kokusai Electric Inc 基板処理装置
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2008144766A (ja) * 2008-02-04 2008-06-26 Tadahiro Omi 真空装置
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
WO2010008021A1 (ja) * 2008-07-15 2010-01-21 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
JP5015280B2 (ja) * 2010-02-26 2012-08-29 Tdk株式会社 基板収納ポッドおよびその蓋部材並びに基板の処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US10428807B2 (en) * 2011-12-09 2019-10-01 Applied Materials, Inc. Pump power consumption enhancement
JP5464235B2 (ja) * 2012-06-06 2014-04-09 Tdk株式会社 基板収納ポッドおよびその蓋部材並びに基板の処理装置
KR101995358B1 (ko) * 2012-06-28 2019-07-02 스털링 인더스트리 컨설트 게엠베하 챔버를 배기시키기 위한 방법 및 펌프 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9575494B2 (en) * 2013-11-14 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for processing wafer
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
KR101594932B1 (ko) * 2014-04-01 2016-02-18 피에스케이 주식회사 기판 처리 장치 및 방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
DE102015106279A1 (de) * 2015-04-23 2016-10-27 Mobilplan Industrie- Und Umwelttechnik Ventileinrichtung und Verfahren zum Betrieb der Ventileinrichtung
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6727871B2 (ja) * 2016-03-18 2020-07-22 東京エレクトロン株式会社 排気システム及びこれを用いた基板処理装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
GB2579360A (en) * 2018-11-28 2020-06-24 Edwards Ltd Multiple chamber vacuum exhaust system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7362048B2 (ja) * 2019-07-31 2023-10-17 大学共同利用機関法人 高エネルギー加速器研究機構 真空排気方法及び装置
US11742188B2 (en) * 2019-08-15 2023-08-29 Tokyo Electron Limited Substrate processing method, pressure control apparatus and substrate processing system
CN110408913B (zh) * 2019-08-26 2021-09-10 湖南红太阳光电科技有限公司 管式pecvd设备的压力控制装置
JP2022061344A (ja) 2020-10-06 2022-04-18 エドワーズ株式会社 真空排気システム
WO2024069767A1 (ja) * 2022-09-27 2024-04-04 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60170234A (ja) * 1984-02-15 1985-09-03 Semiconductor Energy Lab Co Ltd 気相反応装置および気相反応被膜作製方法
JP2717236B2 (ja) * 1991-06-14 1998-02-18 株式会社 半導体エネルギー研究所 気相反応被膜作製方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100604106B1 (ko) * 1998-11-27 2006-07-25 씨케이디 가부시키 가이샤 진공 압력 제어 시스템
KR100495022B1 (ko) * 2001-08-31 2005-06-14 가부시끼가이샤 도시바 진공 배기 시스템 및 그 감시 및 제어 방법
KR101330856B1 (ko) * 2011-05-25 2013-11-18 주식회사 케이씨텍 배기부의 역류를 방지하는 원자층 증착장치
KR20170044684A (ko) * 2014-09-30 2017-04-25 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Also Published As

Publication number Publication date
TW344086B (en) 1998-11-01
US5575853A (en) 1996-11-19
JP3501524B2 (ja) 2004-03-02
KR960005825A (ko) 1996-02-23
JPH0874737A (ja) 1996-03-19

Similar Documents

Publication Publication Date Title
KR100231255B1 (ko) 처리장치의 진공배기 시스템
US5611863A (en) Semiconductor processing apparatus and cleaning method thereof
KR100696020B1 (ko) 통합 펌핑 시스템을 갖는 기판 처리장치 및 방법
KR101073571B1 (ko) 기판 처리 장치
JP3667202B2 (ja) 基板処理装置
KR100384907B1 (ko) 진공 장치
JPH11195648A (ja) 熱処理装置
KR20110138190A (ko) 처리 장치 및 성막 방법
KR20150044816A (ko) 기판 처리 방법 및 기판 처리 장치
JPH05154334A (ja) 半導体製造装置の排気ポンプシステム
JP6793031B2 (ja) 基板処理装置および基板処理方法、ならびに基板処理システム
US20180312967A1 (en) Substrate processing apparatus, method of removing particles in injector, and substrate processing method
JP4717495B2 (ja) 基板処理システム
WO2005004219A1 (ja) 減圧処理装置及び減圧処理方法並びに圧力調整バルブ
JP3930297B2 (ja) ターボ分子ポンプ
WO2012008439A1 (ja) 基板処理方法及び基板処理システム
US6606802B2 (en) Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
WO2004044969A1 (ja) 弁装置および熱処理装置
JP7341200B2 (ja) システム、処理装置、半導体装置の製造方法、及びプログラム
JP2657254B2 (ja) 処理装置及びその排気方法
JP2008227163A (ja) 基板処理装置
JP3595508B2 (ja) 半導体製造装置
JPH07240382A (ja) 真空排気システム直結型真空処理装置
KR100223909B1 (ko) 반도체소자 제조용 저압 화학기상증착 공정의 증착막 들뜸 방지용 챔버 가열장치
JP2919801B2 (ja) 膜の形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20050824

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee