KR100193373B1 - 플라스마 처리방법 및 플라스마 에칭방법 - Google Patents

플라스마 처리방법 및 플라스마 에칭방법 Download PDF

Info

Publication number
KR100193373B1
KR100193373B1 KR1019950046881A KR19950046881A KR100193373B1 KR 100193373 B1 KR100193373 B1 KR 100193373B1 KR 1019950046881 A KR1019950046881 A KR 1019950046881A KR 19950046881 A KR19950046881 A KR 19950046881A KR 100193373 B1 KR100193373 B1 KR 100193373B1
Authority
KR
South Korea
Prior art keywords
etching
plasma
high frequency
ratio
power
Prior art date
Application number
KR1019950046881A
Other languages
English (en)
Other versions
KR960026333A (ko
Inventor
히로시 쓰치야
요시오 후카사와
슈지 모치즈키
유키오 나이도
고스케 이마후카
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP06330240A external-priority patent/JP3113786B2/ja
Priority claimed from JP33023994A external-priority patent/JP3150044B2/ja
Priority claimed from JP33128394A external-priority patent/JPH08162444A/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR960026333A publication Critical patent/KR960026333A/ko
Application granted granted Critical
Publication of KR100193373B1 publication Critical patent/KR100193373B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 저압처리조건하에서 플라스마 밀도를 확실히 제어함과 동시에 피처리체에 대한 손상을 억제하는 것이 가능한 플라스마 처리방법을 제공하고, 또 100m Torr 이하의 저압처리조건하에서 고에칭비, 고선택비 또 고면내균일성으로 초미세가공을 실현하는 것이 가능한 플라스마 에칭방법을 제공하는 것을 목적으로 하는 것으로, 플라스마 에칭장치(1)는 감압상태로 설정가능한 처리용기를 구비하고, 처리용기내에는 반도체 웨이퍼(W)가 재치되는 하측전극(4)과, 하측전극(4)에 대향하는 상측전극(21)이 배설되고, 하측전극(4) 및 상측전극(21)에는 각각 RF전원(18, 29)이 접속되고, 위상 및 전력비가 개별제어된 제1 및 제2고주파전력이 인가가능하게 되어 있으며, 에칭비, 에칭비의 면내균일성, 및 에칭선택비 등의 에칭특성을 소정치로 하기 위해 제1 및 제2고주파전력의 주파수, 전력치 및 상대적인 위상을 포함하는 파라미터의 값이 선택되고, 에칭중 제1 및 제2고주파전력은 개별의 검출기(19, 30)로 모니터되고, 제어수단을 통해 초기설정값으로 유지된다.

Description

플라스마 처리방법 및 플라스마 에칭방법
제1도는 본 발명의 실시예에 관한 플라스마 에칭장치를 도시하는 개략단면도.
제2도는 제1도에 도시하는 장치와 RIE형의 장치에 있어서 플라스마 밀도와 압력과의 관계를 도시하는 그래프.
제3도는 제1도에 도시하는 장치와 PE형의 장치에 있어서 웨이퍼 상의 위치와 치수변환차와의 관계를 도시하는 그래프.
제4도는 상하전극의 RF 전력의 위상차가 180°인 경우의 플라스마전류와 프로브위치와의 관계를 나타내는 그래프.
제5도는 상하전극의 RF 전력의 위상차가 0°인 경우의 플라스마 전류와 프로브위치와의 관계를 나타내는 그래프.
제6도는 RIE형의 장치의 플라스마전류와 프로브위치와의 관계를 나타내는 그래프.
제7도는 상하전극의 RF전력의 위상차비와 폴리실리콘의 에칭비 및 그 면내균일성의 관계를 나타내는 그래프.
제8도는 상하전극의 RF전력의 위상차비와 에칭선택비와의 관계를 나타내는 그래프.
제9도는 상하전극의 RF전력의 전력비와 폴리실리콘의 에칭비 및 그 면내균일성과의 관계를 나타내는 그래프.
제10도는 상하전극의 RF전력의 전력비와 에칭선택비의 관계를 나타내는 그래프.
제11도는 상하전극의 RF전력의 전력비와 폴리실리콘의 에칭비 및 그 면내균일성의 관계를 나타내는 그래프.
제12도는 상하전극의 RF전력의 전력비와 에칭선택비의 관계를 도시하는 그래프.
제13도는 Cl2/HBr와 유량을 50/0sccm으로 했을 때의 상하전극의 RF전력의 위상차비와 폴리실리콘 및 SiO2의 에칭비와의 관계를 도시하는 그래프.
제14도는 Cl2/HBr의 유량을 25/25sccm으로 했을 때의 상하전극의 RF전력의 위상차비와 폴리실리콘 및 SiO2의 에칭비와의 관계를 도시하는 그래프.
제15도는 Cl2/HBr의 유량을 0/50sccm으로 했을 때의 상하전극의 RF전력의 위상차비와 폴리실리콘 및 SiO2의 에칭비와의 관계를 도시하는 그래프.
제16도는 포커스 링을 확대하여 도시하는 단면도.
제17도는 포커스 링의 재질 및 외경과 폴리실리콘의 에칭비와의 관계를 도시하는 그래프.
제18도는 포커스 링의 재질 및 외경과 폴리실리콘의 에칭비의 면내균일성과의 관계를 도시하는 그래프.
제19도는 포커스 링의 재질 및 외경과 SiO2의 에칭비와의 관계를 도시하는 그래프.
제20도는 포커스 링의 재질 및 외경과 SiO2의 에칭비의 면내균일성과의 관계를 도시하는 그래프.
제21도는 포커스 링의 재질 및 외경과 포토레지스트의 에칭비와의 관계를 도시하는 그래프.
제22도는 포커스 링의 재질 및 외경과 포토레지스트의 에칭비와의 면내균일성과의 관계를 도시하는 그래프.
제23도는 상하전극간의 간격과 폴리실리콘의 에칭비 및 그 면내균일성과의 관계를 도시하는 그래프.
제24도는 상하전극간의 간격과 SiO2의 에칭비 및 그 면내균일성과의 관계를 도시하는 그래프.
제25도는 상하전극간의 간격과 포토레지스트의 에칭비 및 그 면내균일성과의 관계를 도시하는 그래프.
제26도는 상하전극간의 간격과 에칭선택비의 관계를 도시하는 그래프.
제27도 내지 제29도는 웨이퍼의 로딩 및 언로딩과 재치대의 동작을 도시하는 도면.
제30도는 플라스마 생성의 개시시에 있어서 상하전극의 RF전력을 인가하는 형태를 도시하는 타이밍챠트.
제31도는 플라스마 생성의 종료시에 있어서 상하전극의 RF전력을 컷하는 형태를 도시하는 타이밍챠트.
제32도는 제30도에 도시하는 타이밍챠트의 변경예를 도시하는 챠트.
제33도는 제31도에 도시하는 타이밍챠트의 변경예를 도시하는 챠트.
* 도면의 주요부분에 대한 부호의 설명
1 : 플라스마 에칭장치 4 : 재치대(하측전극)
14 : 포커스링 18, 29 : RF전원
19, 30 : 제어기 21 : 상측전극
W : 반도체 웨이퍼
본 발명은 반도체 프로세스에 있어서 플라스마 처리방법 및 플라스마 에칭방법에 관한 것이다.
반도체 프로세스에 있어서 플라스마 에칭장치가 사용된다. 플라스마 에칭장치에 있어서는 처리용기내에 도입된 반응성 가스가 플라스마화되고 동 플라스마에 의해 피처리체, 예를 들면 반도체 웨이퍼 표면에 미세가공이 실시된다.
플라스마 에칭장치로서 일반적으로 이용되고 있는 것은 RIE형의 에칭장치와 PE형의 에칭장치이다. RIE형의 에칭장치는 피처리체를 재치하는 하측전극에 RF전원을 접속하고 상부전극을 접지한 구조를 이룬다. PE형의 에칭장치는 피처리체를 재치하는 하측전극을 접지하고, 상부전극에 RF전원을 접속한 구조를 이룬다.
반도체 디바이스의 고집적화에 따라 하프미크론, 또 쿼터미크론 단위의 초미세 에칭을 실시하는 것이 필요해지고 있다. 이러한 초미세에칭은 저압처리조건에 설정된 처리용기내에서 실시하는 것이 바람직하다. 그러나 상술한 종래의 플라스마 에칭장치에 있어서는, 예를 들면 100mTorr 이하의 저압처리조건에서는 플라스마입자의 평균자유 행정이 신장됨으로써 방전공간이 넓어지고 플라스마가 불균일하고 또 불안정하게 됨과 동시에 에칭비가 저하한다는 문제가 있다. 따라서, 종래의 플라스마 에칭장치에서는 초미세가공을 실행하는데에 충분한 에칭비, 그 웨이퍼 면내균일성, 에칭선택비가 얻어지지 않는다.
본 발명의 목적은 저압처리조건하에서 플라스마 밀도를 확실히 제어함과 동시에 피처리체에 대한 손상을 억제하는 것이 가능한 플라스마 처리방법을 제공하는 것이다.
본 발명의 다른 목적은 100mTorr 이하의 저압처리조건하에서 고에칭비, 고선택비 또 고면내균일성으로 초미세가공을 실현하는 것이 가능한 플라스마 에칭방법을 제공하는 것이다.
이 목적을 달성하기 위해 본 발명자들은 플라스마 에칭장치의 상하전극 각각에 고주파 전원을 접속하고 그 이점 및 결점에 대하여 실험을 실시했다. 그 결과, 이러한 형식에서는 상하전극의 고주파전력 끼리의 간섭이나 파형의 변형 때문에 플라스마밀도에 변동이 생긴다는 문제가 발견되었다. 또, 100mTorr 이하의 저압처리조건에서는 당연히 플라스마가 불균일하고 또 불안정하게 됨과 동시에 에칭비가 저하하고 또 이온에너지의 증가에 의해 선택비가 저하한다는 문제도 발견되었다.
본 발명에 관한 방법에 의하면 상하전극 각각에 고주파전원을 접속하는 형식의 플라스마 처리장치에 있어서 상기 문제점을 해결할 수 있다.
본 발명의 제1 시점에 의해 제공되는 방법은 기밀용기와 상기 기밀용기내에서 피처리체를 지지하기 위한 제1전극과 상기 기밀용기내에서 상기 제1전극에 대향하는 제2전극과 상기 제1 및 제2전극에 대하여 제1 및 제2고주파전력을 독립적으로 인가하기 위한 제1 및 제2 RF전원을 갖는 플라스마 처리장치에 있어서 상기 피처리체를 처리하는 방식으로서, 상기 제1전극에 상기 피처리체를 지지시키는 공정과, 상기 용기내를 감압상태로 설정하는 공정과, 상기 용기내에 처리가스를 도입하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 개시하고, 상기 처리가스의 플라스마화를 개시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 계속하고, 상기 처리가스의 플라스마화를 계속함과 동시에 상기 플라스마를 이용하여 상기 피처리체에 처리를 실시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 정지하고 상기 처리가스의 플라스마화를 종료하는 공정과, 여기에서 상기 제1 및 2고주파전력은 시간을 벗어나게 하여 인가가 정지되는 것을 구비한다.
본 발명의 제2관점에 의해 제공되는 방법은 기밀용기와 상기 용기내에서 피처리체를 지지하기 위한 제1전극과, 상기 용기내에서 상기 제1전극에 대향하는 제2전극과 상기 제1 및 제2전극에 대하여 제1 및 제2고주파전력을 독립적으로 인가하기 위한 제1 및 제2RF전원을 갖는 플라스마 에칭장치에 있어서 상기 피처리체를 에칭하는 방법이고, 에칭비, 에칭비의 면내균일성 및 에칭선택비로 구성되는 군에서 선택된 적어도 하나의 에칭특성을 소정치로 하기 위해 상기 제1 및 제2고주파전력의 주파수, 전력치 및 상대적인 위상을 포함하는 파라미터의 값을 선택하는 공정과, 상기 파라미터의 선택치에 따라 상기 플라스마 에칭장치를 설정하는 공정과, 상기 제1전극에 상기 피처리체를 지지시키는 공정과, 상기 용기내를 감압상태로 설정하는 공정과, 상기 용기내에 에칭가스를 도입하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 개시하고, 상기 에칭가스의 플라스마화를 개시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 계속하고, 상기 에칭가스의 플라스마화를 계속함과 동시에 상기 플라스마를 이용하여 상기 피처리체에 에칭을 실시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 정지하고 상기 에칭가스의 플라스마화를 종료하는 공정을 구비한다.
제1도에 도시하는 플라스마 에칭장치(1)는 반도체 웨이퍼(W)에 에칭처리를 실시하도록 구성된다. 에칭장치(1)는 도전성재료, 예를 들면 알루미늄 등으로 구성되는 원통 혹은 직사각형으로 성형된 처리용기(2)를 갖는다. 처리용기(2)내에는 모터 등의 승강기구(3)에 의해 승강 자유롭게 구성된 웨이퍼(W)를 재치하기 위한 대략 원통형의 재치대(하측전극)(4)가 수용된다. 재치대(4)는 알루미늄 등으로 형성된 복수의 부재를 볼트 등으로 접속함으로써 조립된다.
재치대(4)를 수직으로 관통하도록 복수의 리프트핀(7)이 배설된다. 리프트핀(7)은 후술하는 로드록실(33)의 반송암(34)과 협동하여 재치대(4)에 대한 웨이퍼(W)의 로딩 및 언로딩을 실행할 때에 사용된다. 리프트핀(7)은 처리용기(2)의 외부에 배설된 구동부(도시하지 않음)에 의해 공지의 형태로 상하로 구동된다.
재치대(4)의 내부에는 냉매순환로(5) 등의 냉열원이 배설되고, 웨이퍼(M)의 피처리면을 원하는 온도로 조정할 수 있다. 순환로(5)에는 온도조절수단(도시하지 않음)에 의해 적당한 온도로 온도조절된 냉매가 도입관(6a)을 통하여 도입된다. 도입된 냉매는 순환로(5)내를 순환하고, 그 사이에 냉열 또는 온열이 재치대(4)를 통하여 웨이퍼(W)에 대하여 전달되고 웨이퍼(W)의 처리면을 원하는 온도로 온도 조절한다. 열교환후의 냉매는 냉매배출관(6b)에서 용기외부로 배출된다. 또, 도시하는 구성 대신 냉각재킷 및 가열용 히터를 재치대(4)내에 배설하고 재치대(4)를 가열 및 냉각함으로써 웨이퍼(W)의 온도조절을 실시하도록 할 수도 있다.
재치대(4)는 상면중앙부가 돌출형으로 된 원주형을 이룬다. 재치대(4)의 중앙 상면에는 웨이퍼(W)를 유지하기 위한 정전척(8)이 배설된다. 정전척(8)은 웨이퍼(W)와 대략 동일직경, 혹은 이것보다도 약간 작은 직경 또는 약간 큰 직경을 갖는다. 정전척(8)은 폴리이미드 수지 등의 고분자절연재료로 구성되는 2매의 필름(8a,8b)과 이 사이에 협지한 동박 등의 도전막(8c)을 구비한다. 도전막(8c)은 전압공급리드(9)에 의해 고주파를 컷하는 필터(10), 예를 들면 코일을 통하여 가변 직류전원(11)에 접속된다. 도전막(8c)에 고전압이 인가되면 정전척(8)의 상측필름(8a)의 상면에 웨이퍼(W)가 쿨롬력에 의해 흡착 보유된다.
정전척(8)대신 승강운동 자유로운 원환형의 클램프부재에 의해 웨이퍼(W)를 기계적으로 보유하는 메카니칼 척을 사용하는 것도 가능하다. 그러나 웨이퍼(W)에 대한 손상을 경감하는 관점에서는 정전척(8)을 이용하는 것이 바람직하다.
정전척(8)에는 복수의 전열가스공급구멍(12)이 동심원상으로 뚫어설치된다. 공급구멍(12)은 가스공급관(13)을 통하여 가스원(도시하지 않음)에 접속된다. 가스원에서 헬륨 등의 전열가스가 웨이퍼(W)의 이면과 정전척(8)의 사이에 형성되는 미소공간에 공급되고 재치대(4)에서 웨이퍼(W)로의 전열효율이 높아진다.
재치대(4)의 주위에는 정전척(8)상의 웨이퍼(W)의 둘레를 둘러쌈과 동시에 웨이퍼(W)의 외측가장자리가 실리도록 환상의 포커스 링(14)이 배설된다. 포커스 링(14)은 반응성 이온을 가까이하지 않는 재료로 구성되고 반응성 이온을 내측의 웨이퍼(W)에만 효과적으로 입사하도록 작용한다.
보다 구체적으로는 포커스 링(14)은 제16도에 도시하는 바와 같이 외경(D), 폭(ML), 두께(H)의 링으로 구성된다. 포커스 링(14)의 상면(14a)은 에칭될 때의 웨이퍼(W)의 피처리면과 가지런하게 한다. 포커스 링(14)의 내측 가장자리에는 스텝(14b)이 형성되고 스텝(14b) 상에 웨이퍼(W)의 외측가장자리가 재치되고 웨이퍼(W)가 위치결정된다. 포커스 링(14)의 재료로서는 예를 들면 석영 등의 절연재, 카본 등의 도전재, 알루미늄 표면에 알루마이트 처리를 실시한 것과 같은 도전재에 절연피복을 실시한 것 등을 사용할 수 있다.
재치대(4)와 처리용기(2)의 내벽과의 사이에는 복수의 배플구멍이 뚫어 설치된 배기 링(15)이 배설된다. 배기 링(15)은 재치대(4)를 둘러쌈과 동시에 그 위에 포커스 링(14)의 외측가장자리가 얹히도록 배치된다. 배기 링(15)은 배기류의 흐름을 정비하고, 처리용기(2)내에서 처리가스 등을 균일하게 배기하도록 작용한다.
재치대(4)에는 중공으로 성형된 도체로 구성되는 급전봉(16)이 접속된다. 급전봉(16)은 블로킹 콘덴서 등으로 구성되는 정합기(17)를 통하여 RF전원(18)에 접속된다. 정합기(17)에는 파라미터의 세트 및 표시를 실시하기 위한 제어기(17a)가 접속된다. 에칭시에는 예를 들면 13.56MHz의 RF전력이 급전봉(16)을 통하여 재치대(4)에 인가된다.
정합기(17)와 재치대(4)의 사이에는 RF전원(18)의 출력을 모니터하기 위한 검출기(19)가 배설된다. 검출기(19)에 의해 검출된 RF전원(18)의 출력에 관한 정보, 예를 들면 위상, 전력 등은 CPU(20)에 피드백된다. 에칭중 CPU(20)는 피드백된 정보에 의거하여 RF전원(18)의 출력치가 CPU(20)에 설정된 초기 설정치에 접근하도록 RF전원(18)을 수시 제어한다.
하측전원인 재치대(4) 상방에 상측전극(21)이 배설된다. 상하전극(21,4)간의 간격은 예를 들면 약 5mm∼150mm로 설정된다. 상측전극(21)과 하측전극(4)의 간격은 승강기구(3)에 의해 하측전극(4)을 상하운동시킴으로써 조정가능하게 된다.
상측전극(21)에는 하측전극(4)과 마찬가지로 블로킹 콘덴서 등으로 구성되는 정합기(28)를 통하여 RF전원(29)이 접속된다. 정합기(28)에는 파라미터의 세트 및 표시를 실행하기 위한 제어기(28a)가 접속된다. 에칭시에는 예를 들면 13.56MHz의 RF전력이 상측전극(21)에 인가된다.
정합기(28)와 상측전극(21)의 사이에는 RF전원(29)의 출력을 모니터하기 위한 검출기(30)가 배설된다. 검출기(30)에 의해 검출된 RF전원(29)의 출력에 관한 정보, 예를 들면 위상, 전력 등은 CPU(20)에 피드백된다. 에칭중, CPU(20)는 피드백된 정보에 의거하여 RF전원(29)의 출력치가 CPU(20)에 설정된 초기설정치에 접근하도록 RF전원(29)을 수시 제어한다.
상측전극(21)은 중공부(21a)를 갖고, 중공부(21a)에 처리가스공급관(22)이 접속된다. 가스공급관(22)은 유량제어기(MFC)(24)를 통하여 처리가스원(23)에 접속된다. 처리가스원(23)에서 소정의 처리가스 예를 들면 적어도 브롬화수소(HBr) 및 염소(Cl2)의 어느 한쪽을 포함하는 에칭가스가 상측전극(21)내에 도입된다.
중공부(21a)의 중간정도에는 처리가스의 균일확산을 촉진하기 위한 다수의 작은 구멍이 뚫어설치된 배플판(25)이 배설된다. 배플판(25)의 하방에는 처리가스를 처리용기(2)내에 도입하기 위한 다수의 소공(26)이 뚫어설치된 가스도입판(27)이 배설된다. 처리용기(2)의 하방에는 진공펌프 등으로 구성되는 배기계에 연통하는 배기구(31)가 배설된다. 동 배기계에 의해 처리실(2) 내는 소정의 압력, 예를 들면 100mTorr 이하의 감압분위기까지 배기하는 것이 가능하다.
처리용기(2)의 한쪽 측면에는 게이트밸브(32)를 통하여 로드록실(33)이 접속된다. 로드록실(33)내에는 반송암(34)을 구비한 반송기구(35)가 배설된다. 도시하는 바와 같이 게이트밸브(32)는 처리용기(2)의 하방에 개구부를 갖고 웨이퍼(W)를 반입 및 반출할 때에는 승강기구(3)에 의해 재치대(4)를 하강시킨다. 이에 대하여 웨이퍼(W)의 에칭시에는 상측전극(21)과 하측전극(4)의 간격이 최적이 될 때까지 승강기구(3)에 의해 재치대(4)를 상승시킨다.
다음에 제1도에 도시하는 플라스마 에칭장치(1)의 작용에 대하여 설명한다.
이하에 설명하는 각 실험에 있어서 표준조건은 하기와 같다. 단, 변경이 있었던 경우, 혹은 실험의 주요부분인 경우는 각 실험마다 명기한다.
웨이퍼치수 : 6인치
에칭가스 : 혼합비 3 : 1의 HBr과 Cl2
에칭가스의 총유량 : 200sccm
처리용기내 압력 : 100mTorr
상측전극의 전력 : 500W, 13.56MHz
하측전극의 전력 : 150W, 13.56MHz
상하전극간의 위상차 : 135°
상하전극간의 간격 : 80mm
포커스 링의 재질 : 알루마이트 피복된 Al
포커스 링의 폭(ML) : 30mm
제2도는 제1도에 도시하는 에칭장치(1) 및 RIE형의 장치를 이용한 경우의 플라스마밀도(PD)와 압력(P)과의 관계를 나타낸다. RIE형의 장치란 웨이퍼를 재치하는 하측전극에만 RF전원을 접속한 구조이다.
제2도에 관한 실험에 있어서 염소가스를 플라스마화했다. 또, 제1도에 도시하는 장치에서는 상측전극(21) 및 하측전극(4)에 대하여 각각 150W, 13.56MHz의 RF전력을 180도 위상을 어긋나게 하여 인가했다. RIE형의 장치에서는 하측전극에 대하여 300W, 13.56MHz의 RF전력을 인가하고 상측전극은 접지했다. 기타의 조건은 제1도에 도시하는 장치(1)와 RIE형의 장치에서 동일하게 했다.
제2도에 도시하는 바와 같이 RIE형의 장치의 경우, 100mTorr(약 13.3Pa) 이하의 저압조건에서는 플라스마 밀도(PD)가 급격히 저하했다. 낮은 플라스마 밀도에서는 플라스마의 균일성 및 에칭비의 점에서 문제가 생기는 것이 예상된다. 이에 대하여 제1도에 도시하는 장치(1)의 경우, 100mTorr(약13.3Pa) 이하의 저압조건에서도 어느 정도의 플라스마밀도를 유지하는 것이 가능하게 된다. 즉, 제1도에 도시하는 장치(1)에 의하면 소정 수준의 플라스마의 균일성 및 에칭비를 유지하면서 초미세가공을 실시하는 것이 가능하게 된다.
제3도는 제1도에 도시하는 에칭장치(1) 및 PE형의 장치를 이용한 경우의 웨이퍼(W)의 각 위치(중앙, 중간점, 에지)에 있어서 치수변환차의 DTE관계를 나타낸다. PE형의 장치란 웨이퍼의 상방에 배치된 상측전극에만 RF전원을 접속한 구조이다. 치수변환차(DTE)란 상측의 마스크재의 선폭과 하측의 피에칭층의 에칭후의 선폭과의 차이다.
제3도에 관한 실험에 있어서, 처리가스로서 HBr과 Cl2를 사용하여 포토레지스트를 마스크재로 하여 폴리실리콘의 에칭을 실시했다. 또, 제1도에 도시하는 장치에서는 처리용기(2)내를 75mTorr의 저압조건으로 설정하고 상측전극(21) 및 하측전극(4)에 대하여 각각 150W, 13.56MHz의 RF전력을 180도 위상을 어긋나게 하여 인가했다. PE형의 장치에서는 처리용기내를 600mTorr의 압력조건으로 설정하고 상측전극에 대하여 250W, 13.56MHz의 RF전력을 인가하여 하측전극을 접지했다. 그밖의 조건은 제1도에 도시하는 장치(1)와 PE형의 장치로 동일하게 했다.
제3도에 도시하는 바와 같이 PE형 장치의 경우, 치수변환치가 크고 또 불균형이 큰데에 대하여 제1도에 도시하는 장치의 경우, 치수변환차가 작고 또 불균형이 작다는 결과가 얻어졌다. 즉, 제1도에 도시하는 장치(1)에 의하면 저압조건하에서도 면내균일성이 우수하고 또 치수변환차가 낮은 미세가공을 실시하는 것이 가능하게 된다.
상술한 바와 같이 제1도에 도시하는 플라스틱 에칭장치에 의하면 종래의 장치에서는 곤란하였던 100mTorr 이하의 저압조건에서도 초미세가공을 실시하는 것이 가능하게 된다.
다음에 제1도에 도시하는 플라스마 에칭장치에 있어서 에칭비, 그 면내균일성 및 에칭선택비를 최적화하기 위한 제어방법에 대하여 설명한다. 본 발명에 의하면 에칭비, 그 면내균일성 및 선택비는 상하전극간의 위상차, 전력비, 간격, 에칭가스의 혼합비, 포커스 링의 유전율 및 치수 등을 선택함으로써 최적화하는 것이 가능하게 된다.
우선, 플라스마 전류(I)에 대한 처리 압력 및 상하전극간의 위상차의 영향에 대하여 서술한다.
제4도 및 제5도는 제1도에 도시하는 장치(1)에 있어서, 상측전극(21)과 하측전극(4)에 인가하는 RF전력의 위상차(상측전극의 위상-하측전극의 위상)를 각각 180° 및 0°로 한 경우, 웨이퍼(W)의 피처리면상의 각 위치에 있어서 플로브에 의해 검출된 플라스마전류I(mA)의 관계를 도시한다. 제6도는 비교예로서 하측전극에만 RF전력을 인가하는 RIE형의 장치에 있어서, 웨이퍼(W)의 피처리면상의 각 위치에 있어서 플로브에 의해 검출된 플라스마전류I(mA)의 관계를 나타낸다. 제4도 내지 제6도에 있어서, 「WAF.C」는 웨이퍼(W)의 중앙, 「WAF.E」는 웨이퍼의 단부, 「F.E는 포커스 링」의 외단부를 도시한다.
제4도 내지 제6도에 관한 실험에 있어서, 염소가스를 플라스마화했다. 또, 제1도에 도시하는 장치에서는 상측전극(21) 및 하측전극(4)에 대하여 각각 150W, 13.56MHz의 RF전력을 인가했다. RIE형의 장치에서는 하측전극에 대하여 150W, 13.56MHz의 RF전력을 인가하고, 상측전극은 접지했다. 그 밖의 조건은 제1도에 도시하는 장치(1)와 RIE형의 장치와 동일하게 했다.
제6도에 도시하는 바와 같이 RIE장치에서는 처리압력에 의해 플라스마전류, 따라서 플라스마 밀도가 크게 변동했다. 특히, 미세가공에 적합한 저압영역 예를 들면 100mTorr 이하에서는 플라스마 전류가 플로브 위치에 따라 크게 변동함과 동시에 압력저하에 따라 밀도가 저하한다. 또, 플라스마가 하측전극(4)의 주변부에도 확산되기 때문에 처리실(2)의 구조(예를 들면 배기 링이나 포커스 링의 구조)의 영향을 받기 쉽고, 플라스마의 불균일을 초래했다.
이에 대하여 제1도에 도시하는 장치(1)에서는 상측전극(21) 및 하측전극(4)에 인가되는 RF전력의 위상차를 적당히 조정함으로써, 같은 압력조건에서도 플라스마 전류의 특성을 최적으로 제어하는 것이 가능하게 되었다. 예를 들면 제5도에 도시하는 위상차가 0°인 경우, 이온포화전류가 작은 값으로 균일하게 되었다. 이에 대하여 제4도에 도시하는 위상차가 180°인 경우, 이온포화전류가 큰 값으로 균일하게 되었다. 이온포화전류가 균일하면 안정된 플라스마가 얻어지고 균일한 에칭을 실행하는 것이 가능하게 된다.
이처럼 제1도에 도시하는 장치(1)에서는 상측전극(21) 및 하측전극(4)에 인가되는 RF전력의 위상을 조정함으로써 RIE형의 장치에서는 플라스마의 불균일을 초래하는 조건에서도 균일한 플라스마를 생성할 수 있다.
다음에 에칭에 대한 상하전극간의 위상차의 영향에 대하여 서술한다.
제7도 및 제8도는 위상차비(PDR)를 변경함으로써 얻어진 에칭특성을 나타낸다. 제7도는 폴리실리콘의 에칭비(ER) 및 동 에칭비의 면내균일성(UF)을 도시한다. 제8도는 실리콘 산화막에 대한 폴리실리콘의 선택비(SR1) 및 포토레지스터(PR)에 대한 폴리실리콘의 선택비(SR2)를 나타낸다.
도면에서 위상차비(PDR)[%]는 {PDR=(PD/180°) × 100:여기서 PD는 위상차}의 식으로 나타낸다. 즉, 위상차 0°∼360°가 위상차비 0%∼200%에 상당한다. 에칭비의 단위는 nm/min이다. 면내균일성(UF)은 에칭비의 평균치에 대한 최대치와 최소치와의 차의 비율(%)이다. 선택비는 2종류 층의 에칭비의 비이다.
제7도 및 제8도에 관한 실험에 있어서 처리가스로서 HBr과 Cl2의 혼합비가 1:1인 가스를 사용하고 폴리실리콘충의 에칭을 실시했다. 처리용기(2)내를 100mTorr의 압력으로 설정하고, 상측전극(21) 및 하측전극(4)에 각각 200W, 13.56MHz의 RF전력을 인가했다.
제7도에 도시하는 바와 같이 위상차비 100%, 즉, 위상차 180°부근에서 가장 높은 에칭비와 또 양호한 면내균일성이 얻어졌다. 제8도에 도시하는 바와 같이 실리콘 산화막에 대한 폴리실리콘의 선택비는 위상차비가 175%, 즉, 위상차 315° 부근에 피크가 존재했다. 또, 포토레지스트(PR)에 대한 폴리실리콘의 선택비는 위상차비가 50% 부근과, 175% 부근의 2개소에 피크가 존재했다. 즉, 최적한 위상차는 처리대상에 의해 크게 다른 것을 알 수 있다. 또, 제7도 및 제8도를 비교하면 선택비는 반드시 최대의 에칭비율이 얻어지는 위상차에 있어서 최대가 되는 것이 아닌 것을 알 수 있다.
다음에 에칭에 대한 상하전극간의 전력비의 영향에 대하여 서술한다.
제9도 내지 제12도는 전력비(EPR)(상측전극전력치(UP)/하측전극전력치(LP))를 변경함으로써 얻어진 에칭특성을 도시한다. 제9도 및 제11도는 실리콘의 에칭비(ER) 및 동 에칭비의 면내균일성(UF)을 도시한다. 제10도 및 제12도는 실리콘 산화막에 대한 폴리실리콘의 선택비(SR1) 및 포토레지스터(PR)에 대한 폴리실리콘의 선택비(SR2)를 도시한다.
제9도 및 제10도에 관한 실험에서는 총전력을 일정치 400W로 하고 또 전력비를 변경했다. 제11도 및 제12도에 관한 실험에서는 상측전극의 전력을 일정치 350W로 하고, 또 하측전극의 전력치를 변경했다. 제9도 내지 제12도에 관한 실험에 있어서, 처리가스로서 HBr과 Cl2의 혼합비가 1:1의 가스를 사용하고 폴리실리콘층의 에칭을 실시했다. 처리용기(2)내를 100mTorr의 압력으로 설정하고 상측전극(21) 및 하측전극(4)에 각각 도시하는 전력치로 13.56MHz의 RF전력을 인가했다.
제9도에 관한 실험에 있어서 에칭비는 하측전극의 비율이 클수록 높은 값을 나타냈다. 에칭비율의 면내균일성은 전력비에 따라 그다지 변화가 없었다.
제10도에 관한 실험에 있어서, 폴리실리콘의 선택비는 전력비가 100:300∼300:100의 범위에서 큰 변동을 발견되지 않았다. 그러나 전력비가 300:100보다 커지면 폴리실리콘의 선택비는 급격히 향상했다.
제11도에 관한 실험에 있어서, 에칭비는 하측전극의 비율이 클수록 높은 값을 도시했다. 에칭비의 면내균일성은 전력비에 따라 그다지 변화가 없었다.
제12도에 관한 실험에 있어서, 폴리실리콘의 선택비는 상측전극의 비율이 클수록 높은 값을 나타냈다.
이처럼 제1도에 도시하는 장치(1)에서는 상하전극간의 전력비 및 위상치를 최적화함으로써 100mTorr 이하의 저압조건하에 있어서도 양호한 선택비 및 에칭비율을 얻을 수 있다.
다음에 에칭에 있어서, HBr과 Cl2의 혼합비의 영향에 대하여 서술한다.
일반적으로 탄소를 포함하지 않은 에칭가스를 이용하면 폴리실리콘의 선택비가 향상되는 한편, 레지스트 패턴의 영향을 받기 쉽게 되는 것을 알 수 있다. 그래서 탄소가 없는 처리가스인 HBr과 Cl2와의 혼합가스를 이용하고 폴리실리콘의 선택비 및 에칭비율을 검토했다.
제13도 내지 제15도는 에칭가스의 혼합비 및 상하전극간의 위상 차비(PDR)를 변경함으로써 얻어진 에칭특성을 도시한다. 제13도 내지 제15도는 모두 폴리실리콘의 에칭비(ER1) 및 실리콘산화막의 에칭비(ER2)를 도시한다. 제13도 내지 제15도에 관한 실험에 있어서, 에칭가스의 총유량을 50sccm으로 고정하여 Cl2와 HBr 가스와의 혼합비를 각각 50/0, 25/25, 0/50으로 했다. 제13도 내지 제15도에 도시하는 바와 같이 Cl2와 HBr가스와의 혼합비와 상하전극간의 위상차를 조정함으로써 에칭비율 ER1, ER2를 변경할 수 있는 것을 알 수 있다.
다음에 에칭에 대한 포커스 링의 영향에 대하여 서술한다. 상술한 바와 같이 제1도에 도시하는 플라스마 에칭장치(1)에 의하면 플라스마의 해리수가 증가하기 때문에 저압조건에서도 높은 플라스마 밀도를 얻을 수 있다. 따라서 에칭장치(1)에 의하면 100mTorr 이하의 저압조건에서 쿼터미클론 단위로 초미세에칭이 가능하게 된다. 그러나 에칭장치(1)에 있어서는 플라스마가 상하전극간에 집중하는 경향이 있기 때문에 웨이퍼를 둘러싸는 포커스 링(14)의 영향이 현저하게 나타난다.
플라스마 발생시에 포커스 링(14)은 커패시턴스로서 작용한다. 포커스 링(14)의 커패시턴스(C)는 {C=ε(S/H) : 여기에서 기호 ε, S, H는 제16도에 도시하는 바와 같이 각각 포커스 링(14)의 유전율, 상측면적, 두께}의 식으로 나타낸다.
즉, 포커스 링(14)의 재료 및 치수를 선택하고, 유전율(ε), 외경(D) 및 두께(H)를 조정함으로써 웨이퍼(W)상에 있어서 플라스마의 상태를 변경할 수 있다.
제17도 내지 제22도는 포커스 링(14)의 재질 및 외경을 변경함으로써 얻어진 에칭특성을 나타낸다. 제17도 및 제18도는 폴리실리콘의 에칭비(ER1) 및 동 에칭비의 면내균일성(UF1)을 나타낸다. 제19도 및 제20도는 SiO2의 에칭비(ER2) 및 동 에칭비의 면내균일성(UF2)을 도시한다. 제21도 및 제22도는 포토레지스트(PR)의 에칭비(ER3) 및 동 에칭비의 면내균일성(UF3)을 도시한다.
제17도 내지 제22도에 관한 실험에 있어서, 포커스 링(14)의 재질로서 표면이 말루마이트처리된 알루미늄과 석영을 이용했다. 포커스 링(14)의 외경(D)으로서는 6인치의 웨이퍼(W)에 대하여 포커스 링(14)의 폭(ML)(제16도 참조) 5mm, 35mm, 90mm을 이용했다.
제17도 내지 제22도에 도시하는 바와 같이 처리 대상(폴리실리콘, SiO2)에 따라 에칭비 및 그 면내균일성을 달라졌다. 따라서 처리대상에 따라 포커스 링(14)의 재질 및 외경을 선택하면 에칭비 및 그 면내균일성을 최적화할 수 있는 것을 알 수 있다. 이에 의해 100mTorr 이하의 저압조건에서도 고선택비, 고면내균일성의 에칭을 실시하는 것이 가능하게 된다.
일반적으로 포커스 링(14)은 절연체로 구성되는 경우는 포커스 링(14)의 외경이 클수록 높은 에칭비가 얻어진다. 따라서 에칭비 증시의 처리이면 포커스 링(14)의 외경을 크게 한다. 이에 대하여 손상이 적은 조용한 처리가 요구되는 경우에는 포커스 링(14)의 외경을 작게 한다.
포커스 링(14)의 재질에 관해서는 일반적으로 도전체보다도 절연체 쪽이 높은 에칭비율이 얻어진다. 따라서, 에칭비율증시의 처리라면 절연체로 구성되는 포커스 링(14)을 사용한다. 이에 대하여 손상이 적은 조용한 처리가 요구되는 경우에는 도전체로 구성되는 포커스 링(14)을 사용한다.
다음에 에칭에 대한 상하전극간의 간격의 영향에 대하여 서술한다.
제23도 내지 제26도는 상측전극(21)과 하측전극(4)의 사이의 간격(EG)을 변경함으로써 얻어진 에칭특성을 도시한다. 제23도는 폴리실리콘의 에칭비(ER1) 및 동 에칭비의 면내균일성(UF1)을 도시한다. 제24도는 SiO2의 에칭비(ER2) 및 동 에칭비의 면내균일성(UF2)을 도시한다. 제25도는 포토레지스트(PR)의 에칭비(ER3) 및 동 에칭비의 면내균일성(UF3)을 도시한다. 제26도는 실리콘 산화막에 대한 폴리실리콘의 선택비(SR1) 및 포토레지스트(PR)에 대한 폴리실리콘의 선택비(SR2)를 도시한다.
제23도 내지 제25도의 도시하는 바와 같이 폴리실리콘의 경우 전극간 간격(EG)이 넓을수록 높은 에칭비율이 얻어지고, 실리콘 산화막의 경우, 전극간 간격을 좁게 한 쪽이 높은 에칭비율가 얻어지고, 포토레지스트(제25도)의 경우, 전극간 간격을 75mm정도로 설정한 경우에 가장 높은 에칭비가 얻어졌다. 이처럼 피처리체에 따라 최적의 에칭비율이 얻어지는 전극간 간격이 달라진다. 본 발명에 의하면 피처리체에 따라 전극간 간격을 조정하는 것이 가능하므로 피처리체의 종류에 관계없이 항상 높은 에칭비로 처리할 수 있다. 또, 제26도에 도시하는 바와 같이 폴리실리콘의 선택성에 관해 실리콘 산화막에 대해서도 포토레지스트에 대해서도 전극간 간격(EG)을 넓힌 쪽이 높은 값을 얻을 수 있었다.
다음에 제1도에 도시하는 플라스마 에칭장치(1)의 조작에 대하여 서술한다.
우선, 실시되는 에칭에 있어서 필요한 에칭비, 그 면내균일성 및 선택비에 따라 플라스마 에칭장치(1)의 파라미터를 설정한다. 여기에서 설정되는 파라미터는 상하전극간의 위상차, 전력비, 간격, 에칭가스의 혼합비, 포커스 링의 재질 및 치수 등이다.
처리대상인 웨이퍼(W)를 재치대(4) 상에 로딩하는 경우는 제27도에 도시하는 바와 같이 재치대(4)가 하측위치까지 하강된다. 이 때, 재치대(4) 및 링의 상면이 처리용기(2) 내에서 규정하는 공간은 게이트 밸브(32)의 개구를 포함하는 상태가 된다.
다음에 제28도에 도시하는 바와 같이 웨이퍼(W)가 핸드링 암(34)에 의해 게이트 밸브(32)를 개재하여 로드록실(33)에서 처리용기(2) 내로 반입된다. 다음에 재치대(4)의 리프트 핀(7)이 상승하고, 웨이퍼(W)가 핸드링 암(34)에서 리프트 핀(7)상으로 이동된다. 핸드링 암(34)이 로드록실(33)에 퇴피한 후, 핀(7)이 하강하고 웨이퍼(W)가 정전척(8) 및 포커스 링(14)상에 재치된다. 다음에 직류전원(11)에서 고전압이 정전척(8)의 도전층(8c)에 인가되고 쿨롬력에 의해 웨이퍼(W)가 정전척(8)에 흡착보유된다.
다음에 제29도에 도시하는 바와 같이 재치대(4)는 상측위치까지 상승된다. 이때, 재치대(4) 및 배기 링의 상면이 처리용기(2)내에서 규정하는 공간은 게이트 밸브(32)의 개구를 포함하지 않는 상태가 된다. 이렇게 하면 웨이퍼(W)가 에칭되는 처리공간내에 게이트 밸브(32)의 개구에 의한 홈형상이 존재하지 않게 된다. 즉, 플라스마를 발생하는 공간의 측벽의 상태가 균일하게 되고 플라스마 밀도의 균일화를 꾀하는 것이 가능하게 된다.
다음에 처리용기(2)내는 소정의 압력, 예를 들면 100mTorr로 감압되고 가스원(23)에서 예를 들면 HBr과 Cl2의 혼합가스가 상측전극(21)을 통하여 처리용기(2)내로 도입된다. 플라스마 생성의 개시는 제30도에 도시하는 타이밍 챠트에 따라 실시된다. 우선, 상측전극(21)에 예를 들면 500W, 13.56MHz의 RF전력이 인가되고 플라스마가 점화된다. 예를 들면 0.5초후에 하측전극(4)에 예를 들면 150W, 13.56MHz의 RF전력이 인가된다. 이렇게 하면 상하전극(21, 4)의 RF전력의 매칭이 RF전력을 동시에 인가하는것 보다도 빠르게 안정적으로 얻을 수 있다.
이렇게 하여 생성한 플라스마가 사용되고 웨이퍼(W)상의 층, 예를 들면 폴리실리콘층에 에칭이 실시된다. 에칭중, 상하전극(21,4)에 인가되는 RF전력은 검출기(30,19)에 의해 모니터되고, 그 정보는 CPU(20)에 피드백된다. CPU(20)는 이 정보에 의거하여 RF전원(29,18)이 초기설정치를 유지하도록 이들을 제어한다.
소정의 에칭을 실시한 후, 플라스마 생성의 종료가 제31도에 도시하는 타이밍챠트에 따라 실시된다. 즉, 우선 하측전극(4)의 전력이 끊기고, 그 5∼10초후에 상측전극(21)의 RF전력이 끊긴다. 이렇게 하면 플라스마의 해리수가 단계적으로 내려가기 때문에 웨이퍼(W)에 대한 챠지 업 데미지를 최소한으로 억제할 수 있다. 또, 하측전극(4)의 RF전력을 먼저 끊음으로써 웨이퍼(W)상의 축적전하를 제거할 수도 있다.
다음에 에칭가스의 공급이 정지되고, 처리용기(2)내가 퍼지됨과 동시에 재치대(4)가 다시 제27도에 도시하는 바와 같이 하측위치까지 하강된다. 다음에 재치대(4)의 리프트핀(7)과 로드록실(33)의 핸들링 암(34)의 협동에 의해 처리된 웨이퍼(W)가 제28도에 도시하는 바와 같이 처리용기(2) 내에서 반출된다.
또, 플라스마의 발생 및 정지에 있어서, RF 전력의 조정은 제32도 및 제33도에 실선으로 도시하는 바와 같이 단계적으로 스텝 업, 스텝 다운의 형태로 실시할 수 있다. 또, 제32도 및 제33도에 파선으로 도시하는 바와 같이 슬로우 업, 슬로우 다운의 형태로 실시할 수도 있다.
또, 상술한 실시예에서는 에칭비, 그 면내균일성 및 에칭선택비의 최적화에 대하여 서술하였지만, 같은 파라미터를 조정함으로써 에칭홀 측벽의 테이퍼 각도나 에칭의 이방성 등의 최적화도 실시할 수 있다.
또, 에칭의 대상물은 폴리실리콘에 한정되지 않고 실리콘 산화물, 포트레지스트, 텅스텐실리사이드, 몰리브덴실리사이드, 티탄실리사이드 등의 Si화합물이나 고융점금속의 에칭대상물에 대해서도 본 발명을 마찬가지로 적용하는 것이 가능하다.
또한 본 발명은 플라스마 에칭장치에 한정되지 않고 상하전극의 양방에 RF전력을 인가하여 처리가스를 플라스마화하는 장치, 예를 들면 CVD장치, 플라스마 에칭장치에도 적용하는 것이 가능하다.

Claims (18)

  1. 기밀용기와, 상기 기밀용기내에서 피처리체를 지지하기 위한 제1전극과, 상기 기밀용기내에서 상기 제1전극에 대향하는 제2전극과, 상기 제1 및 제2전극에 대하여 제1 및 제2고주파전력을 독립적으로 인가하기 위한 제1 및 제2RF전원(18, 29)을 갖는 플라스마 처리장치 내에서의 플라즈마 처리방법에 있어서, 상기 제1전극에 상기 피처리체를 지지시키는 공정과, 상기 용기내를 감압상태로 설정하는 공정과, 상기 용기내에 처리가스를 도입하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 개시하고, 상기 처리가스의 플라스마화를 개시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2 고주파전력의 인가를 계속하고, 상기 처리가스의 플라스마화를 계속함과 동시에 상기 플라스마를 이용하여 상기 피처리체에 처리를 실시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 정지하고, 상기 처리가스의 플라스마화를 종료하는 공정을 구비하며, 상기 제1및 제2고주파전력은 시간을 어긋나게 하여 인가가 정지되는 것을 특징으로 하는 플라스마 처리방법.
  2. 제1항에 있어서, 상기 제1 및 제2 고주파전력은 단계적으로 감소하고, 시간을 어긋나게 하여 인가가 정지되는 것을 특징으로 하는 플라스마 처리방법.
  3. 제1항에 있어서, 상기 제1 및 제2 고주파전력은 시간을 어긋나게 하여 인가가 시작되는 것을 특징으로 하는 플라스마 처리방법.
  4. 제3항에 있어서, 상기 제1 및 제2 고주파전력은 단계적으로 증가하고, 시간을 어긋나게 하여 인가가 시작되는 것을 특징으로 하는 플라스마 처리방법.
  5. 제1항에 있어서, 상기 처리가스의 플라스마화중, 상기 제1 및 제2 고주파전력은 동일 주파수이고 또 위상이 어긋나도록 인가되는 것을 특징으로 하는 플라스마 처리방법.
  6. 제1항에 있어서, 상기 처리가스의 플라스마화중, 상기 제1 및 제2고주파전력은 동일 주파수이고 또 다른 전력치로 인가되는 것을 특징으로 하는 플라스마 처리방법.
  7. 제1항에 있어서, 상기 처리가스의 플라스마화중, 상기 제1 및 제2 고주파전력은 개별의 검출기(189, 30)로 모니터되고 제어수단을 통하여 초기설정치로 유지되는 것을 특징으로 하는 플라스마 처리방법.
  8. 기밀용기와, 상기 기밀용기내에서 피처리체를 지지하기 위한 제1전극과, 상기 기밀용기내에서 상기 제1전극에 대향하는 제2전극과, 상기 제1 및 제2전극에 대하여 제1 및 제2고주파전력을 독립적으로 인가하기 위한 제1 및 제2RF전원(18, 29)을 갖는 플라스마 처리장치 내에서의 플라즈마 에칭방법에 있어서, 에칭비, 에칭비의 면내균일성 및 에칭선택비로 구성되는 군에서 선택된 적어도 하나의 에칭특성을 소정치로 하기 위해, 상기 제1 및 제2고주파전력의 주파수, 전력치 및 상대적인 위상을 포함하는 파라미터의 값을 선택하는 공정과, 상기 파라미터의 선택치에 따라 상기 플라스마 에칭장치(1)를 설정하는 공정과, 상기 제1전극에 상기 피처리체를 지지시키는 공정과, 상기 용기내를 감압상태로 설정하는 공정과, 상기 용기내에 에칭가스를 도입하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 개시하고, 상기 에칭가스의 플라스마화를 개시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2 고주파전력의 인가를 계속하고, 상기 에칭가스의 플라스마화를 계속함과 동시에 상기 플라스마를 이용하여 상기 피처리체에 에칭을 실시하는 공정과, 상기 제1 및 제2전극에 대한 상기 제1 및 제2고주파전력의 인가를 정지하고, 상기 에칭가스의 플라스마화를 종료하는 공정을 구비하는 것을 특징으로 하는 플라스마 에칭방법.
  9. 제8항에 있어서, 상기 에칭가스가 복수의 가수를 혼합하여 되고 상기 파라미터가 상기 에칭가스의 혼합비를 포함하는 것을 특징으로 하는 플라스마 에칭방법.
  10. 제8항에 있어서, 상기 파라미터가 상기 제1 및 제2전극간의 간격을 포함하는 것을 특징으로 하는 플라스마 에칭방법.
  11. 제8항에 있어서, 상기 장치가 상기 피처리체를 포위하도록 배설된 포커스 링(14)을 또한 구비하고 상기 파라미터가 상기 포커스링(14)의 유전율, 외경 및 두께를 포함하는 것을 특징으로 하는 플라스마 에칭방법.
  12. 제8항에 있어서, 상기 제1 및 제2고주파전력은 시간을 어긋나게 하여 인가가 정지되는 것을 특징으로 하는 플라스마 에칭방법.
  13. 제12항에 있어서, 상기 제1 및 제2고주파전력은 단계적으로 감소하고 시간을 어긋나게 하여 인가가 정지되는 것을 특징으로 하는 플라스마 에칭방법.
  14. 제8항에 있어서, 상기 제1 및 제2고주파전력은 시간을 어긋나게 하여 인가가 시작되는 것을 특징으로 하는 플라스마 에칭방법.
  15. 제14항에 있어서, 상기 제1 및 제2고주파전력은 단계적으로 증가하고 시간을 어긋나게 하여 인가가 개시되는 것을 특징으로 하는 플라스마 에칭방법.
  16. 제8항에 있어서, 상기 에칭가스의 플라스마화중 상기 제1 및 제2고주파전력은 동일 주파수이고 또 위상이 어긋나도록 인가되는 것을 특징으로 하는 플라스마 에칭방법.
  17. 제8항에 있어서, 상기 에칭가스의 플라스마화중 상기 제1 및 제2고주파전력은 동일 주파수이고 또 다른 전력치로 인가되는 것을 특징으로 하는 플라스마 에칭방법.
  18. 제8항에 있어서, 상기 에칭가스의 플라스마화중 상기 제1 및 제2고주파전력은 개별의 검출기(19, 30)로 모니터되고 제어수단을 통하여 초기설정치로 유지되는 것을 특징으로 하는 플라스마 에칭방법.
KR1019950046881A 1994-12-05 1995-12-05 플라스마 처리방법 및 플라스마 에칭방법 KR100193373B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP06330240A JP3113786B2 (ja) 1994-12-05 1994-12-05 プラズマ処理装置及びその制御方法
JP94-330240 1994-12-05
JP94-330239 1994-12-05
JP33023994A JP3150044B2 (ja) 1994-12-05 1994-12-05 プラズマ処理装置及びその制御方法
JP94-331283 1994-12-08
JP33128394A JPH08162444A (ja) 1994-12-08 1994-12-08 プラズマ処理装置及びその制御方法

Publications (2)

Publication Number Publication Date
KR960026333A KR960026333A (ko) 1996-07-22
KR100193373B1 true KR100193373B1 (ko) 1999-06-15

Family

ID=27340401

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950046881A KR100193373B1 (ko) 1994-12-05 1995-12-05 플라스마 처리방법 및 플라스마 에칭방법

Country Status (3)

Country Link
US (1) US5716534A (ko)
KR (1) KR100193373B1 (ko)
TW (1) TW302508B (ko)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3808902B2 (ja) 1996-10-11 2006-08-16 東京エレクトロン株式会社 プラズマエッチング方法
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
WO1999011103A1 (en) 1997-08-22 1999-03-04 Tokyo Electron Limited Method for controlling plasma processor
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US5962345A (en) * 1998-07-13 1999-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce contact resistance by means of in-situ ICP
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
KR100733241B1 (ko) * 1998-11-27 2007-06-27 동경 엘렉트론 주식회사 플라즈마 에칭 장치
US6057245A (en) * 1999-01-19 2000-05-02 Vlsi Technology, Inc. Gas phase planarization process for semiconductor wafers
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
JP4578651B2 (ja) 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
TWI224806B (en) * 2000-05-12 2004-12-01 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
JP4717295B2 (ja) * 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
JP2002134472A (ja) * 2000-10-20 2002-05-10 Mitsubishi Electric Corp エッチング方法、エッチング装置および半導体装置の製造方法
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
KR100842947B1 (ko) * 2000-12-26 2008-07-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP3665265B2 (ja) * 2000-12-28 2005-06-29 株式会社日立製作所 プラズマ処理装置
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US20020139477A1 (en) 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP2003045874A (ja) * 2001-07-27 2003-02-14 Semiconductor Energy Lab Co Ltd 金属配線およびその作製方法、並びに金属配線基板およびその作製方法
WO2003065435A1 (fr) * 2002-02-01 2003-08-07 Tokyo Electron Limited Procede de gravure
WO2003079427A1 (fr) * 2002-03-19 2003-09-25 Tokyo Electron Limited Procede de traitement par plasma
FR2842388B1 (fr) * 2002-07-11 2004-09-24 Cit Alcatel Procede et dispositif pour la gravure de substrat par plasma inductif a tres forte puissance
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
US20040040663A1 (en) * 2002-08-29 2004-03-04 Ryujiro Udo Plasma processing apparatus
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
JP4336124B2 (ja) 2003-03-10 2009-09-30 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP4359521B2 (ja) * 2004-02-20 2009-11-04 東京エレクトロン株式会社 プラズマ処理装置及びその制御方法
JP4288229B2 (ja) * 2004-12-24 2009-07-01 パナソニック株式会社 半導体チップの製造方法
US7692916B2 (en) * 2005-03-31 2010-04-06 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method
US7851368B2 (en) * 2005-06-28 2010-12-14 Lam Research Corporation Methods and apparatus for igniting a low pressure plasma
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US20080053817A1 (en) * 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
TWI422288B (zh) * 2009-09-11 2014-01-01 Univ Nat Formosa High dissociation rate plasma generation method and application device thereof
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8801950B2 (en) * 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
JP6556046B2 (ja) * 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP6643950B2 (ja) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 プラズマ処理方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
CN111968905B (zh) * 2020-08-03 2023-11-14 北京北方华创微电子装备有限公司 半导体设备的射频起辉控制方法及装置
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN116250072A (zh) * 2020-11-19 2023-06-09 应用材料公司 用于基板极端边缘保护的环
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03203317A (ja) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
KR0184675B1 (ko) * 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber

Also Published As

Publication number Publication date
TW302508B (ko) 1997-04-11
KR960026333A (ko) 1996-07-22
US5716534A (en) 1998-02-10

Similar Documents

Publication Publication Date Title
KR100193373B1 (ko) 플라스마 처리방법 및 플라스마 에칭방법
US6589437B1 (en) Active species control with time-modulated plasma
US6238588B1 (en) High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JP4578651B2 (ja) プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
EP0802560B1 (en) Process and electromagnetically coupled plasma apparatus for etching oxides
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6214162B1 (en) Plasma processing apparatus
US5935373A (en) Plasma processing apparatus
US6624084B2 (en) Plasma processing equipment and plasma processing method using the same
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US7132618B2 (en) MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
KR100630792B1 (ko) 플라즈마 처리 장치 및 그 제어 방법
KR102036950B1 (ko) 플라즈마 처리 방법
US20050039854A1 (en) Plasma etching method and plasma etching unit
JPH10172792A (ja) プラズマ処理装置
JP2008235924A (ja) パーティクル制御方法及びプラズマ処理チャンバー
WO2000001007A1 (fr) Procede de traitement au plasma
JPH06302678A (ja) 静電チャック
JP6401839B1 (ja) 基板処理装置、基板処理方法、及びプラズマ発生ユニット
KR20230106181A (ko) 용량 결합 플라즈마를 사용한 실리콘 산화물 갭 충전
KR102136127B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20230411126A1 (en) Chamber insulation plate and substrate processing apparatus including the same
JP3150044B2 (ja) プラズマ処理装置及びその制御方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130118

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20140117

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 17

EXPY Expiration of term