JPH118300A - 集積回路構造体およびその形成方法 - Google Patents
集積回路構造体およびその形成方法Info
- Publication number
- JPH118300A JPH118300A JP10158447A JP15844798A JPH118300A JP H118300 A JPH118300 A JP H118300A JP 10158447 A JP10158447 A JP 10158447A JP 15844798 A JP15844798 A JP 15844798A JP H118300 A JPH118300 A JP H118300A
- Authority
- JP
- Japan
- Prior art keywords
- dielectric
- low
- region
- layer
- opening
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims description 72
- 230000015572 biosynthetic process Effects 0.000 title description 13
- 239000003989 dielectric material Substances 0.000 claims abstract description 95
- 238000005530 etching Methods 0.000 claims description 16
- 239000004020 conductor Substances 0.000 claims description 11
- 238000012545 processing Methods 0.000 claims description 10
- 238000011049 filling Methods 0.000 claims description 7
- 238000000151 deposition Methods 0.000 claims description 5
- 238000000059 patterning Methods 0.000 claims description 2
- 239000011800 void material Substances 0.000 claims 2
- 239000000463 material Substances 0.000 abstract description 49
- 229910052751 metal Inorganic materials 0.000 abstract description 41
- 239000002184 metal Substances 0.000 abstract description 41
- 239000004065 semiconductor Substances 0.000 abstract description 12
- 230000002093 peripheral effect Effects 0.000 abstract description 7
- 230000017525 heat dissipation Effects 0.000 abstract description 6
- 230000003071 parasitic effect Effects 0.000 abstract description 6
- 239000003990 capacitor Substances 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 152
- 230000008569 process Effects 0.000 description 51
- 229920002120 photoresistant polymer Polymers 0.000 description 15
- 230000004888 barrier function Effects 0.000 description 13
- 238000002955 isolation Methods 0.000 description 12
- 238000001020 plasma etching Methods 0.000 description 9
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 7
- 238000002161 passivation Methods 0.000 description 7
- 239000006117 anti-reflective coating Substances 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 230000000873 masking effect Effects 0.000 description 6
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 239000011521 glass Substances 0.000 description 5
- 230000002829 reductive effect Effects 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229920000090 poly(aryl ether) Polymers 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 125000006850 spacer group Chemical group 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- -1 aluminum silicon copper Chemical compound 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000000977 initiatory effect Effects 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 229920000052 poly(p-xylylene) Polymers 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 229920000417 polynaphthalene Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- 229910008482 TiSiN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910052783 alkali metal Inorganic materials 0.000 description 1
- 150000001340 alkali metals Chemical class 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- CSDREXVUYHZDNP-UHFFFAOYSA-N alumanylidynesilicon Chemical compound [Al].[Si] CSDREXVUYHZDNP-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- WPPDFTBPZNZZRP-UHFFFAOYSA-N aluminum copper Chemical compound [Al].[Cu] WPPDFTBPZNZZRP-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 239000002585 base Substances 0.000 description 1
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- QRXWMOHMRWLFEY-UHFFFAOYSA-N isoniazide Chemical compound NNC(=O)C1=CC=NC=C1 QRXWMOHMRWLFEY-UHFFFAOYSA-N 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229920001187 thermosetting polymer Polymers 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02137—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31695—Deposition of porous oxides or porous glassy oxides or oxide based porous glass
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/101—Forming openings in dielectrics
- H01L2221/1015—Forming openings in dielectrics for dual damascene structures
- H01L2221/1031—Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
選択的に配置するダマスクコンタクト(二重はめ込みコ
ンタクト)のための低K誘電性プロセスであって、その
選択的配置により機械的強度が低いこと,熱伝導度が低
いこと,水分吸収があることなどの低K誘電性材料が本
来持つ欠点のいくつかを軽減するプロセスを提供する。 【解決手段】 二重はめ込みコンタクト構造(ダマス
ク)を形成する方法は、二重はめ込みコンタクト構造を
エッチングすることにより開始される。マスキング層
と、低K誘電性材料のデポジションとを用いて、低K誘
電性材料が絶対的に必要とされる臨界部のみに低K領域
を選択的に形成する。ウェハの他の部分は、従来の酸化
物を覆う状態で残るので、低K誘電性材料の悪影響が最
小限に抑えられる。次に導電性材料が形成されて二重は
め込みコンタクト構造が完成され、それにより低K誘電
性プラグが最終構造内のクロストークと容量を軽減す
る。
Description
し、さらに詳しくは、選択的に配置される低K誘電性領
域を有する二重はめ込み(dual inlaid )集積回路(I
C)コンタクトの形成に関する。
回路(IC)産業の共通の目標は、より導電性の高い回路
構成を、より小さな基板表面領域内に配置しようと努力
を続けることである。この目標を達成するために、導電
性要素は互いに、より近接して製造されるので、隣接す
る電気装置間の容量性結合によるクロストークが大幅に
増大し、それにより装置の性能と製品の完全性が影響を
受ける。隣接する導電性部材間のクロストーク(cross-
talk)の増加と容量性結合に対処するために、業界は低
K誘電性物質を集積回路(IC)内に組み込み、集積回路
(IC)材料の隣接する導電性領域間の電気的分離を改善
しようとすることを始めた。
たとき、すべての低K誘電性材料が、従来の高誘電体材
料の代わりに、集積回路ウェハ全体にブランケット状に
(一面に)された。低K材料をこのようにブランケット
状にすると、最適ではないことがすぐに発見された。第
1に、低K誘電性材料は、隣接する導電性要素間の電気
的分離を改善するが、従来の高誘電体材料より機械的強
度が低かった。さらに、多くの低K誘電性材料は、高温
処理に耐えられず、そのために低K誘電性材料を用いる
と、その後のIC熱処理をひどく妨げる。また、低K誘電
性材料は熱伝導度が低い。実際、低K誘電性材料は二酸
化シリコン(SiO2)などの従来の高K誘電性物質よりも
5倍も熱伝導度が悪い。従って、低K誘電性材料を集積
回路の表面全体にブランケット状にすると、低K誘電層
は製品からの熱放散を妨げる傾向があり、それによって
集積回路(IC)の能動回路領域内の温度を上げる。ま
た、多くの低K誘電性材料は、従来の誘電性材料と比べ
て、吸湿のレベルが高い。吸湿,除気,時間の経過に伴
う低K誘電性材料のフローおよび処理中の低K誘電性材
料の形状変化は、すべて集積回路産業において低K材料
を用いる場合の重大な懸念となる。さらに、多くの低K
誘電性材料は粘着特性が悪いとされ、従来の誘電性物質
および従来の金属相互接続材料などの、他の従来の集積
回路(IC)材料に充分に接着しない。
の、ブランケット状にデポジションされた低K誘電性物
質の実施例を示す。図1は、3つの導電性金属部材45
0,451,452を図示する。この3つの導電性部材
450〜452は、薄いテトラエチルオルトシリケート
(TEOS: tetraethylorthosilicate )層454により封
止される。層454の形成後、低K誘電性材料のブラン
ケット層456が図1に示されるようにデポジションさ
れる。層456のデポジション後、そのブランケット状
の低K誘電層456に続き、第2のTEOS段階が行われ
て、図1のTEOS層458が形成される。1つ以上のコン
タクト開口部466が層458,456,454を貫通
してエッチングされる。コンタクト開口部466には、
通常はタングステン(W )などの導電性材料が詰められ
る。第2金属層がスパタリングおよびパターニングされ
て、図1に図示されるように第2レベルの導電性部材4
62が形成される。前述のように、層456は機械的強
度が低く、温度制限があり、熱伝導度が低く、吸湿があ
り、他の層に対する粘着性が悪く、さらにその他の欠点
を持つ低K誘電性材料のブランケット層である。従っ
て、図1に図示されるような低K誘電性材料のブランケ
ットデポジションは、集積回路産業においては最適では
ない。
ジションされた低K誘電性材料に関して、上記の欠点を
軽減するために、集積回路(IC)技術において用いられ
てきた代替の実施例を示す。集積回路産業では、低K誘
電性材料を集積回路(IC)設計の限られた部分にだけ用
いれば、低K誘電性材料の欠点/制約の影響を小さくす
ることができるということを認識している。言い換える
と、必要な重要な(臨界)部分だけに、非ブランケット
状に低K誘電性材料を形成することにより、低K誘電性
材料の機械的強度が低いこと,温度制限があること,熱
伝導度が低いこと,吸湿性をもつこと,また粘着性が悪
いことの影響を、多少は回避することができるか、ある
いは受認可能なレベルまで下げることができる。
誘電性材料を半導体構造内に組み込むために用いられる
方法の1つを図2ないし図5に示す。図2においては、
基体酸化物層412が設けられる。導電性相互接続部4
14,416,418が、酸化物層412の上に形成さ
れる。まず、低K誘電性材料420のブランケット層が
図1に示されるようにウェハ上にデポジションされる。
この層420は、反応性イオン・エッチング(RIE: rea
ctive ion etch)環境に晒され、この環境で、層420
は図3に示されるスペーサ形成部420内にエッチング
される。
20は、性質上はブランケットではないので、集積回路
の機械的強度,熱伝導度,吸湿などの悪影響をそれほど
持たない。図3において、低K誘電性物質および金属層
が、酸化物層422により封止される。図4において、
従来の開口部426が誘電層422を貫通してエッチン
グされ、導電性部材418,416,414を露出する
電気コンタクト開口部を形成する。導電性プラグ430
および金属配線432,434が図5においてパターニ
ングされ、電気的相互接続構造を完成する。
び相互接続プロセスを図示し、それにより既存の酸化物
層上に、金属線がリソグラフィックにパターニングおよ
びエッチングされることに注目することが重要である。
この種の従来の相互接続形成は、一般に集積回路産業か
らはなくなりつつある。ダマスクコンタクト(Damascen
e contact または二重はめ込み金属相互接続(dual inl
aid metal interconnect)と呼ばれる新規のプロセスお
よび構造が、現在IC産業で用いられる。この二重はめ込
みコンタクトは、図2の領域414,416,418の
縦型側壁などのような導電性材料の縦型側壁を露出しな
い。ダマスクまたは二重はめ込み構造は、金属側壁を露
出しないので、図2ないし図5に図示されるように金属
配線に横方向に隣接して側壁スペーサを形成すること
は、ダマスクまたは二重はめ込み処理においては不可能
である。従って、図2ないし図5のプロセスは、二重は
め込み処理による充分な低K誘電性材料を形成するため
に利用することはできない。
を選択的に配置するダマスクコンタクト(二重はめ込み
コンタクト)のための低K誘電性プロセスであって、そ
の選択的配置により、機械的強度が低いこと,熱伝導度
が低いこと,吸湿性をもつことなどの低K誘電性材料が
本来持つ欠点のいくつかを軽減するプロセスが必要とさ
れる。
さくするために電気的分離を改善することを必要とする
隣接する導電性要素間に低K誘電性材料を選択的に配置
する方法である。この選択的配置は、二重はめ込みコン
タクト構造(すなわちダマスク構造)間に実行される。
第1実施例(図6ないし図12)においては、二重はめ
込み部が下にある導電層を露出するよう形成される。二
重はめ込み部の中央部分には、低K材料が選択的に/パ
ターニングされて充填される。第1二重はめ込みコンタ
クトが低K中央部の第1側面上に形成され、第1二重コ
ンタクト部が低K中心部の第2側面上に形成され、その
ために選択的中心低K部分の存在により、第1および第
2二重はめ込みコンタクト間に優れた電気的分離が実現
する。二重はめ込みコンタクト構造の臨界部分に選択的
に低K誘電性領域を形成する別の実施例も、図13ない
し図19,図20ないし図25および図26ないし図3
1により本明細書において説明される。 図6ないし図
12,図13ないし図19,図20ないし図25および
図26ないし図31の実施例においては、低K誘電性部
分は装置の臨界部分のみに置かれるので、ICの機械的強
度に対する低K材料の影響は最小限になる。また、その
後の温度処理に関する制約は、これらの実施例ではさほ
ど厳しくなく、一方で最終装置の熱伝導度も改善され
る。従来の高誘電体酸化物の「ポケット」が非臨界部分
に存在し、それによって装置動作中に能動回路構成から
充分な熱放散を可能にするので、ICの縦方向の熱伝導度
が改善される。さらに吸湿,除気,低K誘電性材料のフ
ローおよび低K誘電性形状の変化の影響が軽減される。
一般に、低K誘電性材料IC設計の欠点が軽減されるか、
あるいはなくなり、またより高度な二重はめ込み相互接
続構造において低K材料の利点が充分に生かされる。
良く理解頂けよう。
0の断面図である。半導体装置10は、図6には図示さ
れない基板によって構成される。集積回路(IC)産業に
おける典型的な基板には、シリコン・ウェハ,ゲルマニ
ウム,ガリウム砒素,シリコン・オン・インシュレータ
(SOI: silicon on insulator ),シリコン・ゲルマニ
ウム,シリコン・オン・サファイア(SOS: silicon on
sapphire)などがある。図6においては、酸化物層12
が図示される。本件の教義により、典型的なインターレ
ベル誘電性物質(ILD: inter-level dielectric )層に
は、テトラエチルオルトシリケート(TEOS)ガラス,フ
ッ素ドーピングSiO2,ホウ酸リン酸シリケート・ガラス
(BPSG: borophosposilicate glass),リン酸シリケー
ト・ガラス(PSG: phosphosilicate glasss ),プラズ
マ強化窒化物(PEN: plasma enhanced nitride),スピ
ンオン・ガラス(SOG: spin-on glass),シラン・ガラ
スなどとそれらの組み合わせとがある。導電性領域16
が図6に示される。典型的な導電性相互接続バルク材料
には、銅,アルミニウム,アルミニウム銅,アルミニウ
ム・シリコン銅,銀,金,アルミニウム・シリコン,銅
合金などがある。多くの導電性バルク材料は、図6のバ
リア層14などのバリア層を利用する。バリア層は、Ti
/W,TaN ,TiN またはTaSiN ,TiSiN ,WNなどとそれら
の組み合わせなどの合金によって構成される。
る。本件で教示されるエッチ・ストップ層は、プラズマ
デポジション窒化シリコン(PEN ),窒化酸化シリコン
(SiON),窒素を含むその他の誘電性物質などのうち1
つ以上によって構成される。これらの材料は、次の機能
を実行する:すなわち、反応式イオン・エッチング(RI
E )または湿式エッチングのためのエッチ・ストップ
層;フォトリソグラフィのための反射防止皮膜(ARC: a
nti-reflective coating);腐食防止;および水分とア
ルカリ金属汚染の保護。図6は、上述された層12と同
様の酸化物層20を図示する。図6は、本明細書で論じ
られる層18と同様のエッチ・ストップ層22も図示す
る。エッチ・ストップ層22の頂部は酸化物層24であ
る。層24は、層12,20と類似のもので、それを貫
通して構成部23aを作成するようおよびパターニング
される。構成部23aは、通常1ミクロンないし0.1
ミクロン程度の大きさのコンタクトサイズの開口部であ
る。パターニングされた構成部23aは、CF4 および/
またはCHF3などの薬品を用いて、生成したフォトレジス
ト・マスクを貫通してエッチングし(図6には図示せ
ず)、エッチ・ストップ層22の一部分を露出すること
により作成される。次に(CF4 およびO2の両方が供給さ
れるプラズマなどの)他のエッチング剤を用いて、図6
に図示されるようにエッチ・ストップ層22の被露出部
分をエッチングする。次に、領域23aを形成するため
に用いられ、図6には特に図示されないレジスト・マス
クが除去される。
ク(図7には特に図示されない)が、スピンオンおよび
現像されて、二重はめ込み相互接続トレンチのエッチン
グと、コンタクト開口部23aを層20内にまで深くす
ることとを可能にする。従って、図7においては、エッ
チ・ストップ層22(図6参照)内の開口部により露出
される酸化物層20の部分がエッチング除去され、それ
により図6の開口部23aは図7のより深い開口部23
bになる。開口部23aを深くしてより深い開口部23
bを形成する間に、同じプラズマ酸化物エッチング環境
により、層24の部分が除去され二重はめ込みコンタク
ト構造の相互接続部分25が形成される。結果として得
られる構造は、トレンチ/相互接続領域25とビア/コ
ンタクト領域23bで、これらは共に二重はめ込み構造
を形成して、導電性領域16上のエッチ・ストップ層1
8の一部を露出させる。
領域25を規定するために用いられる第2フォトレジス
ト層の形成後まで図6のエッチ・ストップ層22に手を
付けずにおくことができることに注目することが重要で
ある。図7において、この第2フォトレジスト層が形成
されると、酸化物エッチングを始める前に窒化物エッチ
ングを用いることができ、それにより、本明細書に図示
されるように、図6ではなく図7のエッチ・ストップ層
22の被露出部分を除去することができる。このこと
は、エッチ・ストップ層22の反射防止(ARC )特性
が、別の二重はめ込みフォトリソグラフィック処理の間
に必要とされる場合は便利である。
重はめ込みトレンチ領域の形成後に、低K誘電性材料2
6を半導体装置10全体にブランケット状にする。通
常、低K誘電性材料26は、プラズマ強化化学蒸着(CV
D: chemical vapor deposition)プロセスを介してデポ
ジションされるスピンオン材料(すなわちスピン・オン
・ガラス(SOG ))としてデポジションすることがで
き、あるいは、化学蒸着されたポリマ誘電膜とすること
もできる。低K材料26は装置10上に積層してもよ
い。材料26の選択肢としては、ポリアリールエーテル
(polyarylether)およびフッ素化ポリアリールエーテ
ル,ポリイミドおよびフッ素化ポリイミド,ベンゾシク
ロブテンおよびフッ素化熱硬化性樹脂などのスピン・オ
ン・ポリマなどである。
理を用いて、フッ素化非晶性カーボン,パリレン,ペル
フッ素化パリレン(perfluorinated parylene ),テフ
ロン,ポリナフタレンおよびフッ素化ポリナフタレンお
よび/またはメチルシルセスキオキサン(methylsilses
quioxane)などのポリマを形成する。低K誘電性材料2
6は、ビア構造23bにより形成された空隙を埋めるこ
とができなければならず、さらに電気的分離を強化する
低K誘電性材料である必要がある。本発明の目的のため
には、周囲の酸化物よりも誘電率が低い任意の材料を低
K誘電性材料と見なす。一般に、誘電率(ε)の範囲が
1.5ないし3.0(たとえば3.0未満)である低K
誘電性材料26を有すると有利である。本明細書で用い
られる「低K」という語は、「低誘電率」と同義である
ことに留意されたい。図7に示されるように低K誘電性
材料をした後で、化学機械的研磨プロセス(CMP: chemi
cal mechanical polishing),レジスト・エッチバック
(REB: resist etch back)法または同様のエッチバッ
ク・プロセスを実行して、低K材料をほぼ酸化物層24
の上表面まで平坦化する(図8参照)。
が最終製品のトレンチ領域25内に選択的に常駐すべき
場所を規定するようにフォトレジスト・マスク28を形
成する。図9では、低Kエッチング剤を用いて、図8の
フォトマスク28により保護されない低K誘電性材料を
除去することにより、層26から低K誘電性プラグ30
を形成する。
から得られる構造が図示される。図9の構造は、2つの
別々の導電性二重はめ込みコンタクト構造33,35を
示す。2つの二重はめ込みコンタクト部分33,35
は、低K領域30により隔てられる。領域33は、下に
ある導電性領域16を露出するビア/コンタクト部分3
2と相互接続トレンチ34とを有する。二重はめ込み構
造35は、図9に図示されるように相互接続領域36に
よって構成される。典型的な二重はめ込み構造はすべ
て、少なくとも1つの相互接続トレンチ部分と、少なく
とも1つのコンタクト/ビア部分に対するコンタクトと
を有する。
ケット・スパタリング・プロセス,メッキまたは本件で
教示される任意のバリア層41を含む金属層のCVD プロ
セスを介して充填されることを示す。ビア領域32なら
びに相互接続領域36,34は、半導体装置10全体の
ブランケット充填などの共通プロセスの一部として形成
され、その後で化学機械的研磨(CMP )またはレジスト
・エッチバック(REB)処理が行われることを理解頂き
たい。
が図11に図示され、パッシベーション層44をした後
の図10の装置が示される。パッシベーション層44
は、前述の層18,22と同様の別のエッチ・ストップ
層として、図11の装置の上に更なる二重はめ込み層を
可能にすることもできることを理解頂きたい。しかし、
層44は、反射防止皮膜(ARC )材料および/または最
終パッシベーションであり、この場合層44はボンド・
パッド領域上方に存在する完成装置の頂部を示す。
こでは、図6ないし図11の前述されたプロセスを繰り
返して、図11の構造上に金属の追加層を形成する。特
に、図12は、図11の第1相互接続層50を示す。こ
れは第1相互接続層50上方に存在する第2相互接続層
52の形成と同様である。この要領で、低K誘電性領域
を用いて複数の金属層をどのようにして製造することが
できるかを図示する。図12の低K誘電性材料30は、
縦型に積層された金属層間を縦方向に連続的にフローす
ることができるので、周縁容量性結合と周縁クロストー
クとを軽減し、なおかつ平行面容量性結合も軽減するこ
とができる。別の形態においては、図12の材料領域3
0が実質的に境を接するようにして、領域30が100
0オングストローム以下の厚みを有する材料の薄い領域
によってのみ隔てられるようにすることができる(たと
えば、領域18,22および/または44に類似の領域
によってのみ隔てられる)。
技術に勝る利点の1つは、低K誘電性材料の境を接する
あるいは実質的に境を接する縦型のカラムを縦に形成し
て、複数の金属レベルにおいて複数の金属相互接続領域
の層を分離することができることである。詳しくは、低
K誘電性材料領域30は、図6のエッチ・ストップ層か
ら始まり、半導体装置11の頂部まで到達する縦型構造
を形成する。その結果、相互接続部42と相互接続部4
0との間に直接形成する寄生平行面容量を低K材料30
の臨界的配置により軽減するだけではなく、この寄生平
行面キャパシタの上下に形成する寄生周縁容量も軽減す
ることになる。この利点は、熱放散などがブランケット
状にされた低K層上で改善されるように高K誘電性材料
の選択的に配置された縦型カラムを設けることにより得
られる。これは、低Kカラムが最終装置にも多少残るた
めである。材料30は2層以上の相互接続部に関して縦
方向に境を接すること、あるいはこれらの高K領域30
が実質的に境を接することに注目することが重要であ
る。「実質的に境を接する」のは、領域30が1000
オングストローム未満の材料により隔てられる場合、あ
るいは層22,18などのエッチ・ストップを形成する
ために用いられる材料によってのみ隔てられる場合であ
る。今日のIC産業におけるプロセスの多くは、4つ以上
の金属相互接続層を統合し、これらすべてを本発明にお
いて教示されるプロセスにより分離することができる。
括的性質において論じられたものと理解される。しか
し、導電性構造16,42,40は、好適な形態におい
ては銅などの特定の材料で形成してもよい。銅をバルク
金属相互接続材料として用いる場合は、窒化タンタルま
たは窒化タンタル・シリコンなどの保護バリアが、導電
層を覆い、周囲の酸化物からそれを保護する。さらに、
下部の銅をその後の処理から保護するために、エッチ・
ストップ層18を用いることもある。
により前述された装置10に類似の装置13を形成する
ためのプロセスを図示する。二重はめ込み構造は2つの
基本的方法のうちの1つで形成することができる:すな
わち(1)最初にビアを形成し、相互接続トレンチを後
で形成する方法(ビア・ファースト法);または(2)
相互接続トレンチを最初に形成し、ビアを最後に形成す
る方法(ビア・ラスト法)である。図6ないし図11お
よび図13ないし図19は、これらの二重はめ込み形成
実施例を両方とも示す。図13から始まり、二重はめ込
み構造の相互接続トレンチ領域125が、まず形成さ
れ、その後で開口部がパターニングされる。図13にお
いては、酸化物層112,保護バリア114により囲ま
れる金属導電性領域116,エッチ・ストップ層11
8,酸化物層120およびエッチ・ストップ層122を
有する半導体装置13が準備される。エッチ・ストップ
層122の形成に続き、酸化物層124が形成される。
酸化物層124の形成に続き、フォトレジスト層(図1
3には図示せず)が形成され、パターニングされて、ト
レンチ領域125のエッチングを可能にする。トレンチ
領域125は、エッチ・ストップ層122の一部分を露
出する。
形成するために用いられるフォトレジスト層の除去後の
構造13を示す。図14においては、別のフォトレジス
ト・マスク(図4には特に図示せず)を形成して、ビア
開口部1231,1232を規定する。ビア1231,
1232は、エッチ・ストップ層118の部分を露出す
るように形成される。ある実施例においては、現行のフ
ォトレジスト層を用いてエッチング・プロセスを続行し
て、エッチ・ストップ層118の被露出部が除去される
ようにすることが可能である。この場合、図14には図
示されない次の金属保護バリアを設けて、図14ないし
図17の後続の処理段階が相互接続部116内に存在す
る金属材料に悪影響を及ぼさないようにすることが必要
である。別の実施例においては、エッチ・ストップ層1
18は、後続の処理段階が実行されて(図17参照)、
層118のこれらの被露出部分が除去されるまで、図1
4内の適所に留まることもある。図14のエッチ・スト
ップ層を貫通してエッチングすることの利点は、相互接
続構造間の周縁容量の軽減を、図14の開口部を層11
2内まで深くすることにより実現できることである。し
かし、一般的にはほぼ1000オングストローム以下で
あるエッチ・ストップ層の相対的厚みにより、隣接する
導電性要素間の寄生周縁容量が、薄いエッチ・ストップ
層を現在の形態で開口部1231内に残す結果として、
不都合に増大するとは考えられない。ビア・ホール・フ
ォトレジスト・マスクは、図14のエッチング処理の後
で除去される。 図15は、全体構造13の頂部にある
低K誘電性ブランケット層30の形成後の、図14の構
造13を示す。図15の低K誘電性材料30は、トレン
チ125およびコンタクト開口部1231,1232を
図示されるように充填することに注目されたい。層30
に用いられる材料は、上記に示されるようにほぼ3.0
に等しいかそれより小さい誘電率を有する任意の材料で
ある。
低K誘電性材料30を平面化した後の装置13を示す。
図15の材料30の平面化により、層30は周囲の誘電
性領域124の上表面と実質的に同一面になるように、
平面領域130を形成する。図16は、新しいフォトレ
ジスト・マスク層128の形成およびパターニングを示
す。マスク領域128は、トレンチ領域125の中央部
が低Kプラグ130を含むように低K誘電性プラグの位
置を規定する(図17参照)。エッチングが実行され、
マスキング層128の選択的保護が行われる。それによ
り、低K誘電性プラグ130が図17に図示されるよう
に形成される。高K誘電性プラグ130が、上記の図9
の場合と同様に、2つの二重はめ込み構造を隔てる。
ブランケット状にスパタリングされた、あるいはメッキ
された、あるいはCVD による金属層が形成され、2つの
二重はめ込み金属相互接続部142,140がCMP また
はREB 処理の後に形成される。詳しくは、相互接続14
0およびビア領域141が相互接続層116と電気的接
続を行うように形成されており、それにより金属N−1
は金属Nに適切に配線される(ただしNは2以上の有限
の正の整数である)。図11に関して前述されたパッシ
ベーション層と同様の方法で、最終パッシベーション層
144が図19において形成される。従って、図6ない
し図12は、ビア・ファースト,二重はめ込み,選択的
配置による低K誘電性プロセスを図示し、図13ないし
図19はビア・ラスト,二重はめ込み,選択的配置によ
る低K誘電性プロセスを図示する。これらは低K材料に
より占有される空間を制限しながら分離を改善し、それ
によって低K材料の欠点の影響を最小限に抑える。
属レベル処理に容易に統合して、別の相互接続層の上に
多重金属相互接続層を形成することもできる。この場合
は、図12に示されたような低K誘電性材料の実質的に
連続するカラムが存在する。本明細書で論じられるよう
に、実質的に連続する縦方向に向く低K誘電性領域に
は、低K誘電性材料ならびに薄いエッチ・ストップ領域
を備える複数の層が含まれる場合がある。
相互接続部分116を保護するために別の処理を追加す
るか、あるいは追加のマスキングおよびエッチング段階
を用いることにより、(エッチ・ストップ材料などの)
他の任意の材料の低K材料空隙の連続する/境を接する
カラムを生成することもできる。電気メッキまたは無電
解メッキ段階を行ってコバルト(Co)またはニッケル
(Ni)の薄層(厚みは400オングストローム以下)を
金属相互接続部116の上にさせることができる。この
CoまたはNi層は、その後の処理中に相互接続部分116
を保護して、プロセス・シーケンスにおいて層118を
選択的に除去するか、あるいは全面的に回避することが
できるようにする。従って、本発明において教示される
領域130,30は共に縦型結合して、エッチ・ストッ
プ,ポリッシュ・ストップ,ARC などの層による小さな
裂け目がある、あるいはない複数の金属層間に縦長の低
K領域を作成することができる。介在するエッチ・スト
ップなどの層を持つ縦型低K領域を、境を接する層と呼
び、薄層(≦1000オングストローム)により隔てら
れる、あるいはエッチ・ストップ層,ポリッシュ層,AR
C 層などにより隔てられる低K材料の縦型に積層された
領域を「実質的に境を接する」と呼ぶ。小さな周縁容量
が他のよりK値の高い材料による隣接低K材料の間欠的
な裂け目のために増大することは余り重大ではなく、現
在のIC処理においては許容することができる。
の実施例を示す。この実施例では、低K誘電性領域がIC
装置の臨界的電気分離領域のみに選択的に形成される。
図20において、通常のダマスク・プロセスまたは二重
はめ込みプロセスの開始が図示される。詳しくは、複数
のマスキングおよびエッチング段階が実行され、2レベ
ル・トレンチ二重はめ込み構造を形成する。この開始
を、第1二重はめ込みトレンチ部分23aを形成するた
めの第1マスキングおよびエッチング段階を介して図示
する。図20ないし図25の実施例は、図6ないし図1
9に教示されるように、ビア・ファースト二重はめ込み
実施例としても、あるいはビア・ラスト二重はめ込み実
施例としても実行することができることに注目された
い。本発明において教示される二重はめ込みトレンチ形
成のためのマスキングおよびトレンチ形成がさらに図2
0において実行され、図21に示される最終トレンチ構
造を形成する。図21においては、フォトレジスト層2
28がおよびパターニングされる。レジスト228は、
二重はめ込み接続を形成するために導電性相互接続材料
が次に形成される領域をマスキングする。また、レジス
ト228は最終的にパターニング/エッチングされて開
口部23bを形成し、この開口部が低K誘電性材料がそ
の後で形成される位置を規定するために用いられる。
1の中央部に形成され、これは約0.1ないし1ミクロ
ンの幅の薄い「コンタクトサイズの」トレンチ23bで
ある。この開口部は、図21に図示されるようにレジス
ト228,層224,層222および層220を貫通し
て形成される。この開口部内の層218は、縦方向に境
を接するあるいは実質的に境を接する低K誘電性領域を
作成するために、低Kトレンチ内でエッチングされる場
合もエッチングされない場合もある。図21において
は、マスク228が完全に形成され、図示されるように
低K誘電性トレンチ23bを埋めるために低K誘電層2
6がされる。
物層224の上にあるフォトレジスト層228の両方
が、層224の上表面と実質的に平行になるまでエッチ
バックあるいは化学的機械的研磨(CMP )を受ける。図
23において、図22の二重はめ込みビアと二重はめ込
みトレンチ部とに残るフォトレジスト層部分228bは
エッチング/現像処理により層224および低K誘電性
材料23bに対して選択的に除去される。図23におい
て、エッチ・ストップ材料222および/または218
が必要に応じて除去され、その後で適切な電気接続部と
なる領域216を露出する。
部の第1層を形成するために、バリア層241が形成さ
れる。次に、プロセス,メッキまたはスパタリング・プ
ロセスを用いて、二重はめ込み構造のトレンチおよび相
互接続領域240,242を充填するバルク金属材料が
形成される。次に、図25に示されるようにエッチ・バ
ック・プロセスまたはCMP プロセスを実行し、パッシベ
ーション層または他のエッチ・ストップ層244をウェ
ハにして、二重はめ込みプロセスを終了する。横方向に
隣接する領域240,242である金属領域(図25に
は図示されないが、ページ外に位置する)の中には、領
域240,242からK値のより高い材料によってのみ
隔てられるものがあり、一方で、240と242との間
の薄い/臨界誘電性領域は低K材料をすべて、あるいは
低K材料123bおよびK値のより高い材料224の複
合物のいずれかを含んでクロストークを軽減するように
選択的に形成されることに留意されたい。
の実施例を示す。図26および図27はそれぞれ、二重
はめ込み集積回路構造の断面図と上面図である。この構
造は半導体基板500,第1レベルの金属相互接続領域
502,エッチ・ストップ層504,酸化物または誘電
層506,507,エッチ・ストップ層514,酸化物
層516およびはめ込み金属領域(すなわちはめ込みビ
アおよびはめ込み相互接続金属構造)512a,512
b,510a,510bを備える。図示される特定の実
施例においては、バリア層508が、隣接する酸化物層
から金属領域512,510を分離するために図示され
る。バリア層508は、通常、屈折金属によって構成さ
れる導電性領域である。図26は、本発明により教示さ
れるようにビア・ファーストまたはビア・ラスト法によ
り形成することができる。
bの上面図である。図示されるように、2つの導電性相
互接続部512a,512bの間にある領域513は、
容量性結合が最も高く、2つの領域512a,512b
間のクロストークの可能性が最も高い部分である。この
部分が、低K誘電性材料を配置すると最も利便性が高い
臨界部となる。
図28および図29のマスキング層515が形成され
る。層515は、フォトレジスト層またはTEOSなどの硬
性のマスク材料である。バッファ酸化物エッチャント
(BOE: buffered oxide ethcantまたはHFを用いる湿式
エッチング・プロセスを用いて、エッチ・ストップ層5
14,バリア層508および導電性材料512a,51
2bに対して選択的に誘電層224の被露出部分を除去
する。エッチング剤は、RIE エッチングおよび湿式エッ
チングの組み合わせ、あるいはRIE エッチングを単独で
用いることができることに注目されたい。しかし、ある
種の湿式エッチングまたは等方性エッチングを用いる
と、図28および図29において楕円形のトレンチ52
2が形成され、これが図30ないし図31の低K誘電性
物質を用いて周縁容量保護を強化する。図28の層51
5内の開口部520は、領域512の端部に沿うか、あ
るいは多少内側にして、金属相互接続部をRIE エッチン
グの損傷に露出することを避けるようにすることができ
る。しかし、金属領域512をRIE エッチング環境また
はイオン・ミリング・プロセスに多少露出しても許容さ
れる。図28の開口部522を作成した後、任意で、こ
の開口部をRIE エッチングにより、開口部522のすぐ
下にある領域514,506,504を貫通して深くす
ることができることに注目されたい。開口部をこのよう
に深くすると、本発明により教示されるように領域51
2間の周縁容量を軽減することに役立つ。図30の最終
実施例に開口部522をこのようにさらに深くする様子
を示すが、図28の領域522を低K材料で充填して、
層512のRIE に対する露出を全面的に回避しながらあ
る程度の低Kの利点を得ることもできる(全湿式エッチ
ングまたは等方性プロセスを図28に示される点まで利
用してもよい)。
を任意で深くした(図30)後で、低K誘電性材料をデ
ポジションおよび研磨して、図30ないし図31の低K
誘電性領域530を形成する。図31において、低K誘
電性材料は領域512a,512bの間の臨界部内に配
置され、他の非臨界部には置かれないことに注目された
い。従って、低K材料の利点をすべて二重はめ込み構造
内に得ることができ、なおかつ低K材料の欠点と制限と
は回避されるか、あるいはその程度が軽減される。
図示したが、本発明はこれらの図示された実施例に制限
されるものではない。本発明の精神および範囲から逸脱
せずに改良および修正が可能であることは、当業者には
認識頂けよう。従って、本発明は添付の請求項の範囲内
に入る変形および修正のすべてを包含するものである。
ランケット状にデポジションする従来技術による方法を
断面図に示す。
別の従来技術による実施例を断面図に示す。
別の従来技術による実施例を断面図に示す。
別の従来技術による実施例を断面図に示す。
別の従来技術による実施例を断面図に示す。
スにおいて低K誘電性材料を選択的に形成する方法を断
面図に示す。
スにおいて低K誘電性材料を選択的に形成する方法を断
面図に示す。
スにおいて低K誘電性材料を選択的に形成する方法を断
面図に示す。
スにおいて低K誘電性材料を選択的に形成する方法を断
面図に示す。
セスにおいて低K誘電性材料を選択的に形成する方法を
断面図に示す。
セスにおいて低K誘電性材料を選択的に形成する方法を
断面図に示す。
セスにおいて低K誘電性材料を選択的に形成する方法を
断面図に示す。
間に低K誘電性材料を選択的に形成する別の実施例を断
面図に示す。
間に低K誘電性材料を選択的に形成する別の実施例を断
面図に示す。
間に低K誘電性材料を選択的に形成する別の実施例を断
面図に示す。
間に低K誘電性材料を選択的に形成する別の実施例を断
面図に示す。
間に低K誘電性材料を選択的に形成する別の実施例を断
面図に示す。
間に低K誘電性材料を選択的に形成する別の実施例を断
面図に示す。
間に低K誘電性材料を選択的に形成する別の実施例を断
面図に示す。
間に低K誘電性材料を選択的に形成するために用いるこ
とのできるさらに別の実施例を断面図に示す。
間に低K誘電性材料を選択的に形成するために用いるこ
とのできるさらに別の実施例を断面図に示す。
間に低K誘電性材料を選択的に形成するために用いるこ
とのできるさらに別の実施例を断面図に示す。
間に低K誘電性材料を選択的に形成するために用いるこ
とのできるさらに別の実施例を断面図に示す。
間に低K誘電性材料を選択的に形成するために用いるこ
とのできるさらに別の実施例を断面図に示す。
間に低K誘電性材料を選択的に形成するために用いるこ
とのできるさらに別の実施例を断面図に示す。
間に低K誘電性分離領域を選択的に形成するプロセスを
断面図に示す。
間に低K誘電性分離領域を選択的に形成するプロセスを
上面図に示す。
間に低K誘電性分離領域を選択的に形成するプロセスを
断面図に示す。
間に低K誘電性分離領域を選択的に形成するプロセスを
上面図に示す。
間に低K誘電性分離領域を選択的に形成するプロセスを
断面図に示す。
間に低K誘電性分離領域を選択的に形成するプロセスを
上面図に示す。図面を簡潔に明瞭にするために、図面内
に示される要素は必ずしも同尺に描かれないことを理解
頂きたい。たとえば、要素のいくつかは明瞭にするため
に、他の要素に対して誇張される。さらに、適切と考え
られる場合は、対応するあるいは類似の要素を指示する
ために図面間で参照番号を繰り返し用いる。
Claims (5)
- 【請求項1】 集積回路構造体(10)であって:第1
側壁とそれに対向する第2側壁とを有する導電性相互接
続領域(40または38);前記導電性相互接続領域の
前記第1側壁と横方向に隣接し、それとコンタクトする
第1誘電性領域(24)であって、第1誘電率を有する
第1誘電性領域(24);および前記導電性相互接続領
域の前記第2側壁と横方向に隣接し、それとコンタクト
する第2誘電性領域(30)であって、当該第2誘電性
領域は第2誘電率を有し、かつ前記第1誘電率が前記第
2誘電率よりも大きい第2誘電性領域(30);によっ
て構成されることを特徴とする集積回路構造体(1
0)。 - 【請求項2】 集積回路構造体(10)であって:互い
に横方向に隔てられる第1導電性領域(40)および第
2導電性領域(42);前記第1および第2導電性領域
間に存在する第1低K誘電性部分(30);互いに横方
向に隔てられ、前記第1および当該第2導電性領域の上
に存在する第3導電性領域(40)および第4導電性領
域(42)(図12);および前記第3および第4導電
性領域間に存在する第2低K誘電性部分(30)であっ
て、前記第1および当該低K誘電性部分が実質的に境を
接する低K誘電性領域(30,23b)を形成する、と
ころの第2低K誘電性部分(30);によって構成され
ることを特徴とする集積回路構造(10)。 - 【請求項3】 集積回路構造体(10)(図21)を形
成する方法であって: 第1誘電性領域(224または
220)を形成する段階であって、前記第1誘電性領域
が、第1側壁とそれに対向する第2側壁とを有する開口
部(図22の228b)を有するようにパターニングさ
れ、前記第1誘電性領域が第1誘電率を有する第1誘電
性材料によって構成される段階;空隙領域(図22の2
3b)を形成するために前記開口部の前記第2側壁に横
方向に隣接する前記第1誘電性材料の部分をエッチング
する、段階;前記空隙領域を、前記第1誘電率よりも小
さい第2誘電率を有する第2誘電性領域(26)で充填
する段階;および導電性相互接続部を形成するために前
記開口部を導電性材料(240)で充填する段階であっ
て、前記導電性相互接続部は前記開口部の前記第1側壁
とコンタクトする第1側壁と、前記開口部の前記第2側
壁とコンタクトする第2側壁とを有する段階;によって
構成されることを特徴とする方法。 - 【請求項4】 コンタクト構造(図9および図10の1
0)を形成する方法であって:第1誘電性領域(24お
よび/または20)を形成する段階であって、前記第1
誘電性領域が第1側壁とそれに対向する第2側壁とを有
する開口部(32,34,36)を有するようにパター
ニングされる段階;前記開口部の中間部分を低K誘電性
(30)で充填する段階;前記中間部分の第1側面上の
前記開口部の一部分を第1導電性相互接続部(40,3
8)で充填する段階;および前記中間部分の第2側面上
の前記開口部の一部分を第2導電性相互接続部(42)
で充填する段階;によって構成されることを特徴とする
方法。 - 【請求項5】 コンタクト構造を形成する方法であっ
て:第1誘電層(20)を形成する段階;前記第1誘電
層上に亘って存在するエッチ・ストップ層(22)を形
成する段階;前記第1誘電層上に亘って第2誘電層(2
4)を形成する段階;コンタクト開口部(図6の23
a)を前記第2誘電層内にエッチングする段階;前記コ
ンタクト開口部をさらにエッチングする段階であって、
それによって前記第1誘電層を貫通して前記コンタクト
開口部を深め、なおかつ前記第2誘電層内に相互接続開
口部(23b)をも形成して二重はめ込み構造を得る段
階;前記相互接続開口部内に低K誘電性材料(26)を
デポジションする段階;前記低K誘電性材料の部分を除
去して、相互接続開口部(34,36)内に低K誘電性
中間部分(30)を形成するように前記低K誘電性材料
を処理する段階;導電性材料(40,42)をデポジシ
ョンする段階;および前記相互接続開口部内に、前記低
K誘電性中間部分の第1側壁に隣接するように第1導電
性相互接続部(40)を、前記相互接続開口部内に、前
記低K誘電性中間部の第2側壁に隣接するように第2導
電性相互接続部(42)を形成して、それにより前記低
K誘電性中間部が前記第1および第2導電性相互接続部
を隔てるように前記導電性材料を処理する段階;によっ
て構成されることを特徴とする方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/868,332 US6143646A (en) | 1997-06-03 | 1997-06-03 | Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation |
US868332 | 1997-06-03 |
Publications (1)
Publication Number | Publication Date |
---|---|
JPH118300A true JPH118300A (ja) | 1999-01-12 |
Family
ID=25351464
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP10158447A Pending JPH118300A (ja) | 1997-06-03 | 1998-05-22 | 集積回路構造体およびその形成方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US6143646A (ja) |
JP (1) | JPH118300A (ja) |
KR (1) | KR100564064B1 (ja) |
TW (1) | TW386292B (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006114724A (ja) * | 2004-10-15 | 2006-04-27 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
US7224064B2 (en) | 2001-02-02 | 2007-05-29 | Oki Electric Industry Co., Ltd. | Semiconductor device having conductive interconnections and porous and nonporous insulating portions |
Families Citing this family (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6429120B1 (en) | 2000-01-18 | 2002-08-06 | Micron Technology, Inc. | Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals |
TW417249B (en) * | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
JP3660799B2 (ja) | 1997-09-08 | 2005-06-15 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
US6054379A (en) * | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6088258A (en) * | 1998-05-28 | 2000-07-11 | International Business Machines Corporation | Structures for reduced topography capacitors |
US6159787A (en) * | 1998-05-28 | 2000-12-12 | International Business Machines Corporation | Structures and processes for reduced topography trench capacitors |
US5977601A (en) * | 1998-07-17 | 1999-11-02 | Advanced Micro Devices, Inc. | Method for etching memory gate stack using thin resist layer |
US6284656B1 (en) | 1998-08-04 | 2001-09-04 | Micron Technology, Inc. | Copper metallurgy in integrated circuits |
TW437040B (en) | 1998-08-12 | 2001-05-28 | Applied Materials Inc | Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics |
US6288442B1 (en) * | 1998-09-10 | 2001-09-11 | Micron Technology, Inc. | Integrated circuit with oxidation-resistant polymeric layer |
US6225207B1 (en) * | 1998-10-01 | 2001-05-01 | Applied Materials, Inc. | Techniques for triple and quadruple damascene fabrication |
US6472335B1 (en) * | 1998-10-19 | 2002-10-29 | Taiwan Semiconductor Manufacturing Company | Methods of adhesion promoter between low-K layer and underlying insulating layer |
JP3312604B2 (ja) * | 1998-11-06 | 2002-08-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US6936531B2 (en) | 1998-12-21 | 2005-08-30 | Megic Corporation | Process of fabricating a chip structure |
US6965165B2 (en) | 1998-12-21 | 2005-11-15 | Mou-Shiung Lin | Top layers of metal for high performance IC's |
US6331481B1 (en) * | 1999-01-04 | 2001-12-18 | International Business Machines Corporation | Damascene etchback for low ε dielectric |
US6420251B1 (en) * | 1999-01-05 | 2002-07-16 | Trw Inc. | Method for fabricating a microelectronic integrated circuit with improved step coverage |
KR100280288B1 (ko) * | 1999-02-04 | 2001-01-15 | 윤종용 | 반도체 집적회로의 커패시터 제조방법 |
JP2000311939A (ja) * | 1999-04-27 | 2000-11-07 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US6426241B1 (en) * | 1999-11-12 | 2002-07-30 | International Business Machines Corporation | Method for forming three-dimensional circuitization and circuits formed |
TW514996B (en) | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6417087B1 (en) * | 1999-12-16 | 2002-07-09 | Agere Systems Guardian Corp. | Process for forming a dual damascene bond pad structure over active circuitry |
US6838769B1 (en) | 1999-12-16 | 2005-01-04 | Agere Systems Inc. | Dual damascene bond pad structure for lowering stress and allowing circuitry under pads |
JP3821624B2 (ja) * | 1999-12-17 | 2006-09-13 | シャープ株式会社 | 半導体装置の製造方法 |
US6640151B1 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
US7211512B1 (en) | 2000-01-18 | 2007-05-01 | Micron Technology, Inc. | Selective electroless-plated copper metallization |
US6376370B1 (en) | 2000-01-18 | 2002-04-23 | Micron Technology, Inc. | Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy |
US6420262B1 (en) * | 2000-01-18 | 2002-07-16 | Micron Technology, Inc. | Structures and methods to enhance copper metallization |
KR100762863B1 (ko) * | 2000-06-30 | 2007-10-08 | 주식회사 하이닉스반도체 | 확산방지 티타늄-실리콘-질소 막을 이용한 구리금속배선방법 |
US6576550B1 (en) * | 2000-06-30 | 2003-06-10 | Infineon, Ag | ‘Via first’ dual damascene process for copper metallization |
US6524944B1 (en) * | 2000-07-17 | 2003-02-25 | Advanced Micro Devices, Inc. | Low k ILD process by removable ILD |
US6562715B1 (en) | 2000-08-09 | 2003-05-13 | Applied Materials, Inc. | Barrier layer structure for copper metallization and method of forming the structure |
US6708074B1 (en) | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6455370B1 (en) * | 2000-08-16 | 2002-09-24 | Micron Technology, Inc. | Method of patterning noble metals for semiconductor devices by electropolishing |
AU2001296609A1 (en) * | 2000-10-03 | 2002-04-15 | Broadcom Corporation | High-density metal capacitor using dual-damascene copper interconnect |
US6576507B1 (en) * | 2000-11-14 | 2003-06-10 | International Business Machines Corporation | Selectively removable filler layer for BiCMOS process |
US6426555B1 (en) * | 2000-11-16 | 2002-07-30 | Industrial Technology Research Institute | Bonding pad and method for manufacturing it |
US7188142B2 (en) | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
US6294457B1 (en) * | 2001-02-01 | 2001-09-25 | Taiwan Semiconductor Manufacturing Company | Optimized IMD scheme for using organic low-k material as IMD layer |
JP3664987B2 (ja) * | 2001-03-14 | 2005-06-29 | シャープ株式会社 | 電子顕微鏡観察用試料の作成方法及び半導体装置の解析方法 |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US6583043B2 (en) * | 2001-07-27 | 2003-06-24 | Motorola, Inc. | Dielectric between metal structures and method therefor |
US20030213617A1 (en) * | 2002-05-20 | 2003-11-20 | Subramanian Karthikeyan | Method and structure of a reducing intra-level and inter-level capacitance of a semiconductor device |
US7932603B2 (en) | 2001-12-13 | 2011-04-26 | Megica Corporation | Chip structure and process for forming the same |
KR100453957B1 (ko) * | 2001-12-20 | 2004-10-20 | 동부전자 주식회사 | 듀얼 다마신을 이용한 전원 배선 제조 방법 |
US6794704B2 (en) | 2002-01-16 | 2004-09-21 | Micron Technology, Inc. | Method for enhancing electrode surface area in DRAM cell capacitors |
US6734090B2 (en) * | 2002-02-20 | 2004-05-11 | International Business Machines Corporation | Method of making an edge seal for a semiconductor device |
US6518670B1 (en) * | 2002-03-06 | 2003-02-11 | International Business Machines Corporation | Electrically porous on-chip decoupling/shielding layer |
US20030199112A1 (en) | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US20030194872A1 (en) * | 2002-04-16 | 2003-10-16 | Applied Materials, Inc. | Copper interconnect with sidewall copper-copper contact between metal and via |
US6638853B1 (en) * | 2002-07-03 | 2003-10-28 | Taiwan Semiconductor Manufacturing Co. Ltd. | Method for avoiding photoresist resist residue on semioconductor feature sidewalls |
US7060193B2 (en) * | 2002-07-05 | 2006-06-13 | Chartered Semiconductor Manufacturing Ltd. | Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits |
US7166200B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7147749B2 (en) | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US7204912B2 (en) | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US7137353B2 (en) | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US6837966B2 (en) | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7166166B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
GB2394879B (en) * | 2002-11-04 | 2005-11-23 | Electrolux Outdoor Prod Ltd | Trimmer |
WO2004046835A2 (en) | 2002-11-15 | 2004-06-03 | Applied Materials, Inc. | Method, system and medium for controlling manufacture process having multivariate input parameters |
US7780786B2 (en) | 2002-11-28 | 2010-08-24 | Tokyo Electron Limited | Internal member of a plasma processing vessel |
US20040121604A1 (en) * | 2002-12-18 | 2004-06-24 | Chun-Feng Nieh | Method of etching a low-k dielectric layer |
US6710443B1 (en) * | 2002-12-20 | 2004-03-23 | Texas Instruments Incorporated | Integrated circuit providing thermally conductive structures substantially horizontally coupled to one another within one or more heat dissipation layers to dissipate heat from a heat generating structure |
DE10260616B3 (de) * | 2002-12-23 | 2004-09-02 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Simultanen Bildung von Bauelementenkontakten und Rückseitenkontakten auf Wafern mit einer vergrabenen Isolatorschicht |
WO2004095532A2 (en) | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | A barrier layer for a processing element and a method of forming the same |
JP4597972B2 (ja) * | 2003-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 処理部材上に隣接するコーティングを接合する方法。 |
JP2005085884A (ja) * | 2003-09-05 | 2005-03-31 | Rohm Co Ltd | 半導体装置およびその製造方法 |
KR100558493B1 (ko) * | 2003-12-03 | 2006-03-07 | 삼성전자주식회사 | 반도체 기억소자의 배선 형성방법 |
JP4878434B2 (ja) * | 2004-09-22 | 2012-02-15 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US7602068B2 (en) * | 2006-01-19 | 2009-10-13 | International Machines Corporation | Dual-damascene process to fabricate thick wire structure |
US7510928B2 (en) * | 2006-05-05 | 2009-03-31 | Tru-Si Technologies, Inc. | Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques |
KR100737155B1 (ko) * | 2006-08-28 | 2007-07-06 | 동부일렉트로닉스 주식회사 | 반도체 소자의 고주파 인덕터 제조 방법 |
KR100792358B1 (ko) * | 2006-09-29 | 2008-01-09 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 및 그 형성방법 |
KR100799077B1 (ko) * | 2006-12-11 | 2008-01-28 | 동부일렉트로닉스 주식회사 | 금속 배선 및 그 형성 방법 |
US7557455B1 (en) * | 2007-02-27 | 2009-07-07 | National Semiconductor Corporation | System and apparatus that reduce corrosion of an integrated circuit through its bond pads |
US20080242062A1 (en) * | 2007-03-31 | 2008-10-02 | Lucent Technologies Inc. | Fabrication of diverse structures on a common substrate through the use of non-selective area growth techniques |
KR100900231B1 (ko) * | 2007-06-21 | 2009-06-02 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
KR100898222B1 (ko) * | 2007-08-30 | 2009-05-18 | 주식회사 동부하이텍 | 반도체 소자 및 그 제조 방법 |
KR20090044262A (ko) * | 2007-10-31 | 2009-05-07 | 주식회사 동부하이텍 | 반도체 소자와 그의 제조방법 |
US8471343B2 (en) | 2011-08-24 | 2013-06-25 | International Bussiness Machines Corporation | Parasitic capacitance reduction in MOSFET by airgap ild |
WO2017111870A1 (en) * | 2015-12-24 | 2017-06-29 | Intel Corporation | Selective hard mask processing based on low-valency group iv heterocyclic precursors |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3944447A (en) * | 1973-03-12 | 1976-03-16 | Ibm Corporation | Method for fabrication of integrated circuit structure with full dielectric isolation utilizing selective oxidation |
US5204288A (en) * | 1988-11-10 | 1993-04-20 | Applied Materials, Inc. | Method for planarizing an integrated circuit structure using low melting inorganic material |
JP3360350B2 (ja) * | 1993-04-21 | 2002-12-24 | ヤマハ株式会社 | 表面平坦化法 |
US5324683A (en) * | 1993-06-02 | 1994-06-28 | Motorola, Inc. | Method of forming a semiconductor structure having an air region |
KR0147660B1 (ko) * | 1995-08-26 | 1998-08-01 | 김광호 | 반도체방치의 커패시터 제조방법 |
US5880018A (en) * | 1996-10-07 | 1999-03-09 | Motorola Inc. | Method for manufacturing a low dielectric constant inter-level integrated circuit structure |
-
1997
- 1997-06-03 US US08/868,332 patent/US6143646A/en not_active Expired - Fee Related
-
1998
- 1998-04-08 TW TW087105271A patent/TW386292B/zh not_active IP Right Cessation
- 1998-05-22 JP JP10158447A patent/JPH118300A/ja active Pending
- 1998-06-09 KR KR1019980021189A patent/KR100564064B1/ko not_active IP Right Cessation
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7224064B2 (en) | 2001-02-02 | 2007-05-29 | Oki Electric Industry Co., Ltd. | Semiconductor device having conductive interconnections and porous and nonporous insulating portions |
JP2006114724A (ja) * | 2004-10-15 | 2006-04-27 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
JP4646591B2 (ja) * | 2004-10-15 | 2011-03-09 | パナソニック株式会社 | 半導体装置及びその製造方法 |
US7932187B2 (en) | 2004-10-15 | 2011-04-26 | Panasonic Corporation | Method for fabricating a semiconductor device |
Also Published As
Publication number | Publication date |
---|---|
TW386292B (en) | 2000-04-01 |
US6143646A (en) | 2000-11-07 |
KR100564064B1 (ko) | 2006-07-25 |
KR19990006766A (ko) | 1999-01-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPH118300A (ja) | 集積回路構造体およびその形成方法 | |
JP3694394B2 (ja) | 半導体装置を形成する方法 | |
US6696222B2 (en) | Dual damascene process using metal hard mask | |
JP4105023B2 (ja) | 低誘電率絶縁膜を利用したデュアルダマシン配線の形成方法 | |
US5935868A (en) | Interconnect structure and method to achieve unlanded vias for low dielectric constant materials | |
KR100532455B1 (ko) | Mim 커패시터 및 배선 구조를 포함하는 반도체 장치의제조 방법 | |
US7462535B2 (en) | Semiconductor device with analog capacitor and method of fabricating the same | |
US6268283B1 (en) | Method for forming dual damascene structure | |
US6800549B2 (en) | Method of fabricating semiconductor device including forming contact hole with anisotropic and isotropic etching and forming discontinuous barrier layer | |
JP3870031B2 (ja) | キャパシタ構造およびその製造方法 | |
US20050263848A1 (en) | Metal-insulator-metal capacitor having a large capacitance and method of manufacturing the same | |
KR19990023328A (ko) | 듀얼 인레이드 구조를 갖는 반도체 장치 형성 방법 | |
US5960316A (en) | Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric | |
JP2003051501A (ja) | 半導体装置及びその製造方法 | |
US7932187B2 (en) | Method for fabricating a semiconductor device | |
KR20010019643A (ko) | 저유전율 절연막을 갖는 다층 금속배선의 형성방법 | |
US7251799B2 (en) | Metal interconnect structure for integrated circuits and a design rule therefor | |
JP2006060166A (ja) | 電子デバイス及びその製造方法 | |
US20060118955A1 (en) | Robust copper interconnection structure and fabrication method thereof | |
JP3159093B2 (ja) | 半導体装置およびその製造方法 | |
US7112537B2 (en) | Method of fabricating interconnection structure of semiconductor device | |
KR100727794B1 (ko) | 이중 다마신 구조 및 캐패시터를 포함하는 집적회로의 제조 공정 | |
KR20050071027A (ko) | 반도체 소자의 듀얼 다마신 배선 형성 방법 | |
JPH10189723A (ja) | 半導体装置およびその製造方法 | |
KR20010113508A (ko) | 이중 다마신 구조와 커패시터를 포함하는 집적회로 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20040927 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050329 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20061026 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20061031 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20070131 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20070205 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070425 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20070731 |