JPH11162988A - 基板研磨後に平坦面を装備するための改善法 - Google Patents

基板研磨後に平坦面を装備するための改善法

Info

Publication number
JPH11162988A
JPH11162988A JP10278462A JP27846298A JPH11162988A JP H11162988 A JPH11162988 A JP H11162988A JP 10278462 A JP10278462 A JP 10278462A JP 27846298 A JP27846298 A JP 27846298A JP H11162988 A JPH11162988 A JP H11162988A
Authority
JP
Japan
Prior art keywords
layer
dielectric layer
polishing
substrate
wide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10278462A
Other languages
English (en)
Inventor
Kai Huckels
フッケルス カイ
Matthias Ilg
イルク マティアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JPH11162988A publication Critical patent/JPH11162988A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

(57)【要約】 【課題】 研磨により生ずるわん状変形が低減された表
面を生じさせる素子製造 【解決手段】 部分的に複雑な表面地形を被覆する第1
層及び表面地形を被覆する第2層を形成し、その際、複
雑な地形の広幅空間中でのわん状変形を低減する程度
に、第2層は、第1層に比べて研磨耐性が高い。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明の分野は一般的に、半
導体製造、より特定的には平坦化の間に生ずるわん状変
形を低減することに関する。
【0002】
【従来の技術】素子製造では、絶縁層、半導電層及び導
電層が基板上に形成される。層をパターン化して、形状
部及び空間を作る。形状部及び空間の最低寸法又は形状
部サイズ(F)はリソグラフィー系の解像容量に依存し
ている。素子、例えばトランジスタ、キャパシタ及びレ
ジスタが形成されるように形状部及び空間をパターン化
する。次いでこれらの素子を相互接続して、所望の電気
的機能を得て集積回路(IC)を作る。
【0003】Fが小さくなるにつれて、形状部及び空間
は低減するので、形状部と例えば誘電体との間のより小
さい間隙を充填するのが更に難しくなる。間隙充填を高
めるために、ドーピングされたケイ酸塩ガラス、例えば
ホウホスホケイ酸塩ガラス(BPSG)が使用されてい
る。ドーピングされたケイ酸塩ガラスは間隙の充填の際
に、堆積された後に再び浮き上がらせることができるそ
の比較的低い融点の故に有効である。
【0004】通常、BPSGは様々な化学蒸着(CV
D)技術により形成される。BPSGは約400℃の比
較的低い温度で堆積される。堆積の後に、基板を十分に
高い温度に加熱し、ガラスを軟化及び流動させる。例え
ば、800℃の温度でBPSGをアニーリングすると、
ガラスは流れ、かつ形状部の間の間隙を充填する。
【0005】典型的には、ICの様々な領域が様々なパ
ターンファクターを有し、素子層の基板表面上に複雑な
地形を作り出している。パターンファクターはパターン
化された領域とパターン化されていない領域との比とし
て定義される。例えば、ダイナミック・ランダム・アク
セス・メモリ(DRAM)ICの配列領域では、パター
ン化密度は、支持領域又は論理領域のパターン化密度に
比べて高い。従って、形状部間の空間は、支持領域又は
論理領域中のそれよりも配列領域の方が狭い。ドーピン
グされたケイ酸塩ガラスは間隙を充填するが、比較的等
写である。このことは、下に位置する基板又は素子層の
地形が、蒸着ケイ酸塩ガラス中に反転されているという
ことである。
【0006】堆積の後に、ドーピングされたケイ酸塩ガ
ラスを例えば化学機械的研磨(CMP)により研磨し
て、平坦面を用意する。付加的な集積回路素子の堆積が
可能になり、かつより大きな素子密度が許容されるの
で、高平坦化表面地形が望ましい。しかしながら、複雑
な地形は、CMPでの平坦面の達成を難しくする。殊
に、ドーピングされたケイ酸塩ガラスのわん状変形が広
幅空間で生じる。このようなわん状変形は表面平坦性に
悪影響を及ぼし、後続のリソグラフィ工程の焦点深度を
低減する。
【0007】
【発明が解決しようとする課題】前記の考察から、CM
Pの間のわん状変形の低減を伴う素子構造の間隙充填を
達成することが望ましい。
【0008】
【課題を解決するための手段】本発明は素子の製造に関
する。殊に、本発明は研磨後の改善された表面平坦性を
提供する。1実施態では、第1層を、複雑な地形を有す
る基板上に用意する。第1層を少なくとも狭隘間隙を充
填するために十分な厚さで堆積させる。第2層を次い
で、第1の誘電層の上に形成して、広幅間隙を充填す
る。第2層は、第1層よりも耐研磨性が高い。より耐研
磨性な層である第2層を製造すると、表面平坦性が研磨
の後に改善される。
【0009】
【実施例】本発明により、半導体製造の際に研磨により
生ずるわん状変形が低減される。本発明の考察を簡略化
するために、DRAM ICを形成する場合において記
載する。しかしながら、本発明はかなりより広く、かつ
通常、わん状変形が問題となる半導体製造に適用するこ
とができる。DRAMセル及びドーピングされたケイ酸
塩ガラスを間隙充填剤として使用するための慣用の方法
は、本発明の考察前に提供されている。
【0010】図1では、慣用の溝キャパシタDRAMセ
ル100が示されている。このような慣用の溝キャパシ
タDRAMセルは、例えば、Nesbit et al., A0.6μm2
256Mb Trench DRAM Cell With Self-Aligned Buried S
trap(BEST), IEDM93-627中に記載されており、これは、
この場合全ての目的で参考になる。典型的には、セルの
配列は、ワード線及びビット線により相互接続され、D
RAMチップを形成する。
【0011】DRAMセル100は、基板101中に形
成された溝キャパシタ160を含む。この溝は典型的に
はn−ドーパントで高濃度ドーピングされたポリシリコ
ーン(ポリ)161で充填される。このポリは一枚のプ
レートのキャパシタとして機能し、「ストレージ・ノー
ド(storage node)」と称される。n−タイプドーパン
トでドーピングされた埋め込みプレート165が、溝の
低い部分を囲んでいる。上部では、溝は寄生リークを低
減するためのカラー168である。ノード誘電体163
がキャパシタの2つのプレートを分離する。n−タイプ
ドーパントからなる埋め込みウェル170が、DRAM
セルの埋め込みプレートを配列中に接続するために設け
られる。埋め込みウェルの上には、垂直リークを低減す
るために設けられるp−ウェル173がある。
【0012】DRAMセルはトランジスタ110も含
む。このトランジスタはゲート112及びソース113
を含み、かつドレイン114拡散領域はn−タイプドー
パントからなる。キャパシタへのトランジスタの接続
は、拡散領域125(「ノード拡散」とも称される)を
介して達成される。ゲートスタック(「ワード線」とも
称される)は典型的にはポリ366及びニトリド368
層からなる。場合により、層357は、ワード線抵抗を
低減するためにポリの層上のケイ化物、例えばモリブデ
ン(MoSi)、タンタル(TaSi)、タングス
テン(WSi)、チタニウム(TiSi)又はコバ
ルト(CoSi)からなるポリサイド層である。1実
施態では、ポリサイド層はポリ上のWSiからなる。
ニトリドライナー369がゲートスタック及び基板を覆
う。ニトリド層368及びニトリドライナーは後続の方
法のためのエッチ又は研磨停止層として機能する。
【0013】浅溝絶縁(STI)180が他のセル又は
素子からDRAMセルを絶縁するために設けられる。図
面から分かるように、ワード線120を溝上に形成し、
かつそれからSTIにより絶縁する。ワード線120は
「パッシングワード線」とも称される。このような構成
は折り返しビット線アーキテクチャと称される。
【0014】インターレベル誘電層189がワード線上
に形成される。ビット線を表す導電層はインターレベル
誘電層上に形成される。ビット線接触開口部186は、
ソース113をビット線190に接続するためにインタ
ーレベル誘電層中に設けられる。
【0015】図2及び3は低減されたわん状変形を伴う
間隙充填のための慣用の方法を示している。図2では、
部分的に完成されたIC構造体100の断面図が示され
ている。構造体100は、例えばシリコーンウェーハか
らなる半導体基板101上に形成される。この基板はそ
れ自体で、相互に重ねられた構造体の層からなってもよ
い。考察の目的に関し、このような構造体を通常、この
場合基板と称する。
【0016】図示しているように、基板の表面には、空
間215及び230により分離されたメサ形部210及
び212が含まれる。メサ形部は例えば、図1に記され
たようなトランジスタゲートスタックを表す。ゲートス
タックは例えば、ゲートとスタック層を基板上に形成
し、かつそれを慣用のリソグラフィー及びエッチング技
術を使用してパターン化することにより形成する。
【0017】ICの各成分は通常、サイズにおいて変化
する。従ってメサ形部又は活性領域のサイズも変化す
る。前記のように、メサ形部210は狭隘変化であり、
かつメサ形部212は広幅変化である。更に、メサ形部
間の空間もその寸法において変動する。図に見られるよ
うに、空間215は相対的に狭く、かつ空間230は相
対的に幅広い。しかしながら活性メサ形部及び空間の実
際のサイズは厳密ではない。高い成分密度のIC構造体
を製造することが望ましいので、狭隘メサ形部及び空間
は典型的には、ほぼFに相応し、一方で広幅変法はほぼ
Fよりも大きいものに相応する。
【0018】BPSGのようなドーピングされたケイ酸
塩ガラス層250を基板の表面上に堆積させ、空間を充
填する。BPSGの等写により、下にある基板の地形が
BPSG層中に良好に反映される。
【0019】図3では、ドーピングされたケイ酸塩ガラ
ス層が、例えばCMPにより平坦化されている。CMP
でケイ酸塩ガラス層を研磨し、その際、メサ形部の頂面
を研磨停止として使用する。その結果、ドーピングされ
たケイ酸塩ガラス及びメサ形部の頂面は共平坦である。
しかしながら、広幅空間230での過剰の浸食又はドー
ピングされたケイ酸塩ガラス層のわん状変形が生じ、凹
面261がもたらされる。
【0020】本発明により、間隙充填層に改善された耐
わん状変形性を生じさせる。図4〜6は本発明の1実施
態を示している。図4では、部分的に完成されたIC構
造体300の断面が示されている。IC構造体は例え
ば、ダイナミック・ランダム・アクセス・メモリ(DR
AM)、同期DRAM(SDRAM)及び固定記憶装置
(ROM)を含むランダム・アクセス・メモリ(RA
M)である。他のICには、プログラム可能論理列(P
LAs)、現場プログラム可能ゲート列(FPGA
s)、アプリケーション特異的ICs(ASICs)、
組合せDRAM−論理ICs又は他のタイプのICsが
含まれる。典型的には、多くのICsがウェーハ上に平
行に形成されれる。処理が完了した後に、ウェーハをI
Cを分離するためにダイシングして個々のチップにす
る。次いでチップを包装し、例えば消費製品、例えばコ
ンピューターシステム、携帯電話、パーソナルデジタル
アシスタンツ(PDAs)及び他の電子製品で使用され
る最終製品にする。しかしながら、本発明は理解を容易
にするためにICの形成に関して記載している。更に、
ICは処理のどの工程であってもよい。
【0021】構造体300は半導体基板101上に形成
されている。1実施態では、基板300はシリコーンウ
ェーハからなる。例えばガリウムヒ素、ゲルマニウム、
絶縁体上シリコーン(SOI)、ガラス又は他の材料か
らなる他の基板も使用可能である。基板は例えば、多か
れ少なかれ、所望の電気的特性を達成するために予め測
定された導電性のドーパントでドーピングされていてよ
い。
【0022】図に見られるように、基板には、狭隘及び
幅弘空間315及び330により分離された狭隘及び広
幅メサ形部310及び312が含まれる。誘電材料の第
1層を基板の表面上に堆積させる。第1誘電層の厚さ
は、狭隘間隙315を充填するために十分な厚さであ
る。狭隘間隙は典型的にはFに等しいので、1実施態中
の第1誘電層の厚さは少なくとも1/2Fである。第1
誘電層の厚さは、広幅空間330中では、メサ形部の高
さHよりも少ないような厚さである。
【0023】第1誘電層は、狭隘構造体の適当な充填を
用意するためのドーピングされたケイ酸塩ガラス、例え
ばPBSGからなる。他のドーピングされたケイ酸塩ガ
ラス、例えばBSG又は他の良好な間隙充填特性を有す
るものを使用することができる。ドーピングされていな
いケイ酸塩ガラスで達成可能な狭隘間隙の充填よりもよ
り低い熱供給で狭隘間隙の充填が可能であるように、ド
ーピングされたケイ酸塩ガラスは、ドーピングされてい
ないケイ酸塩ガラスの融点よりも低い融点を有するのが
有利である。様々なタイプのドーピングされたケイ酸塩
ガラスを種々の公知のCVD技術を用いて堆積させる。
典型的には、ドーピングされたケイ酸塩ガラスをCVD
で堆積させ、かつ流動をもたらすために十分な温度でア
ニーリングし、メサ形部の間の間隙を充填する。
【0024】ドーピングされたケイ酸塩ガラスのドーパ
ント濃度がその溶融温度に影響を及ぼす。ドーパント濃
度が高くなるほど、ガラスの溶融温度は低くなり、その
逆も同様である。典型的には、ドーピングされたケイ酸
塩ガラスのドーパント濃度を、所定の熱供給内で狭隘間
隙が十分に充填されるように選択する。しかしながら、
過剰に高いドーパント濃度では、ドーパントは沈殿し
て、大きな酸結晶を形成する傾向がある。
【0025】1実施態では、第1のドーピングされたケ
イ酸塩ガラス層はBPSGからなる。BPSGのドーパ
ント濃度は、表面結晶の形成をもたらすドーパント濃度
未満であるのが有利である。1実施態では、B及びPの
ドーパント濃度は、約11重量%未満である。
【0026】第2誘電層を第1誘電層上に堆積させる。
第2誘電層の厚さは、広幅空間を完全に充填して、後続
の研磨工程の後に誘電層とメサ形部の頂部との間に平坦
面が生ずるのに十分な厚さである。
【0027】第2誘電層を第1誘電層よりも遅いCMP
速度を有するように選択する。第2誘電層は、CMPに
対して、第1誘電層に比べてより十分に耐性があり、わ
ん状変形の発生を減ずる。1:1よりも大きい第1及び
第2層の間の研磨選択率が、わん状変形の低減に役立
つ。有利には、選択率は約3:1である。選択率が高す
ぎると、逆のわん状変形効果が、広幅空間で起こりうる
(即ち、広幅空間が隆起する)。1実施態では、第2誘
電層は、ドーピングされていないケイ酸塩ガラス、例え
ばTEOSからなる。
【0028】公知のように、CMP速度はドーピングさ
れたケイ酸塩ガラス層のドーパント濃度に依存してい
る。ドーピングされたケイ酸塩ガラスの濃度を低くする
と、そのCMP速度は遅くなる。別の実施態では、第2
誘電層は、第1誘電層よりも低いドーパント濃度を有す
るドーピングされたケイ酸塩ガラスからなる。例えば、
第1誘電層がBPSGからなる場合には、PSGは第2
誘電層として使用される。第2誘電層が第1誘電層より
もより耐性である限りは、耐わん状変形性は改善され
る。
【0029】図5では、ウェーハの表面を例えば、CM
Pにより研磨している。CMPはメサ形部の頂面に選択
性がある。図のように、メサ形部の頂面は例えば窒化物
でキャップされる。このように、CMPは窒化物に対し
て選択性があり、これを研磨停止として使用する。メサ
形部により生じる地形の故に、第2誘電層の盛り上がり
部分370はCMPにより始めにアタックされる。結果
として、CMPは第1誘電層を領域370で始めに露出
させ、一方で、広幅空間330を覆う第2誘電層を残
す。図に見られるように、この時点でCMPは第1及び
第2誘電層351及び352の頂面で比較的平坦な面を
作る。
【0030】図6のようにCMPを続行する。第2誘電
層が第1誘電層よりも遅いCMP速度を有するので、第
1誘電層は第2誘電層よりも早く除去される。図から分
かるように、よりCMP耐性な第2誘電層は、広幅空間
330でのわん状変形を低減するか、又は発生を阻止す
るのに役立ち、一方で誘電層は、エッチ停止層(メサ形
部の頂部)まで研磨される。
【0031】本発明は特に様々な実施態を参照しつつ示
され、かつ記載されたが、本発明の範囲から外れること
なく本発明を変更することもできることが当業者には理
解されるであろう。従って、本発明の範囲は、前記を参
照して決められるのではなく、同等の全ての領域を含む
従属請求項を参照にして決定される。
【図面の簡単な説明】
【図1】DRAMセルを示す図。
【図2】研磨時にわん状変形が生ずるドーピングされた
ケイ酸塩ガラスを用いて素子層中の間隙を充填するため
の慣用の方法を示す図。
【図3】研磨によりわん状変形がもたらされた、ドーピ
ングされたケイ酸塩ガラスを用いて素子層中の間隙を充
填するための慣用の方法を示す図。
【図4】研磨により生じるわん状変形を低減するための
本発明の1実施態での研磨前の第1及び第2誘電層を備
えたIC構造体を示す図。
【図5】研磨により生じるわん状変形を低減するための
本発明の1実施態でのCMP研磨途中のIC構造体を示
す図。
【図6】研磨により生じるわん状変形を低減するための
本発明の1実施態でのCMP研磨後のIC構造体を示す
図。
【符号の説明】
100 DRAMセル、 101 基板、 113 ソ
ース、 114 ドレイン、 160 溝キャパシタ、
161 ポリシリコン(ポリ)、 163ノード誘電
体、 168 カラー、 170 埋め込みウェル、
125 拡散領域、 173 p−ウェル、 180
STI、 186 ビット先接触開口部、 189 イ
ンターレベル誘電層、 190 ビット線、 215
空間、230 空間、 261 凹面、 310 狭隘
メサ形部、 312 広幅メサ型部、 315 狭隘空
間、 330 広幅空間

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 研磨後に平坦面を装備するための改善法
    において、その改善法が:狭隘空間及び広幅空間により
    分離される形状部を含む複雑な地形を有する基板を準備
    し;十分に狭隘空間は充填するが、広幅空間は充填しな
    い第1層を基板上に形成し;広幅空間を十分に充填し
    て、研磨後の形状部上部を含む平坦面を用意し、かつ第
    1層よりも耐研磨性が高い第2層を基板上に形成し;基
    板表面を研磨して、形状部の上部を含む平坦面を生じさ
    せるが、その際、第2層が広幅空間でのわん状変形を低
    減することからなることを特徴とする、研磨後に平坦面
    を装備するための改善法。
JP10278462A 1997-09-30 1998-09-30 基板研磨後に平坦面を装備するための改善法 Pending JPH11162988A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/940808 1997-09-30
US08/940,808 US5928959A (en) 1997-09-30 1997-09-30 Dishing resistance

Publications (1)

Publication Number Publication Date
JPH11162988A true JPH11162988A (ja) 1999-06-18

Family

ID=25475458

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10278462A Pending JPH11162988A (ja) 1997-09-30 1998-09-30 基板研磨後に平坦面を装備するための改善法

Country Status (6)

Country Link
US (1) US5928959A (ja)
EP (1) EP0905755B1 (ja)
JP (1) JPH11162988A (ja)
KR (1) KR100513257B1 (ja)
CN (1) CN1210765C (ja)
TW (1) TW426907B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150093A (ja) * 2005-11-29 2007-06-14 Renesas Technology Corp 半導体装置およびその製造方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200896B1 (en) 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6271123B1 (en) * 1998-05-29 2001-08-07 Taiwan Semiconductor Manufacturing Company Chemical-mechanical polish method using an undoped silicon glass stop layer for polishing BPSG
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US5972124A (en) 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6566249B1 (en) 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
US6211050B1 (en) * 1999-03-03 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Fill pattern in kerf areas to prevent localized non-uniformities of insulating layers at die corners on semiconductor substrates
KR100587038B1 (ko) * 1999-11-04 2006-06-07 주식회사 하이닉스반도체 이중막 실리콘 기판의 제조 방법
US20020072237A1 (en) * 1999-12-22 2002-06-13 Bowles Christopher Mark Method for unpatterned resist etch back of shallow trench isolation refill insulator
JP2001196559A (ja) * 2000-01-13 2001-07-19 Seiko Epson Corp 半導体装置及びその製造方法
JP2001196558A (ja) 2000-01-13 2001-07-19 Seiko Epson Corp 半導体装置の製造方法およびその半導体装置
JP2001196560A (ja) 2000-01-14 2001-07-19 Seiko Epson Corp 半導体装置及びその製造方法
JP2001196561A (ja) * 2000-01-14 2001-07-19 Seiko Epson Corp 半導体装置及びその製造方法
US6319836B1 (en) * 2000-09-26 2001-11-20 Lsi Logic Corporation Planarization system
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US6551922B1 (en) 2002-03-06 2003-04-22 Motorola, Inc. Method for making a semiconductor device by variable chemical mechanical polish downforce
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
KR100518233B1 (ko) * 2003-10-31 2005-10-04 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7196012B2 (en) * 2004-04-13 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for planarization of dielectric layer around metal patterns for optical efficiency enhancement
US7316976B2 (en) * 2004-05-19 2008-01-08 Dupont Air Products Nanomaterials Llc Polishing method to reduce dishing of tungsten on a dielectric
KR100731090B1 (ko) * 2005-12-28 2007-06-25 동부일렉트로닉스 주식회사 반도체 소자의 소자 분리막 형성 방법
KR100784106B1 (ko) * 2006-09-08 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US7750470B2 (en) * 2007-02-08 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for planarization of dielectric layer around metal patterns for optical efficiency enhancement
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8191237B1 (en) 2009-05-21 2012-06-05 Western Digital (Fremont), Llc Method for providing a structure in a magnetic transducer
US8262919B1 (en) 2010-06-25 2012-09-11 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording pole using multiple chemical mechanical planarizations
CN103972048A (zh) * 2014-04-22 2014-08-06 上海华力微电子有限公司 改善层间介质层研磨返工工艺的方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5362669A (en) * 1993-06-24 1994-11-08 Northern Telecom Limited Method of making integrated circuits
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007150093A (ja) * 2005-11-29 2007-06-14 Renesas Technology Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US5928959A (en) 1999-07-27
EP0905755B1 (en) 2011-11-02
CN1221975A (zh) 1999-07-07
EP0905755A2 (en) 1999-03-31
KR100513257B1 (ko) 2005-10-25
KR19990030190A (ko) 1999-04-26
EP0905755A3 (en) 1999-08-18
TW426907B (en) 2001-03-21
CN1210765C (zh) 2005-07-13

Similar Documents

Publication Publication Date Title
KR100513257B1 (ko) 평탄표면제공방법
US10566332B2 (en) Semiconductor devices
JP4074451B2 (ja) 半導体装置の製造方法
US7488644B2 (en) Method of fabricating a semiconductor device
US6297090B1 (en) Method for fabricating a high-density semiconductor memory device
US7582925B2 (en) Integrated circuit devices including insulating support layers
US10985163B2 (en) Semiconductor capacitor structure
US8710673B2 (en) Wiring structure in a semiconductor device, method of forming the wiring structure, semiconductor device including the wiring structure and method of manufacturing the semiconductor device
US6080618A (en) Controllability of a buried device layer
US5753547A (en) Formation of a cylindrical polysilicon module in dram technology
JPH11330422A (ja) 半導体デバイス、半導体デバイスアレイ、半導体生成物及び縦形半導体デバイスの作製方法並びにdram生成物の作製方法
US6548394B1 (en) Method of forming contact plugs
US20160013191A1 (en) Capacitor and method of manufacturing the same
JP4256742B2 (ja) 一体化した金属絶縁体金属コンデンサおよび金属ゲート・トランジスタの形成方法
US6124206A (en) Reduced pad erosion
US6566202B2 (en) Integrated circuit having at least two vertical MOS transistors and method for manufacturing same
US5907771A (en) Reduction of pad erosion
US20060199329A1 (en) Method for fabricating capacitor in semiconductor device
US7074725B2 (en) Method for forming a storage node of a capacitor
US6967161B2 (en) Method and resulting structure for fabricating DRAM cell structure using oxide line spacer
TWI755855B (zh) 動態隨機存取記憶單元與其相關的製造方法
KR19990056023A (ko) 다이나믹 랜덤 억세스 메모리 장치 및 그 제조방법
TW202332004A (zh) 具有字元線之記憶體元件的製備方法
JPH1187263A (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050811

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071213

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080111

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080117

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080312

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080612

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080617

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081126

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081219

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090121

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090126

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090402