JPH10223865A - 集積回路構造の製造方法および集積回路 - Google Patents

集積回路構造の製造方法および集積回路

Info

Publication number
JPH10223865A
JPH10223865A JP10021287A JP2128798A JPH10223865A JP H10223865 A JPH10223865 A JP H10223865A JP 10021287 A JP10021287 A JP 10021287A JP 2128798 A JP2128798 A JP 2128798A JP H10223865 A JPH10223865 A JP H10223865A
Authority
JP
Japan
Prior art keywords
layer
annealing
dielectric
plasma
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10021287A
Other languages
English (en)
Inventor
Robert Tsu
ツ ロバート
Shinpei Iijima
シンペイ イイジマ
Isamu Asano
勇 浅野
Masato Kunitomo
マサト クニトモ
Tsuyoshi Tamaru
ツヨシ タマル
William R Mckee
アール.マッキー ウィリアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Texas Instruments Inc
Original Assignee
Hitachi Ltd
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Texas Instruments Inc filed Critical Hitachi Ltd
Publication of JPH10223865A publication Critical patent/JPH10223865A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】 【課題】 先行技術の諸問題の多くを克服する高誘電率
コンデンサを形成する工程を得る。 【解決手段】 一つの実施例において、この発明は、誘
電層24を処理する方法を提供する。最初に、誘電層が
加熱されると同時に、O2 プラズマにさらされる。その
後で、前記誘電層が加熱されると同時に、オゾン環境に
さらされる。この方法は、コンデンサ12の誘電体24
の形成に有用である。一方、このコンデンサは、DRA
Mメモリデバイス中で使用できる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、一般に半導体デ
バイスの製造に関し、特に集積回路コンデンサの形成に
使用され得る一つの誘電体を処理する方法に関する。ま
た、この方法で形成される集積回路に関する。
【0002】
【従来の技術】DRAMメモリセルのサイズは小さくな
り続けている。例えば、64メガビットの情報を記憶す
るメモリが現在生産されているが、256メガビットの
メモリと1ギガビットのメモリを間もなく実施すること
が一緒に計画されている。これらの高密度メモリは、最
小0.35μmまたはそれ以下の設計ルールを有する。
セルがより小さくなるつれて、窒化物/酸化物(N/
O)のような従来の誘電体は、充分な記憶キャパシタン
スがほとんど得られなくなる。この要件は、N/O物質
が約7の低い誘電率を有するという事実による。一般
に、キャパシタンスを表現するするのに簡単な公式が使
用できる。すなわち、
【外1】 ここでCS は記憶セルキャパシタンスを表現し、Aは今
電算全表面積であり、tは誘電体膜の厚さであり、
【外2】 それぞれ真空と相対誘電率である。キャパシタンスを増
すために、多重のフィンとディスクを含む複雑なセル構
造が提案されて、複数のプレートと誘電体の間の表面積
を増加している。しかしながら、これらの複雑な構造
は、製作が困難で、従って、生産に適さない。
【0003】5酸化タンタル(Ta2 5 )、バリウム
ストロンチウムチタン酸塩(BST)、ストロンチウム
チタン酸塩(SrTiO3 )、鉛ジルコンチタン酸塩
(PZT)を含む高い誘電率(k)の物質を使用する記
憶コンデンサが、シンプルスタックドセル(STC)を
含む単純なセル構造のための誘電体として、提案されて
きた。これらの物質の高い誘電率は、充分な記憶キャパ
シタンスを与える。残念ながら、BST、SrTi
3 、PZTを含む高い誘電率の物質は、標準的な加工
技法を用いて形成することが困難である。例えば、誘電
体の構成を制御することは困難である。
【0004】
【発明が解決しようとする課題】約400の誘電率を有
するBSTに比べて、Ta2 5 は約25の誘電率を有
するが、Ta2 5 のコンデンサはBSTのコンデンサ
よりも、遙かに作りやすい。しかしながら、Ta2 5
のコンデンサは通常高密度の漏洩電流を有する。従っ
て、先行技術の諸問題の多くを克服する高誘電率コンデ
ンサを形成する工程への需要が存在する。
【0005】
【課題を解決するための手段】この発明は、改良された
誘電体物質を形成する方法を提供する。この物質は集積
回路コンデンサに使用可能であり、一方この集積回路コ
ンデンサは、ダイナミックランダムアクセスメモリ(D
RAM)を含む多様なデバイス内で使用できる。一面に
おいて、この発明は、誘電体沈積後の2ステップの焼き
なましを提案して誘電膜の特性を改良すると共に、この
誘電体と下にある導電対の間のインターフェイスを改良
する。この工程は、より低い漏洩電流濃度とより薄い実
効酸化物厚さに帰着し、これは誘電率の増加の結果であ
ると考えられている。
【0006】一つの実施例において、この発明は、誘電
層を処理する方法を提供する。第1に、O2 プラズマに
さらされている間に、誘電層が加熱される。その後に、
オゾン環境にさらされている間に、この誘電体が加熱さ
れる。この方法は、コンデンサ誘電体を形成するのに有
用であり得る。一方、このコンデンサは、DRAMメモ
リデバイス内で使用され得る。
【0007】
【発明の実施の形態】多くの実施例の製作と使用が、以
下に詳細に議論される。しかしながら、多様な特定の文
脈内で、実施可能である多くの応用可能な発明的思想
を、この発明が提供することを理解すべきである。特定
の実施例は、発明を製作し使用する特定の方法を例示的
にのみ議論されるが、この発明の範囲を限定すものでは
ない。
【0008】図1aは、第1実施例のDRAMセル(実
際は2つのセル)の単純化された図を図示し、この発明
の構造を使用したコンデンサを含む。図1bは図1aの
DRAMセルの概略図である。DRAMに詳しい人々に
は明らかであるように、図1aおよび図1bの示された
断面図と概略図は、従来技術に類似して見える。しかし
ながら、物質の構成およびこれらを構成する方法は、先
駆尾技術に比べて独自な、この発明を成している。
【0009】さて図1aと図1bを共に参照すると、各
DRAMセルは、コンデンサ12と直列に結合されたパ
ストランジスタ10を含む。パストランジスタ10のゲ
ート14は、メモリアレイのワード線WLの一つを含ん
でなる。(メモリアレイは図2aに関連して一層詳しく
説明されるであろう。)各パストランジスタ10の分割
されたソース/ドレイン領域16が、ビット線18へ結
合されている。もう一つのソース/ドレイン領域20
は、コンデンサ12の記憶ノード電極22へ結合されて
いる。コンデンサ12は、更に、誘電層24とセルプレ
ート26を含む。
【0010】一つのDRAMアレイの単純化された概略
図が、図2aに図示されている。図示のように、行およ
び列のアレイの中に、多数のメモリセルが構成され得
る。図2aは、6本のビット線BLと4本のワード線W
Lを示すのみだが、実際には、遙かに多くのアレイがあ
るだろう。各メモリセルのパストランジスタQは、ワー
ド線WLに結合されたゲートGと、(ビット線接触のた
めに)ビット線BLに結合されたソース/ドレイン領域
BLCがある。一つのパストランジスタQの転送ゲート
Gは、多数の他のパストランジスタのために、ワード線
WLへ電気的に接続されているであろう。
【0011】図2aは、メモリアレイに含まれるかも知
れない周辺回路のいくつかを図示する。例えば、ビット
線BLとBL(バー)の各組は、センスアンプSAへ結
合されている。ビット線BLとBL(バー)はまた、選
択トランジスタY0 −Y2 を通じて、入出力線I/Oと
I/O(バー)に接続されている。行デコーダ、列デコ
ーダ、アドレスバッファ、I/Oバッファなどの他の周
辺回路は、ここに図示されてない。この発明の目的のた
めに、メモリセルと制作方法は、メモリのアーキテクチ
ャから独立している。
【0012】例として、メモリアレイは、非同期メモリ
としても、同期メモリとしても設計し得る。同期メモリ
は、内部クロック(図示なし)または外部クロック(図
示なし)によりタイミングを決める。この装置は、単一
の外部データ端末または多数の外部データ端末(すなわ
ちワイドワード)を有し得る。このアレイは全部で4メ
ガビット、16メガビット、64メガビット、256メ
ガビット、1ギガビット、またはそれ以上を包含し得
る。
【0013】メモリデバイスの簡単化したブロック図を
図2bに示す。内部デバイス回路は、一つのアレイと周
辺回路を含む。このアレイは、デバイスのアーキテクチ
ャによって、多数のブロックに分割し得る。センスアン
プが、アレイブロック内に挿入され得る。
【0014】いくつかの外部端子が、図2bに図示され
ている。アドレス端子A0 、A1 、...、An が、行
および列のアドレスを受け取るために備えられている。
これらの端子はマルチプレクスされ得る(すなわち、第
1アドレスが第1回に用いられ、第2アドレスが第2回
に用いられる)。単一のデータ端子Dもまた図示されて
いる。この端子は、入力、出力、および入/出力を含ん
でなり得る。他のデータ端末もまた含まれ得る。例え
ば、ワイドワードデバイスは、多数のデータ端子を有し
得る。一般に、これらの端子は、アレイの外部の回路
(図示なし)から入力信号を受け取り、アレイの外部の
回路(図示なし)へ出力信号を供給するために備えられ
る。
【0015】図2bはまた、多数の制御/状態信号を図
示する。これらの信号は、メモリデバイスを作動するた
めに使用される。例えば。非同期メモリデバイスは、チ
ップセレクト、行アドレスストローブ、および列アドレ
スストローブ信号を加えることにより作動される。他の
信号が、読みまたは書き作動が行われているかどうかを
指示するかも知れない。同期デバイスにおいては、制御
信号の一つは、クロックであり得る。状態信号が、デバ
イスについて、外部へ情報を提供し得る。例えば、リフ
レッシュ動作を実行中であるか、または、アレイのどの
部分がアクセスされているかを示す信号を、デバイスが
含み得る。
【0016】この発明のメモリアレイが、より大きな集
積回路デバイスの中に埋め込まれることもあり得る。埋
め込みメモリは、相当な量のメモリとして、同一の集積
回路上にあるメモリアレイとその関連する制御回路であ
る。図2cは、埋め込みメモリの簡単なブロック図を図
示するために収録した。この例において、DRAMアレ
イがプロセッサ(例えば、マイクロプロセッサ、ディジ
タル信号プロセッサ、特殊プロセッサ、マイクロコント
ローラ)、もう一つのメモリアレイ(例えば、SRA
M、EPROM、EEPROM、フラッシュメモリ、P
ROM、ROMなどの不揮発性メモリ、もう一つのDR
AMアレイ)およびその他の回路と一緒に含まれてい
る。これらの特定のブロックは、含まれるかも知れない
他の非常にさまざまな論理回路を図示するために選ばれ
た。デバイスのあらゆる組み合わせが選ばれ得る。
【0017】DRAMに応用されたとき、この発明は、
改良された記憶コンデンサ12に関係する。従来技術の
キャパシタンスは、コンデンサ12の2つのプレート2
2と26の間の表面積を増加することにより、またはセ
ルコンデンサ誘電体24に高誘電率(高kまたはHD
C)物質を使用することにより、増加されてきた。5酸
化タンタル(Ta2 5 )、バリウムストロンチウムチ
タン酸塩すなわち簡単にBST(Ba1-x SrxTiO
3 )、ストロンチウムチタン酸塩(SrTiO3)、鉛
ジルコンチタン酸塩すなわち簡単にPZT(Pb1-x
rxTiO3 )が、単純なスタックセル(STC)のよ
うな単純なセル構造のために提案されてきた。同時係属
中の特許出願番号60/037,247(TI−215
37)および60/036,998(TI−2197
3)は、高誘電物質とともに使用し得る非プレーナコン
デンサを開示する。これらの開示のいずれのコンデンサ
も、ここで使用し得る。
【0018】DRAMを形成する一つの方法を、これか
ら図3aないし3iを参照して説明する。この方法は、
この発明がDRAM加工に流れの中に如何に容易に組込
まれ得るかを例示するために収録される。
【0019】図3aを参照すると、DRAM装置5が半
導体基板30内に形成される。この基板はp型基板であ
ることが望ましいが、代わりにn型基板も使用できる。
その上、基板30は、全然基板でなくても良い。この文
脈において、基板は、基板、エピタキシャル成長層、他
の層の中に形成されたウェル(またはタブまたはタン
ク)、絶縁体(例えばSOI、SOS)上に形成された
半導体層、またはいずれかの他の半導体領域であって良
い。
【0020】図3aは電界アイソレーション領域32と
4つのワード線/パスゲート14を図示する。電界アイ
ソレーション32で図示するが、トレンチアイソレーシ
ョンなどの他のアイソレーション技法も使用できる。領
域14bと14cはこれらの図に図示される2つのメモ
リセルのゲートを形成する。ワード線14aと14d
は、他方、デバイスの他の行にあるゲートのためのパス
トランジスタとしてはたらく。ゲート領域14は、好ま
しくは、ドープしたポリシリコンを含んでなるが、しか
し、金属またはポリシリコンのスタックした層および金
属も使用できる。
【0021】好ましい実施例において、各ワード線14
は窒化物(例えばSi3 4 )領域34に囲まれてい
る。この領域34は、後述するが、自己整列接点(SA
C)腐食工程のために使用できる。
【0022】さて図3bを参照すると、デバイス上に、
絶縁層36が形成される。好ましい実施例において、絶
縁層36は、BPSG(硼素燐珪酸塩ガラス)層上に酸
化物層(例えばテトラエチルオキシレンすなわちTEO
Sの分解から形成される)を含んでなる。TEOSから
形成された酸化物は、絶縁破壊、漏洩、密度に関して、
BPSGよりも良い酸化物特性を有する。従って、好ま
しい実施例において、BPSGの上にTEOSから形成
された酸化物が沈積される。
【0023】絶縁層36の上にプラグ38が形成され
る。記憶プレートプラグ38は、例えば標準的なパター
ニングまたはエッチングから形成される。一例では、こ
のプラグは、自己整列接点腐食を使用して形成される。
この工程で、窒化物領域34を除去せずに酸化物領域3
6を除去する腐食剤が、接点上のこの領域に導かれる。
この腐食剤はそれから、ワード線14を露出せずに、基
板30を露出する。このようにして、ワード線14を電
気的に短絡せずに、プラグ38を形成できる。プラグ3
8の材料は、ドープしたポリシリコンまたは金属でよ
い。プラグの性質は、この発明に重大なものではない。
【0024】図3Cに、ビット線領域18が形成され
る。図示のように、この例において、製作された二つの
メモリセルが、1本のビット線を共有している(電気的
な概略図については図2aを参照)。この発明に重大な
ものではないが、ビット線18は、シリコンまたは金属
のようなどんな導体物質をも含んでなり得る。
【0025】さて図3dを参照すると、コンデンサの記
憶ノード22の形成が続いている。ビット線18の上
に、絶縁層40が形成される。標準的なパターニングと
エッチングの技法を使用して、絶縁層40を貫通して接
点孔が形成され、プラグ38を露出する。図示されてい
ないが、自己整列接点腐食が使用され得る。その次に、
もう一つの導体層、すなわち、基礎記憶ノード22が、
絶縁層の上に接点プラグ38へ接触するように形成され
る。
【0026】好ましい実施例において、基礎記憶ノード
層22’が、絶縁層40とプラグ38の上に沈積され
る。基礎金属層22’は、例えば化学蒸着(CVD)、
物理蒸着(PVD)またはプラズマ強化化学蒸着(PE
CVD)により形成できる。好ましい実施例において、
記憶ノード層22’は、酸化抵抗が比較的に良く、高い
仕事関数を有する。基礎記憶ノード金属層22’のため
に好ましい物質は、表1にリストされている。しかしな
がら、他の金属を代わりに使用できることを注意すべき
である。例えば、ルテニウム(Ru)、イリジウム(I
r)、モリブデン(Mo)のような他の遷移金属、W/
TiN、W/WSix 、Six /TiN、WSi
X y 、TaSix 、TaSix y 、TiSix 、T
iSix y のような珪素化合物、また酸化ルテニウム
(RuO2 )、酸化イリジウム(IrO2)、および
(La,Sr)CoO3 を含む導電性酸化物材料が、基
礎記憶ノード層22’に使用し得る。基礎記憶ノード層
22’は、プラグ38と同一の物質で形成できるが、こ
れは要件ではない。例えば、基礎金属層22’は、ポリ
シリコンのプラグ38の上に形成できる。
【0027】さて図3eを参照すると、犠牲層42が記
憶ノード層22’の上に形成されている。この層42の
構成は、(図3gに示すように)次の工程で除去される
ので、重大なものではない。好ましい実施例において、
酸化物(例えばSiO2 )を犠牲層42に使用できる。
フォトレジスト(図示なし)を使用して、パターン付け
した犠牲層42をハードマスクにすることができる。こ
のマスク42は、図3eに示すように、それから基礎金
属層22’を腐食するのに使用できる。このマスキング
層42は、記憶ノード22の一部となる層22’の部分
を保護するように、パターン付けされる。
【0028】さて図3fを参照すると、第2導体層2
2”が、この構造の上に形成されている。好ましい実施
例において、層22”は、等角的に沈積されたポリシリ
コン材料で、ダミー層42を取り囲むものを含んでな
る。この導体層22”は、好ましくは犠牲領域42の上
面と側面を取り囲む。導体層22”のための選択は、基
礎層22’のために上にリストしたものと同一である。
好ましい実施例において、導体層22”は、基礎層2
2’と同一の物質を含んでなる。しかしながら、この特
徴は、要件ではない。言い換えれば、導体層22”は、
基礎層22’と異なる物質を含んでなっても良い。
【0029】さて図3fとともに図3gを参照すると、
犠牲領域42の上面の上の導体層22”の一部分が除去
されている。この除去により犠牲領域22’の上面が露
出するが、犠牲領域の側面に金属層22’の一部分が残
る。好ましい実施例において、この除去ステップは、金
属層22’の異方性エッチバック(etch−bac
k)により遂行される。犠牲領域42はそれから除去さ
れて、円筒型の記憶ノード22が残る。好ましい実施例
において、記憶ノード22は、丸味を帯びた縁を有する
長い長方形である。しかしながら、犠牲層22のパター
ン付けの選択により、いかなる形も創造し得る。
【0030】記憶ノード22を形成する具体的な方法
は、同時係属中の出願番号60/037,247(TI
−21537)および60/036,998(TI−2
1973)に説明されている。例えば、第1の同時出願
において、記憶ノードは、好ましくはポリシリコンで、
自己整列珪素化合物で処理され、それから窒化される。
第2の同時係属出願において、記憶ノードは金属から形
成され、それから窒化される。これらの実施例のいずれ
も使用できるし、記憶ノードを形成する他のどんな実施
例も使用できる。
【0031】マルチプルクラウン記憶ノードが同時係属
中の出願番号60/033,722(TI−2170
4)に開示されている。この構造は、これまで説明した
構造の代わりに使用できる。
【0032】さて図3hを参照すると、誘電層24が記
憶ノード22の上に形成され得る。誘電層24は、酸化
物、窒化物、またはこの二つの化合物(例えば、酸化物
−窒化物−酸化物または酸化物窒化物スタックまたは酸
化窒化物)。この発明はまた5酸化タンタル(Ta2
5 )、バリウムストロンチウムチタン酸塩すなわち簡単
にBST(Ba1-x SrxTiO3 )、ストロンチウム
チタン酸塩(SrTiO3 )、ストロンチウムビスマス
タンタル酸塩すなわち簡単にSBT、鉛ジルコンチタン
酸塩すなわち簡単にPZT(Pb1-x ZrxTiO3
を含む多様な他の誘電体を使用することもできる。好ま
しい実施例において、高誘電率物質(すなわち、k≧−
20)が使用される。例えば、ある実施例において、約
15nmのTa2 5 が約400゜Cで沈積される。
【0033】誘電層24が形成された後に、2ステップ
の焼きなましが遂行される。この2ステップの誘電体沈
積後焼きなましは、実効酸化物厚さ(Teff )と漏洩電
流密度を含むコンデンサの電気的性能を改良する。この
2ステップの誘電体沈積後焼きなましは、典型的に沈積
したての(as−deposited)フィルムに応用
される。第1の焼きなましは、好ましくはO2 プラズマ
で、O2 イオンを活動的にして、沈積したての誘電体フ
ィルムに当てて酸素の集中を高め、この沈積したばかり
の誘電体フィルム上に残された炭化水素を分解する。第
2の焼きなましは、好ましくはオゾン焼きなましで、紫
外線放射付きまたは無しで、酸素プラズマで導かれた損
傷と残留炭化水素の除去に使用される。
【0034】誘電層の化学的構成は、先行技術の誘電体
に比較して独自なものである。例えば、5酸化タンタル
誘電体が形成された例を考えて見よ。典型的に沈積した
ばかりのTa2 x は、僅かに酸素不足である(すなわ
ちx<5)。しかしながら、この発明の2ステップの焼
きなまし工程は、沈積したばかりのTa2 x を、化学
式通り(x=5)に、または僅かに酸素を多く含む(す
なわちx>5)ものにできる。
【0035】好ましい実施例において、誘電体層24の
結晶構造は、変化しない。プラズマ処理とオゾン焼きな
ましの両方が約20゜Cから約350゜Cまでの範囲内
の温度で遂行されるので、それはアモルファス相のまま
である。しかしながら、結晶構造がアモルファスのまま
であっても、酸素の含有量が増加するために、密度は改
良され得る。
【0036】代案の実施例において、オゾン焼きなまし
は、結晶化焼きなましの後に遂行される。結晶化焼きな
ましは、アモルファス相を結晶の(例えば多結晶の)焼
きなましに変換する。例えば誘電体層24は、O2 また
はN2 O環境内で約700゜Cの温度にまで加熱され得
る。焼きなましは、炉内焼きなましまたは急熱(rap
id thermal)焼きなましとして遂行できる。
この追加のステップは、5酸化タンタルのコンデンサ性
能を改良し、特に、金属−絶縁体−半導体コンデンサの
性能を改良する。
【0037】前記2ステップ焼きなまし工程は、半導
体、セラミック、または金属のボトム電極22上に沈積
したばかりのアモルファスTa2 5 フィルム24に応
用され得る。更に注意すべきことは、この発明がBS
T、PZT、SBT、SrTiO 3 、NO、ONO、ま
たはその他のような他の誘電物質へ応用できることであ
る。この発明は、特に、金属有機資源から生じた誘電体
に有用である。
【0038】図3iに例示するように、上部電極26が
誘電体層24の上に形成される。電極26は、ほぼあら
ゆる導電物質を含んでなり得る。唯一の基準は、物理的
に(例えば、粘着性で、熱的に)誘電物質24と適合す
ることである。例えば、電極26は、Si(例えばポリ
シリコン)、金属(例えば、Al、Cu、Pd、Pt、
Ru、Au、Ag、Ta、Ti、Mo、W)、珪素化合
物(例えば、TaSi x 、TiSix 、AlSix 、C
oSix 、WSix 、MoSix 、合金珪素化合物)、
導電性窒素化合物(例えば、TiN、TiAlN、Ta
SiN、WSiN、WN、MoN、RuN、SnN、Z
rN)、導電性酸化物(例えば、RuO 2 、SnO、Z
O、IrO)、または他の導電物質(例えば、タンタル
カーバイドのようなカーバイド、硼化チタンのような硼
素化合物)であり得る。
【0039】注意すべきことは、DRAMデバイスが完
成する前に多数の追加のステップが必要なことである。
例えば、相互接続のために追加の金属層が使用され得
る。また、このドライブは保護コートと包装を必要とす
る。これらのステップはこの発明にとって重大ではない
ので、それらの存在の確認以上のことはここには説明し
ない。
【0040】この発明の記憶コンデンサを形成するため
の材料と工程のオプションを表1に要約する。注意すべ
きは、表1は、この発明の例のいくつかをリストするだ
けで、全ての可能な実施例の網羅を意図しないことであ
る。
【表1】
【0041】この発明の諸実施例は、実験により製作さ
れた。はじめに、N型Si(100)ウエハ30が、T
iN/スパッタードW/WN/Ta2 5 /Auで加工
された。加工の詳細は、上記および同時係属し併合した
特許出願番号第037,247号(TI−21537)
および特許出願番号第036,998号(TI−219
73)に見いだされる。Ta2 5 を沈積した後に、O
2 プラズマとO3 焼きなましの両方が遂行される。それ
から、単純なプレーナコンデンサの評価のために、金が
Ta2 5 誘電体上へ蒸着された。誘電体24と底部電
極22の間のインターフェイスは、Ta2 5 加工中に
酸化に最も影響されるので、電圧に対する漏洩電流密度
が測定されて、臨界電圧Vcが決定される。これらの目
的のために、臨界電圧Vcが、漏洩電流密度10-8A/
cm2 との電圧として、定義される。酸化物の実効厚さ
eff は、方程式
【外3】 から導出および測定され、ここでキャパシタンス濃度C
/AはC−V測定から得られ、
【外4】 は真空誘電率、3.9は2酸化シリコンの誘電率であ
る。
【0042】CVDTa2 5 フィルムの種々な沈積条
件から製作されるプレーナコンデンサの電気的測定の結
果が、表2にリストされている。典型的に、CVDTa
2 5 フィルムは、酸素の空格子点からの欠陥、残留炭
化水素、底部電極の低い仕事関数に関連する高い漏洩を
有する。底部電極の低い仕事関数は、Ta2 5 金属絶
縁金属(MIM)コンデンサのためのショットキー放出
漏洩メカニズムにより、高い漏洩を結果する。明らか
に、Ta2 5 沈積に続くO2 プラズマ処理だけでは、
良好な電気的効率を結果しない。しかしながら、O2
ラズマ処理とO3焼きなましの両方の組み合わせは、コ
ンデンサの漏洩を劇的に減少する。この現象は、一方、
臨界電圧を増加する。256メガDRAMのような高密
度DRAMアプリケーションのためには、臨界伝達は
1.0Vまたはそれ以上になるかも知れない。その上、
eff もまた減少するが、これは恐らく、Ta2 5
電率の増加と底部電極インターフェイスの改良による。
2ステップの焼きなましが、Ta2 5 コンデンサを漏
洩の要件に到達させる。従って、Ta2 5 コンデンサ
を、256メガビットまたはそれ以上の密度のDRAM
に応用できる。
【表2】
【0043】図4は、更なる実験の結果の一覧表を提供
する。40個の実験的デバイスが製作された。これらの
各々は、図の上部を横切ってリストされている。これら
のウエハの各々は、少なくとも6つの処理ステップ、す
なわち、1.)ウエハ、2.)タングステン形成、
3.)窒化物形成、4.)Ta2 5 沈積、5.)O2
プラズマ、6.)金蒸着、を通る。これらの処理は図の
下と横にリストされている。いくつかのステップは、一
つよりも多いオプションを含む。丸の中を塗りつぶして
あるのは、40個のウエハの各々のために、どのオプシ
ョンが使用されたかを示す。
【0044】この図の記載を調べると、タングステンの
底部電極は3つの方法、すなわち、スパッタリング、化
学蒸着、WF6 ガスを使う置換工程で形成された。この
工程で、WF6 +Si−>W+SiFx の反応中にシリ
コンはタングステンに置換され、ここでSiFx は、気
相中でポンプで出される。窒化物形成ステップは、急速
熱窒化、窒素プラズマ、またはアンモニアプラズマであ
り得る。
【0045】実験の結果は、表3に製表されている。
【表3】
【0046】フィジビリティスタデイから、表面窒化W
Nを使用する底部電極付きの全てのコンデンサは、W底
部電極を使用するコンデンサに比べて、かなりの増加+
c(=+V∫Teff )の結果になった。このNH3
化WNは、O3 焼きなましなしで4.2MV/cmの最
高の+Ec を与えた。O3 焼きなまし付きで、8MV/
cmの最高値を、+Ec が有した。従って、フィジビリ
ティスタデイと補外法に基づいて、生産品質のデバイス
は、+Ec =5.0MV/cm(+1.0Vc/2.0
nm)の要件を充たし得るはずである。
【0047】この実験はまた、700゜Cで1分間、N
3 ガスを使用するRTNが、W底部電極上にスパッタ
した一様な〜3.0nm表面WNを結果として生じた。
この結果は、表面窒化が堅固で製造可能な工程であるこ
とを示す。
【0048】HFウエットクリーニングおよびプレクリ
ーニングのためにもとの場所に(in−situ)H2
プラズマを使用しての、300゜Cでの置換Wは、他の
処理温度およびプレクリーニング法に比較して、虫穴形
成、粗さ、W厚みに関して、最良のW層を有する。しか
しながら、300゜Cでの置換Wでも、製造のアプリケ
ーションにおいて重要な限界となるかも知れないかなり
の虫穴と浸食を有する。この限界を克服するためには、
接着層付きの金属SNを使用し得る。
【0049】MIMコンデンサについての漏洩導電の機
械学的な研究も遂行された。これらの研究は、Ta2
5 MIMコンデンサについてのショットキー放出を確認
し、これにより、WNとWSiNの使用が高い仕事関数
と酸化抵抗を供給するとの提案を実証した。O3-焼きな
まし効果は、更になお評価中であるが、初期の結果はO
3-焼きなまし効果がショットキーバリア高さと誘電率を
増し、恐らくそれは酸素濃度の増加によることを示して
いる。従って、O3-焼きなましは、Teff と共に漏洩を
減少する。O2 プラズマとO3 焼きなましの2ステップ
焼きなましは、O2 プラズマからTa2 5 フィルムへ
提供される高エネルギー酸素と、低分解エネルギーバリ
アにより酸素を更に供給し、O2 プラズマにより引き起
こされた損傷を焼きなまし尽くすためのO3-焼きなまし
の、両方の長所を有している。
【0050】この発明の方法と構造は、多様なアプリケ
ーションに使用できる集積回路コンデンサを提供する。
これまでダイナミックランダムアクセスメモリ(DRA
M)の文脈内で説明してきたが、アナログディジタル
(A/D)変換器、ディジタルアナログ(D/A)変換
器、またはほぼあらゆる他の集積回路チップのためのコ
ンデンサを形成するためにも、この発明を使用できる。
【0051】図示の実施例を参照してこの発明を説明し
てきたが、この説明は限定的に解釈されることを意図し
ない。例示の実施例のさまざまな修正と組み合わせが、
この発明の他の実施例と共に、明細書を参照すれば当業
者に明白であろう。従って、前記の特許請求の範囲は、
そうしたあらゆる修正と実施例を網羅することを意図し
ている。
【0052】以上の説明に関し更に以下の項を開示す
る。
【0053】(1) 集積回路コンデンサを形成する方
法であって、記憶ノード電極を形成するステップと、前
記記憶ノード電極上に誘電層を沈積するステップと、前
記誘電層を沈積した後にO2 プラズマ焼きなましを遂行
するステップと、前記O2 プラズマ焼きなましを遂行し
た後にオゾン焼きなましを遂行するステップと、前記誘
電層の上に上部電極を沈積するステップを含んでなる、
前記方法。
【0054】(2) 前記記憶ノード電極を形成するス
テップは、シリコン記憶ノード電極の形成を含んでなる
第1項記載の方法。
【0055】(3) 前記記憶ノード電極を形成するス
テップは、金属記憶ノード電極の形成を含んでなる第1
項記載の方法。
【0056】(4) 前記記憶ノード電極を形成するス
テップは、セラミック記憶ノード電極の形成を含んでな
る第1項記載の方法。
【0057】(5) 前記誘電層を沈積するステップ
は、5酸化タンタルを沈積することを含んでなる第1項
記載の方法。
【0058】(6) 前記誘電層を沈積するステップ
は、鉛ジルコンチタン酸塩を沈積することを含んでなる
第1項記載の方法。
【0059】(7) 前記誘電層を沈積するステップ
は、バリウムストロンチウムチタン酸塩を沈積すること
を含んでなる第1項記載の方法。
【0060】(8) 前記誘電層を沈積するステップ
は、ストロンチウムチタン酸塩を沈積することを含んで
なる第1項記載の方法。
【0061】(9) 前記誘電層を沈積するステップ
は、高誘電率金属を沈積することを含んでなる第1項記
載の方法。
【0062】(10) 前記誘電層を沈積するステップ
は、金属有機資源から得られた誘電体を沈積することを
含んでなる第1項記載の方法。
【0063】(11) 前記オゾン焼きなましは紫外線
照射に直面して遂行されることを含んでなる第1項記載
の方法。
【0064】(12) 前記オゾン焼きなましは紫外線
照射なしに遂行されることを含んでなる第1項記載の方
法。
【0065】(13) 前記オゾン焼きなましの遂行に
先立って結晶化焼きなましを遂行することを更に含んで
なる第1項記載の方法。
【0066】(14) 第1項記載の方法で形成された
集積回路コンデンサ。
【0067】(15) 集積回路を形成する方法であっ
て、高誘電率物質の層を供給するステップと、前記層を
2 プラズマにさらす間に前記層を焼きなますステップ
と、それから、前記層をオゾン環境にさらす間に前記層
を焼きなますステップとを含んでなる、前記方法。
【0068】(16) 前記高誘電率物質は、5酸化タ
ンタルを含んでなる第15項記載の方法。
【0069】(17) 前記高誘電率物質は、鉛ジルコ
ニウムチタン酸塩、バリウムストロンチウムチタン酸
塩、ストロンチウムビスマスタンタル酸塩、ストロンチ
ウムチタン酸塩からなるグループから選ばれた第15項
記載の方法。
【0070】(18) 前記高誘電率物質は、金属有機
資源により形成された第15項記載の方法。
【0071】(19) 前記層が、O2 プラズマにさら
されている間に約20゜Cから350゜Cの間の温度で
焼きなまされる第15項記載の方法。
【0072】(20) 前記層が、オゾン環境にさらさ
れている間に約20゜Cから350゜Cの間の温度で焼
きなまされる第15項記載の方法。
【0073】(21) 前記オゾン焼きなましの間に紫
外線照射が誘電体へ向けられている第15項記載の方
法。
【0074】(22) 前記層をオゾン環境にさらす間
に前記層の焼きなましに先だって、結晶化焼きなましを
遂行するステップを更に含んでなる第15項記載の方
法。
【0075】(23) 前記層をオゾン環境にさらす間
に前記層の焼きなましに先だって、前記層を少なくとも
700゜Cの温度まで加熱するステップを更に含んでな
る第15項記載の方法。
【0076】(24) 前記層を少なくとも700゜C
の温度まで加熱するステップは、前記層をO2 プラズマ
にさらす間に前記層の焼きなましした後に遂行される第
15項記載の方法。
【0077】(25) ダイナミックランダムアクセス
メモリセルを形成する方法であって、半導体層の表面に
複数のパストランジスタを行および列に形成し、各パス
トランジスタは一つのゲートと第1および第2のドープ
された領域を含むステップと、各メモリについて、一つ
のビット線を前記第1のドープされた領域に結合するス
テップと、各メモリについて、前記第2のドープされた
領域に電気的に結合された記憶ノードを形成するステッ
プと、各メモリについて、前記記憶ノードの上に誘電層
を形成するステップと、各メモリについて、前記誘電層
をO2 プラズマ内で焼きなましするステップと、各メモ
リについて、前記誘電層をオゾン環境内で焼きなましす
るステップと、各メモリについて、前記誘電層上にセル
プレート電極を形成するステップと、を含んでなる前記
方法。
【0078】(26) 前記誘電体は、5酸化タンタル
である第25項の方法。
【0079】(27) 前記各パストランジスタの前記
第1のドープされた領域は、隣接のパストランジスタと
共有されている第25項の方法。
【0080】(28) 前記各パストランジスタを形成
するステップは、少なくとも2億5600万のパストラ
ンジスタを形成することを含んでなる第25項の方法。
【0081】(29) 集積回路を形成する方法であっ
て、酸化物層を沈積し、前記酸化物層は高誘電率を有す
るステップと、酸化物層の酸素含有量を高めるステップ
と、酸化物層を焼きなますステップとを含んでなる、前
記方法。
【0082】(30) 酸化物層を沈積するステップ
は、Tax y 沈積を含んでなり、xはほぼ2に等し
く、yは5よりも少ないステップと、酸素含有量を高め
るステップは、Tax z 層を結果とし、zは5以上で
ある、第29項の方法。
【0083】(31) 酸素含有量を高めるステップ
は、前記酸化物層をO2 プラズマにさらすことを含んで
なる第29項の方法。
【0084】(32) 前記酸化物層を焼きなますステ
ップは、オゾン環境で遂行される第31項の方法。
【0085】(33) 酸化物層を沈積する前記ステッ
プは、化学蒸着ステップを含んでなり、前記酸化層から
炭化水素を除去するステップを更に含んでなる第29項
の方法。
【0086】(34) 前記炭化物層はアモルファス状
態で沈積され、前記酸化物層が結晶化するように加熱す
るステップを更に含んでなる第29項の方法。
【0087】(35) ダイナミックランダムアクセス
メモリであって、第1および第2のドープされた領域と
一つのパスゲートを有するパストランジスタと、第1の
ドープされた領域に結合されたコンデンサを含んでな
り、前記コンデンサは、第1のドープされた領域に結合
された記憶ノード電極と、セルプレート電極と、前記記
憶ノードと前記セルプレート電極の間に配置された誘電
層を含んでなり、前記誘電層はTax y から形成さ
れ、xはほぼ2に等しく、yはほぼ5よりも大きい、前
記ダイナミックランダムアクセスメモリ。
【0088】(36) 前記メモリデバイスは少なくと
も2億5600万のパストランジスタおよび少なくとも
2億5600万のコンデンサを含み、各パストランジス
タはそれぞれのコンデンサへ結合されている第35項の
デバイス。
【0089】(37) 前記コンデンサは1よりも大き
い臨界電圧を有する第36項のデバイス。
【0090】(38) 前記コンデンサは6よりも小さ
い実効酸化物厚さ(Teff )を有する第36項のデバイ
ス。
【0091】(39) 前記誘電層はアモルファス状態
にある第35項のデバイス。
【0092】(40) 前記誘電層は結晶状態にある第
35項のデバイス。
【0093】(41) 一つの実施例において、この発
明は、誘電層24を処理する方法を提供する。最初に、
誘電層が加熱されると同時に、O2 プラズマにさらされ
る。その後で、前記誘電層が加熱されると同時に、オゾ
ン環境にさらされる。この方法は、コンデンサ12の誘
電体24の形成に有用である。一方、このコンデンサ
は、DRAMメモリデバイス中で使用できる。
【0094】
【関連特許出願】下記の共に譲渡された特許出願は、こ
の発明に関連しているので、ここに参考文献として組込
む。 出願番号 出願日 代理人整理番号 60/037,247 1997年1月31日 TI−21537 60/036,998 1997年1月31日 TI−21973 60/033,722 1996年12月20日 TI−21704
【図面の簡単な説明】
前記のこの発明の特徴は、添付の図面を参照して、上記
の説明を考察することにより、一層明らかになる。
【図1】aは、この発明の構造を使用し得るDRAMセ
ルの断面図である。bは、図1aの構造の概略図であ
る。
【図2】この発明のコンデンサを使用し得るDRAM回
路の略図である。
【図3】この発明のコンデンサを使用するDRAM形成
工程の一つの流れを説明する断面図である。
【図4】この発明を使用して製作されたコンデンサの実
験テストを詳述する一覧表である。
【符号の説明】
10 パストランジシタ 12 コンデンサ 22 記憶ノード電極 24 誘電層 26 上部電極
フロントページの続き (72)発明者 イイジマ シンペイ 東京都昭島市玉川町3−1−18 (72)発明者 浅野 勇 埼玉県入間市下藤沢1314−3 ダイア プ レース 804 (72)発明者 クニトモ マサト 東京都青梅市野上町657−5 (72)発明者 タマル ツヨシ 東京都青梅市新町839 (72)発明者 ウィリアム アール.マッキー アメリカ合衆国テキサス州プラノ,パピー ト 1529

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 集積回路コンデンサを形成する方法であ
    って、 記憶ノード電極を形成するステップと、 前記記憶ノード電極上に誘電層を沈積するステップと、 前記誘電層を沈積した後にO2 プラズマ焼きなましを遂
    行するステップと、 前記O2 プラズマ焼きなましを遂行した後にオゾン焼き
    なましを遂行するステップと、 前記誘電層の上に上部電極を沈積するステップを含んで
    なる、前記方法。
  2. 【請求項2】 請求項1の方法で形成された集積回路コ
    ンデンサ。
JP10021287A 1997-01-31 1998-02-02 集積回路構造の製造方法および集積回路 Pending JPH10223865A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3648197P 1997-01-31 1997-01-31
US036481 1997-01-31

Publications (1)

Publication Number Publication Date
JPH10223865A true JPH10223865A (ja) 1998-08-21

Family

ID=21888830

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10021287A Pending JPH10223865A (ja) 1997-01-31 1998-02-02 集積回路構造の製造方法および集積回路

Country Status (6)

Country Link
US (1) US6096597A (ja)
EP (1) EP0860868B1 (ja)
JP (1) JPH10223865A (ja)
KR (1) KR19980070915A (ja)
AT (1) ATE413690T1 (ja)
DE (1) DE69840184D1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100379526B1 (ko) * 2000-12-21 2003-04-10 주식회사 하이닉스반도체 반도체소자의 커패시터 제조방법
JP2007306008A (ja) * 2001-06-01 2007-11-22 Internatl Business Mach Corp <Ibm> 耐熱金属−ケイ素−窒素キャパシタを形成する方法及びその構造

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555394B2 (en) 1995-11-28 2003-04-29 Samsung Electronics Co., Ltd. Methods of fabricating capacitors including Ta2O5 layers in a chamber including changing a Ta2O5 layer to heater separation or chamber pressure
TW463288B (en) * 1997-05-20 2001-11-11 Nanya Technology Corp Manufacturing method for cup-like capacitor
US5910880A (en) 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
TW411615B (en) * 1997-12-04 2000-11-11 Fujitsu Ltd Semiconductor device and method of manufacturing the same
US6191443B1 (en) 1998-02-28 2001-02-20 Micron Technology, Inc. Capacitors, methods of forming capacitors, and DRAM memory cells
US6730559B2 (en) 1998-04-10 2004-05-04 Micron Technology, Inc. Capacitors and methods of forming capacitors
US6475912B1 (en) 1998-06-01 2002-11-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield
TW386307B (en) * 1998-09-11 2000-04-01 United Microelectronics Corp Method of producing DRAM capacitor
US6204203B1 (en) 1998-10-14 2001-03-20 Applied Materials, Inc. Post deposition treatment of dielectric films for interface control
KR20000042480A (ko) * 1998-12-24 2000-07-15 김영환 반도체소자의 캐패시터 형성방법
US6387748B1 (en) 1999-02-16 2002-05-14 Micron Technology, Inc. Semiconductor circuit constructions, capacitor constructions, and methods of forming semiconductor circuit constructions and capacitor constructions
FR2797999B1 (fr) * 1999-08-31 2003-08-08 St Microelectronics Sa Procede de fabrication d'une capacite integree sur un substrat de silicium
KR20010061314A (ko) * 1999-12-28 2001-07-07 박종섭 반도체 소자의 강유전체 캐패시터 형성방법
KR100555494B1 (ko) * 2000-02-21 2006-03-03 삼성전자주식회사 오존 어닐링 공정을 이용한 반도체 장치의 커패시터제조방법
US7005695B1 (en) * 2000-02-23 2006-02-28 Micron Technology, Inc. Integrated circuitry including a capacitor with an amorphous and a crystalline high K capacitor dielectric region
DE10008617A1 (de) * 2000-02-24 2001-09-06 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Schicht
US6686298B1 (en) 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6660657B1 (en) 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
US6555487B1 (en) 2000-08-31 2003-04-29 Micron Technology, Inc. Method of selective oxidation conditions for dielectric conditioning
US6930041B2 (en) * 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
KR100399075B1 (ko) * 2000-12-08 2003-09-26 주식회사 하이닉스반도체 반도체 소자의 강유전체 캐패시터 형성방법
KR100476374B1 (ko) * 2000-12-26 2005-03-16 주식회사 하이닉스반도체 반도체소자 제조 방법
KR100400246B1 (ko) * 2000-12-29 2003-10-01 주식회사 하이닉스반도체 고집적 디램용 셀 커패시터의 제조방법
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6797557B2 (en) * 2001-10-11 2004-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems for forming embedded DRAM for an MIM capacitor
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
KR101153978B1 (ko) 2002-03-26 2012-06-14 카부시키카이샤 시.브이.리서어치 비결정질 금속 산화막의 제조 방법 및 비결정질 금속산화막을 가지는 커패시턴스 소자와 반도체 장치를제조하는 방법
US6841824B2 (en) 2002-09-04 2005-01-11 Infineon Technologies Ag Flash memory cell and the method of making separate sidewall oxidation
US6649456B1 (en) * 2002-10-16 2003-11-18 Taiwan Semiconductor Manufacturing Company SRAM cell design for soft error rate immunity
US6821868B2 (en) * 2002-12-27 2004-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming nitrogen enriched gate dielectric with low effective oxide thickness
US6720232B1 (en) * 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
KR100552701B1 (ko) * 2003-11-24 2006-02-20 삼성전자주식회사 전하-쌍극자가 결합된 정보 저장 매체 및 그 제조 방법
US7271083B2 (en) * 2004-07-22 2007-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. One-transistor random access memory technology compatible with metal gate process
US7679130B2 (en) 2005-05-10 2010-03-16 Infineon Technologies Ag Deep trench isolation structures and methods of formation thereof
KR100646524B1 (ko) * 2005-12-28 2006-11-15 동부일렉트로닉스 주식회사 반도체 장치 제조 방법
US8813325B2 (en) 2011-04-12 2014-08-26 Intermolecular, Inc. Method for fabricating a DRAM capacitor
US8815677B2 (en) 2011-06-14 2014-08-26 Intermolecular, Inc. Method of processing MIM capacitors to reduce leakage current
US9871044B2 (en) * 2015-11-06 2018-01-16 Micron Technology, Inc. Enhanced charge storage materials, related semiconductor memory cells and semiconductor devices, and related systems and methods
US9530834B1 (en) 2015-12-13 2016-12-27 United Microelectronics Corp. Capacitor and method for fabricating the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201798A (en) * 1976-11-10 1980-05-06 Solarex Corporation Method of applying an antireflective coating to a solar cell
US5142438A (en) * 1991-11-15 1992-08-25 Micron Technology, Inc. Dram cell having a stacked capacitor with a tantalum lower plate, a tantalum oxide dielectric layer, and a silicide buried contact
JP2679599B2 (ja) * 1993-12-02 1997-11-19 日本電気株式会社 半導体装置の製造方法
JPH07161931A (ja) * 1993-12-02 1995-06-23 Nec Corp 半導体装置の製造方法
US5468687A (en) * 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
KR100360468B1 (ko) * 1995-03-20 2003-01-24 삼성전자 주식회사 강유전성박막제조방법및이를적용한캐패시터및그제조방법
JP2741745B2 (ja) * 1995-03-24 1998-04-22 工業技術院長 半導体電極形成方法および装置
KR0183732B1 (ko) * 1995-09-01 1999-03-20 김광호 반도체 장치의 캐패시터 제작방법
KR0155879B1 (ko) * 1995-09-13 1998-12-01 김광호 오산화 이탄탈륨 유전막 커패시터 제조방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100379526B1 (ko) * 2000-12-21 2003-04-10 주식회사 하이닉스반도체 반도체소자의 커패시터 제조방법
JP2007306008A (ja) * 2001-06-01 2007-11-22 Internatl Business Mach Corp <Ibm> 耐熱金属−ケイ素−窒素キャパシタを形成する方法及びその構造

Also Published As

Publication number Publication date
DE69840184D1 (de) 2008-12-18
EP0860868B1 (en) 2008-11-05
EP0860868A3 (en) 2000-05-10
ATE413690T1 (de) 2008-11-15
EP0860868A2 (en) 1998-08-26
US6096597A (en) 2000-08-01
KR19980070915A (ko) 1998-10-26

Similar Documents

Publication Publication Date Title
US6096597A (en) Method for fabricating an integrated circuit structure
KR100583703B1 (ko) 집적회로캐패시터
US6720603B2 (en) Capacitor structure and a semiconductor device with a first metal layer, a second metal silicide layer formed over the first metal layer and a second metal layer formed over the second metal silicide layer
US7446363B2 (en) Capacitor including a percentage of amorphous dielectric material and a percentage of crystalline dielectric material
US7732851B2 (en) Method for fabricating a three-dimensional capacitor
JP3597328B2 (ja) 半導体集積回路装置の製造方法
JP2006135364A (ja) 半導体集積回路装置の製造方法
JPH10189908A (ja) 金属酸化物キャパシタの作製方法及び半導体メモリ装置の製造方法
EP0862203A1 (en) Method for fabricating a semiconductor memory capacitor
KR100219506B1 (ko) 반도체장치의 커패시터 제조방법
US6255157B1 (en) Method for forming a ferroelectric capacitor under the bit line
KR100422594B1 (ko) 반도체 소자의 커패시터 및 제조방법
JP2003224203A (ja) 半導体集積回路装置およびその製造方法
KR20010059002A (ko) 반도체 소자의 캐패시터 형성방법
KR100329741B1 (ko) 텅스텐 실리사이드 하부전극을 갖는 캐패시터 형성 방법
KR20020043905A (ko) 캐패시터의 제조 방법
KR100582404B1 (ko) 반도체 장치의 캐패시터 제조방법
KR100195262B1 (ko) 강유전체 메모리 장치 및 그 제조 방법
KR100200709B1 (ko) 반도체 장치의 고유전체 캐패시터 및 그 제조 방법
KR100362182B1 (ko) 강유전체 메모리 소자의 제조 방법
JP2005539387A (ja) プラグ構造上のキャパシタ
KR20020045158A (ko) 반도체 소자의 강유전체 캐패시터 형성방법
KR20080102092A (ko) 강유전체 커패시터의 제조 방법
KR20050011246A (ko) 반도체 소자의 강유전체 메모리 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050202

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080507

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080807

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080812

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080908

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080911

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081007

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081010

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090220