JPH08236512A - サブミクロンゲートスタック用ポリシリコン/ポリサイドetch法 - Google Patents

サブミクロンゲートスタック用ポリシリコン/ポリサイドetch法

Info

Publication number
JPH08236512A
JPH08236512A JP7347119A JP34711995A JPH08236512A JP H08236512 A JPH08236512 A JP H08236512A JP 7347119 A JP7347119 A JP 7347119A JP 34711995 A JP34711995 A JP 34711995A JP H08236512 A JPH08236512 A JP H08236512A
Authority
JP
Japan
Prior art keywords
etching
reaction chamber
layer
wafer
watts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7347119A
Other languages
English (en)
Inventor
Virinder S Grewal
エス. グレーヴァル フィリンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JPH08236512A publication Critical patent/JPH08236512A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 半導体ウエーハ上にスタック化ゲートアレー
を製造する方法を提供する。 【解決手段】 この方法は上部誘導コイルおよび下部容
量電極を有する反応室を設ける工程を包含する。上部誘
導コイルを、実質的に300ワット以下の比較的低い電
力設定に調節する。ウエーハを反応室に入れ、プラズマ
エッチングを実施してスタック化ゲートアレーを形成す
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路の製造、
詳言すればポリシリコン/ポリサイド(polycid
e)サブミクロンゲートスタックを製造する方法に関す
る。
【0002】
【従来の技術】多結晶性シリコンおよびポリサイドは、
MOS LSI技術においてゲート材料として広く使用
される。かかる技術に関する最近の傾向は、ますます大
きい装置密度に進むこと、これがまたVLSI集積回路
中により小さい最小機構サイズおよびより小さい分離を
必要とする。これらのますます小さい機構サイズおよび
分離を達成するために、リソグラフパターン転写法は非
常に正確でなければならない。とくに、特殊なリソグラ
フ法に利用しうる最小マスクサイズが実質的に最小機構
サイズおよび最小機構分離を決定する。これらの最小機
構サイズおよび分離は、典型的には特別な処理工程で生
じる機構サイズ変化度に依存する。たとえば、ポリシリ
コンゲートの製造に使用される等方性エッチング工程
は、傾斜またはアンダカット壁を有するゲートを生じう
る。かかるゲート構成は、少しもリソグラフゲート機構
サイズに酷似ではない。
【0003】このため、機構サイズの変化は大いにパタ
ーン転写法に依存する。異方性ドライエッチング技術は
機構サイズ変化を最小にする。かかる技術は、最近のI
Csにおける横の装置寸法が典型的にはほぼ2μm以下
に縮小し、フィルムの厚さはさほど急速に縮小しないの
で、必要である。パターン化を等方性ウエットまたは等
方性プラズマエッチング技術により実施する場合には、
アンダーカッテイングを含む機構サイズの実質的減少、
線幅減少およびエッチングしたパターンの全損失さえ生
じうる。
【0004】先に述べたように異方性エッチング技術は
これらの問題を回避する。プラズマエッチングとして公
知の1つのかかる技術は、基板の未保護層で揮発性エッ
チング生成物を形成する化学的に活性のエッチング剤を
つくるためRF電圧と結合したプラズマを使用する。こ
の技術は、基板とエッチングガスとの適当な組合せの存
在によって可能になる。かかる組合せは、半導体装置を
製造するために使用される大多数のフィルムに利用でき
る。
【0005】反応性イオンエッチングとして公知のもう
一つの技術は、プラズマエッチングに非常に類似であ
り、この方法に使用される装置が操作される動作圧およ
び電圧により本質的に異なる。より詳細には、RIEは
プラズマエッチングよりも低い圧力(ほぼ10- 2トル)
で操作し、こうして多少より方向性である。
【0006】代表的なエッチングガスの例は、塩素およ
びフツ素化合物を包含し、これらはそれぞれCCl4
よびCF4の形で利用できる。これらの化合物は、ポリ
シリコン、SiO2、Si34および金属をエッチング
するのに適合されている。たとえば、フツ素ラジカルは
ケイ素と反応して揮発性の四フッ化ケイ素エッチング生
成物を形成する。
【0007】酸素含有プラズマも使用され、レジストを
含む有機フィルムをエッチングするために使用すること
ができる。さらに、プラズマのエッチング速度は、エッ
チングガスに小割合のO2(5〜10%)を加えること
によって実質的に増加することができる。
【0008】しかし、ドライエッチング技術は、エッチ
ング選択性の問題を有する。とくに、選択性は下方にあ
る薄いゲート酸化物を除去せずにポリシリコンゲート電
極をパターン化するために必要である、それというのも
必要とされるエッチング比は装置がより小さくなるの
で、両方の場合に増加するからである。とくに、二酸化
ケイ素に対してはシリコンに比して高度の選択性が必要
とされる、それというのも接合深さはフィールド酸化物
の厚さよりも急速に減少するからである。さらに、ゲー
ト酸化物の厚さはゲート電極の厚さよりも速い割合で減
少するので、二酸化ケイ素に比してシリコンに対して高
度の選択性が絶対必要である。付加的に、要求される選
択性は、エッチングされる下方にあるフィルムの厚さな
らびに初期処理工程により形成された形態に依存する。
【0009】ゲートスタックのエッチングは、普通若干
の形の単一ウエーハリアクトル系において実施される。
リアクトルは典型的に上部誘導コイルを使用し、下部電
極は容量結合されている。コイルはリアクトルの周りか
または外側の頂部に取り付けられ、rf電圧をプラズマ
に誘導結合するように動作する。ポンプがリアクトルに
連結され、リアクトルを排気するように動作する。RF
エネルギーがコイルおよび電極に適用されると、リアク
トルに供給されたガスはプラズマに変換される。
【0010】LAM−TCP上にサブミクロン/ポリサ
イドゲートスタックをエッチングするための従前の方法
は、高い誘導コイル電力を使用する。LAM−TCPに
おけるOBERONゲートスタックエッチングのための
代表的な従前の方法においては、上部コイル電力は、一
般に300〜600ワットの間に調節される。下部電極
電力は、普通75〜300ワットの間に調節される。エ
ッチングガスとしては、塩素、窒素および酸素が使用さ
れる。高い上部コイル電力の目的は、低圧で高密度プラ
ズマを発生させることである。かかる圧力は、10mm
トル以下の大きさである。これら従前のエッチング技術
においては高い上部コイル電力が使用される、それとい
うのも低圧で適切なエッチング速度を達成するためには
高いプラズマ密度が必要であると一般に信じられていた
からである。また、かかるプラズマは、これらプラズマ
中に発生するイオンの比較的低い運動エネルギーのため
比較的小さい損害を惹起することも、一般に信じられて
いた。
【0011】しかし、高いコイル電力は不均一なプラズ
マを発生し、これが充電損傷を生じる。さらに、高いコ
イル電力は、高いイオン密度のためゲート酸化物に対す
る選択性を減少しうる。高いイオン密度もまた、高いラ
ジカル密度と結合している。これは、ラジカルがエッチ
ングされた層をアンダーカットしおよび/またはゲート
スタックの底部にノッチを形成しうるので問題を生じ
る。望ましくない大きい臨界寸法(CD)変化を生じ得
るアンダーカットまたはノッチ形成を軽減するために、
側壁の一層の不動態化が必要である。
【0012】
【発明が解決しようとする課題】それ故、本発明の主目
的はDRAM適用のためのポリシリコン/ポリサイドゲ
ートスタックをエッチングするための低電力法を提供す
ることである。
【0013】
【課題を解決するための手段】半導体ウエーハ上にスタ
ック化ゲートアレーを製造するための方法は、上部誘導
手段および下部容量手段を有する反応室を設ける工程を
包含する。上部誘導手段は実質的に300ワット以下の
低い電力設定に調節される。ウエーハは反応室に入れら
れ、スタック化ゲートアレーを設けるためにプラズマエ
ッチングされる。 ここに記載した本発明は、多層ゲー
ト構造を異方性エッチングする方法に向けられている。
本発明は高度n−ドーピングのポリシリコン、TiSi
/ポリシリコンおよびWSi/ポリシリコンを包含する
種々のゲートスタックをTEOS酸化物キャップ/ハー
ドマスクを用いてエッチングすることができる。かかる
ゲートスタックは、しばしばダイナミックRAM(DR
AM)に具体化される。
【0014】本発明を詳細に理解するために、添付図面
と関連して下記の詳細な記載が参照される。
【0015】
【実施例】図1の概略図に関して、数10により周知先
行技術のワントランジスタDRAM装置が指示されてい
る。DRAM装置10は、データを記憶するためのコン
デンサー12を有する。コンデンサー12は、線16に
より固定電源に結合された第1プレート14を有する。
コンデンサーの他のプレート18、MOSパストランジ
スタ20によりビット線22に結合されている。プレー
ト18は、記憶プレートとして動作する。パストランジ
スタ20のゲート23は、ワード線24に結合されてい
る。DRAM10の動作は周知である。本質的に、矩形
のアレー中のDRAM10の行は、DRAM装置に受信
された行アドレス信号から選択されたワード線24を、
電圧を印加して生かすことによって選択される。選択さ
れたワード線24はそれぞれのトランジスタ20をター
ンオンし、それに結合し、こうしてコンデンサー12の
記憶プレートは連想ビット線22に結合する。センス・
アンプ(図示せず)はビット線22の電圧を、コンデン
サー12における電圧の存在または不在を決定するため
の基準レベルに対して比較し、これによりそれに記憶さ
れたデータの状態を表示する。ビット線22も、書き込
みおよびリセット操作の間選択されたコンデンサー12
の記憶プレートに記憶すべきデータを通信する。
【0016】本発明は上記のようなDRAM用LAM−
TCP上に、OBERONサブミクロンポリシリコン/
ポリサイドゲートスタックをエッチングする方法に向け
られている。本方法は、実質的に大きい均一性を実質的
にアンダーカッテイングまたはノッチングなしに、上記
の先行技術と比較して比較的低い誘導コイル電力を使用
する。さきに記載したように、先行技術の方法は300
〜600ワットの上部コイル電力および75〜300ワ
ットの下部コイル電力を使用する。先行技術の方法にお
いては、エッチングガスとして塩素、窒素および酸素が
典型的に使用される。
【0017】本発明の方法においては、反応室の上部コ
イル電力は好ましくは0〜200ワットに調節される。
反応室の底部電極の電力は、好ましくは50〜200ワ
ットに調節される。エッチングすべきウエーハを反応室
に入れた後、反応室を5ミリトル〜15ミリトルに排気
される。次に、エッチングガスを反応室に供給し、次い
でコイルおよび電極を電圧の印加により生かし、これら
エッチングガスをプラズマに変える。使用される好まし
いエッチングガスは、塩化水素(HCl),塩素(CL
2)、および酸素(O2)であるが、他の適当なエッチン
グガスを使用することもできる。
【0018】本発明によるエッチング操作は1工程で実
施することができ、ゲートスタックを包含する材料に対
してほぼ1:1のエッチング比を生じる。8インチウエ
ーハに実施する場合、エッチングの均一性は5%よりも
良好である(3σ)。上記の条件下で得られるエッチン
グ速度は、250nm/minである。
【0019】本発明のエッチング方法は、約50:1〜
100:1の、シリコンの酸化物への選択性を生じる。
これらのタイプのエッチング選択性は、本発明において
はネスト線と孤立線との間の臨界寸法における最小変化
で容易に達成される(約50nm以下)。
【0020】図2〜4は、本発明により製造された3つ
の異なるゲートスタック構成のSEM写真である。図2
においては、ゲートスタック30は、n−ドーピングポ
リシリコンスタッド32および酸化物キャップ34から
なる。図3においては、ゲートスタック40はスパッタ
されたWSiの層によって覆われたポリシリコンスタッ
ド42からなる。層44は、酸化物キャップ46によっ
て覆われている。図4においては、ゲートスタック50
はTiSi層56によって覆われている。TiSi層5
4は、キャップ酸化物層56により覆われている。図2
〜4に認められるように、異方性エッチング断面形は、
これら異なるゲートスタック構成のそれぞれに対して達
成された。これらの写真には、本発明の低電力エッチン
グの特徴である低イオンおよびラジカル密度のためアン
ダーカッテイングまたはノッチングは認めることができ
ない。さらに、アンダーカッテイングおよびノッチング
が実質的に排除されるから、付加的な側壁の不動態化は
必要でなく、このため臨界的寸法の変化は先に論じたよ
うに最小になる。本発明の低電力エッチング技術は、プ
ラズマの不均一性およびそれと関連した充電損害を減少
する。
【0021】ここに記載した実施形はたんに例示的なも
のであることおよび当業者はここに記載したものと機能
的に等価の素子を利用する実施形に対して多数の変更お
よび修正を行うことができることは明らかである。かか
るすべての変更または修正ならびに当業者に明白になる
他の手段は請求項に記載されているような本発明の範囲
内に包含されるものとする。
【図面の簡単な説明】
【図1】典型的なワン−トランジスタDRAM記憶装置
の電気的概略図
【図2】1実施形のゲートスタック構成に対し達成され
る異方性断面形のSEM写真
【図3】他の実施形のゲートスタック構成に対し達成さ
れる異方性断面形のSEM写真
【図4】もう1つの実施形のゲートスタック構成に対し
達成される異方性断面形のSEM写真
【符号の説明】
10 ワン−トランジスタDRAM装置 12 コンデンサ 14 プレート 16 線 18 プレート 20 MOSパストランジスタ 22 ビット線 23 ゲート 24 ワード線

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 半導体ウエーハ上にスタック化ゲートア
    レーを製造する方法において、上部誘導手段および下部
    容量手段を有する反応室を設ける工程;上部誘導手段を
    実質的に300ワット以下の電力設定に調節する工程;
    該ウエーハを反応室に入れる工程;および該ウエーハを
    プラズマエッチングしてスタック化ゲートアレーを形成
    する工程を包含する半導体ウエーハ上にスタック化ゲー
    トアレーを製造する方法。
  2. 【請求項2】 スタック化ゲートアレーがn−ドーピン
    グポリシリコンの層および酸化物の層からなる請求項1
    記載の方法。
  3. 【請求項3】 スタック化ゲートアレーがポリシリコン
    の層、タングステンシリコンの層および酸化物の層から
    なる請求項1記載の方法。
  4. 【請求項4】 スタック化ゲートアレーがポリシリコン
    の層、チタンシリコンの層および酸化物の層からなる請
    求項1記載の方法。
  5. 【請求項5】 プラズマエッチング工程が、反応室中へ
    少なくとも1つのエッチングガスを供給する工程;およ
    び少なくとも1つのエッチングガスをウエーハをエッチ
    ングするプラズマに変えるために誘導手段および容量手
    段に電圧を印加する工程を包含する請求項1記載の方
    法。
  6. 【請求項6】 さらに、プラズマエッチング工程前に反
    応室を排気する工程を包含する請求項5記載の方法。
  7. 【請求項7】 少なくとも1つのエッチングガスは4つ
    のエッチングガスからなる請求項5記載の方法。
  8. 【請求項8】 4つのエッチングガスの1つがHClか
    らなる請求項7記載の方法。
  9. 【請求項9】 4つのエッチングガスの1つがCl2
    らなる請求項7記載の方法。
  10. 【請求項10】 4つのエッチングガスの1つがN2
    らなる請求項7記載の方法。
  11. 【請求項11】 4つのエッチングガスの1つがO2
    らなる請求項7記載の方法。
  12. 【請求項12】 上部誘導手段の電力を約0〜200ワ
    ットに調節する請求項1記載の方法。
  13. 【請求項13】 下部容量手段の電力を約50〜200
    ワットに調節する請求項1記載の方法。
  14. 【請求項14】 下部容量手段を実質的に300ワット
    以下の電力設定に調節する請求項1記載の方法。
  15. 【請求項15】 上部誘導手段の電力を約0〜200ワ
    ットに調節し、下部容量手段の電力を約50〜200ワ
    ットに調節する請求項14記載の方法。
  16. 【請求項16】 半導体ウエーハ上に複数の層からなる
    サブミクロンゲートスタックを製造する方法において、
    上部誘導コイルおよび下部容量電極を有する反応室を設
    ける工程;上部誘導コイルを約0〜200ワットの電力
    設定に調節する工程;該ウエーハを反応室に入れる工
    程;反応室を排気する工程;およびウエーハをプラズマ
    でエッチングしてスタック化ゲートアレーを形成する工
    程を包含する半導体ウエーハ上に複数の層からなるサブ
    ミクロンゲートスタックを製造する方法。
  17. 【請求項17】 エッチング工程が、反応室中へ複数の
    エッチングガスを供給する工程;および該コイルおよび
    電極に電圧を印加してエッチングガスを、ウエーハをエ
    ッチング するプラズマに変換する工程からなる請求項
    16記載の方法。
  18. 【請求項18】 エッチングガスがHCl,Cl2、N2
    およびO2からなる請求項16記載の方法。
  19. 【請求項19】 下部容量電極の電力を50〜200ワ
    ットの電力設定に調節する請求項16記載の方法。
  20. 【請求項20】 半導体ウエーハ上にサブミクロンゲー
    トスタックを製造する方法において、該方法がウエーハ
    上にポリシリコン層を形成する工程;ポリシリコン層上
    に酸化物層を形成する工程;上部誘導コイルおよび下部
    容量電極を有する反応室中へウエーハを入れる工程;上
    部誘導コイルを実質的に300ワット以下の電力設定に
    調節する工程;反応室を排気する工程;および反応室中
    へ複数のエチングガスを供給する工程;およびコイルお
    よび電極に電圧を印加して、エッチングガスをサブミク
    ロンゲートスタックを形成する層をエッチングするプラ
    ズマに変換する工程を包含する半導体ウエーハ上にサブ
    ミクロンゲートスタックを製造する方法。
JP7347119A 1994-12-20 1995-12-15 サブミクロンゲートスタック用ポリシリコン/ポリサイドetch法 Pending JPH08236512A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/359789 1994-12-20
US08/359,789 US5529197A (en) 1994-12-20 1994-12-20 Polysilicon/polycide etch process for sub-micron gate stacks

Publications (1)

Publication Number Publication Date
JPH08236512A true JPH08236512A (ja) 1996-09-13

Family

ID=23415277

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7347119A Pending JPH08236512A (ja) 1994-12-20 1995-12-15 サブミクロンゲートスタック用ポリシリコン/ポリサイドetch法

Country Status (7)

Country Link
US (1) US5529197A (ja)
EP (1) EP0718868B1 (ja)
JP (1) JPH08236512A (ja)
KR (1) KR100376001B1 (ja)
AT (1) ATE211854T1 (ja)
DE (1) DE69524934T2 (ja)
TW (1) TW345702B (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0166205B1 (ko) * 1995-10-11 1999-02-01 김광호 반도체장치의 폴리사이드 게이트 형성방법
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
KR100230430B1 (ko) * 1997-07-16 1999-11-15 윤종용 가스 혼합물 및 이를 이용한 전극층 식각 방법
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6261934B1 (en) 1998-03-31 2001-07-17 Texas Instruments Incorporated Dry etch process for small-geometry metal gates over thin gate dielectric
US6399432B1 (en) 1998-11-24 2002-06-04 Philips Semiconductors Inc. Process to control poly silicon profiles in a dual doped poly silicon process
US6399515B1 (en) 1999-06-21 2002-06-04 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned chlorine containing plasma etchable silicon containing layer with enhanced sidewall profile uniformity
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
EP1156519A1 (en) * 2000-05-16 2001-11-21 Semiconductor 300 GmbH & Co. KG Gate etch process for 12 inch wafers
US6809769B1 (en) 2000-06-22 2004-10-26 Pixim, Inc. Designs of digital pixel sensors
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US20090104776A1 (en) * 2007-10-18 2009-04-23 International Business Machines Corporation Methods for forming nested and isolated lines in semiconductor devices
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7909389B2 (en) 2008-01-31 2011-03-22 Cnh America Llc Protection device for a pivotable cab structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6482533A (en) * 1987-09-25 1989-03-28 Toshiba Corp Dry etching
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH047822A (ja) * 1990-04-25 1992-01-13 Fuji Xerox Co Ltd 半導体装置の製造方法
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4358340A (en) * 1980-07-14 1982-11-09 Texas Instruments Incorporated Submicron patterning without using submicron lithographic technique
US4561907A (en) * 1984-07-12 1985-12-31 Bruha Raicu Process for forming low sheet resistance polysilicon having anisotropic etch characteristics
US4659426A (en) * 1985-05-03 1987-04-21 Texas Instruments Incorporated Plasma etching of refractory metals and their silicides
JPS62162362A (ja) * 1986-01-10 1987-07-18 Mitsubishi Electric Corp Mos型集積回路及びその製造方法
US4785337A (en) * 1986-10-17 1988-11-15 International Business Machines Corporation Dynamic ram cell having shared trench storage capacitor with sidewall-defined bridge contacts and gate electrodes
US4778563A (en) * 1987-03-26 1988-10-18 Applied Materials, Inc. Materials and methods for etching tungsten polycides using silicide as a mask
US5106776A (en) * 1988-06-01 1992-04-21 Texas Instruments Incorporated Method of making high performance composed pillar dRAM cell
JP2859288B2 (ja) * 1989-03-20 1999-02-17 株式会社日立製作所 半導体集積回路装置及びその製造方法
US5275972A (en) * 1990-02-19 1994-01-04 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor integrated circuit device including the self-aligned formation of a contact window
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5022958A (en) * 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
KR920014373A (ko) * 1990-12-03 1992-07-30 제임스 조렙 드롱 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
JPH0582481A (ja) * 1991-09-18 1993-04-02 Nippon Telegr & Teleph Corp <Ntt> ガーネツト膜加工方法
US5126916A (en) * 1991-12-20 1992-06-30 Industrial Technology Research Institute Stacked capacitor dram cell and method of fabricating
JP3181406B2 (ja) * 1992-02-18 2001-07-03 松下電器産業株式会社 半導体記憶装置
DE69211329T2 (de) * 1992-03-27 1996-11-28 Ibm Verfahren zum Herstellen von pseudo-planaren Dünnschicht PFET-Anordnungen und hierdurch erzeugte Struktur
US5227325A (en) * 1992-04-02 1993-07-13 Micron Technology, Incl Method of forming a capacitor
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5346586A (en) * 1992-12-23 1994-09-13 Micron Semiconductor, Inc. Method for selectively etching polysilicon to gate oxide using an insitu ozone photoresist strip
JP2842125B2 (ja) * 1993-02-04 1998-12-24 日本電気株式会社 電界効果型トランジスタの製造方法
US5667631A (en) * 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6482533A (en) * 1987-09-25 1989-03-28 Toshiba Corp Dry etching
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH047822A (ja) * 1990-04-25 1992-01-13 Fuji Xerox Co Ltd 半導体装置の製造方法
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source

Also Published As

Publication number Publication date
KR100376001B1 (ko) 2003-06-11
KR960026305A (ko) 1996-07-22
DE69524934T2 (de) 2002-06-06
EP0718868B1 (en) 2002-01-09
EP0718868A2 (en) 1996-06-26
TW345702B (en) 1998-11-21
EP0718868A3 (en) 1998-05-06
ATE211854T1 (de) 2002-01-15
US5529197A (en) 1996-06-25
DE69524934D1 (de) 2002-02-14

Similar Documents

Publication Publication Date Title
US6284666B1 (en) Method of reducing RIE lag for deep trench silicon etching
US5529197A (en) Polysilicon/polycide etch process for sub-micron gate stacks
KR101414307B1 (ko) 반도체 처리에 있어서 마스크를 제공하는 방법 및 장치
US5759921A (en) Integrated circuit device fabrication by plasma etching
US5476807A (en) Method for forming fine patterns in a semiconductor device
JP3088178B2 (ja) ポリシリコン膜のエッチング方法
US6227211B1 (en) Uniformity improvement of high aspect ratio contact by stop layer
US5837615A (en) Integrated circuit device fabrication by plasma etching
US20070123050A1 (en) Etch process used during the manufacture of a semiconductor device and systems including the semiconductor device
US5950092A (en) Use of a plasma source to form a layer during the formation of a semiconductor device
US7709343B2 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
JP3353532B2 (ja) トレンチエッチング方法
TW573326B (en) Storage poly process without carbon contamination
JP3816494B2 (ja) ドライエッチング方法および半導体装置の製造方法
US5804489A (en) Method of manufacturing a crown shape capacitor in semiconductor memory using a single step etching
JP3358179B2 (ja) ポリシリコン層のプラズマ・エッチング方法
JP3114640B2 (ja) 半導体装置の製造方法
KR100312973B1 (ko) 메모리소자의 메탈 전극 형성방법
JP3093225B2 (ja) 半導体メモリ用キャパシタ電極の製造方法
KR100277910B1 (ko) 반도체 소자의 커패시터 제조방법_
KR100869851B1 (ko) 반도체 소자의 제조방법
US6716769B1 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
TW311255B (en) Improved manufacturing method of stack capacitor
JP3373134B2 (ja) 半導体装置の製造方法
JPH11204761A (ja) 半導体キャパシタの製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19990216