JPH0613576A - スタック形半導体構造体及びその形成方法 - Google Patents

スタック形半導体構造体及びその形成方法

Info

Publication number
JPH0613576A
JPH0613576A JP3138644A JP13864491A JPH0613576A JP H0613576 A JPH0613576 A JP H0613576A JP 3138644 A JP3138644 A JP 3138644A JP 13864491 A JP13864491 A JP 13864491A JP H0613576 A JPH0613576 A JP H0613576A
Authority
JP
Japan
Prior art keywords
layer
polysilicon
contact
stud
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3138644A
Other languages
English (en)
Other versions
JPH0652783B2 (ja
Inventor
Carl Cederbaum
カール・セダーバウン
Roland Chanclou
ローランド・シヤンクルー
Myriam Combes
マイリアム・コンブ
Patrick Mone
パトリツク・モーン
Vincent Vallet
ビンセント・バレツト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH0613576A publication Critical patent/JPH0613576A/ja
Publication of JPH0652783B2 publication Critical patent/JPH0652783B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/164Three dimensional processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 【目的】半導体チップにスタック形タングステン・ゲー
トPFETデバイスを形成する方法及びその方法により
得られる構造体を提供する。 【構成】本発明によるスタック形半導体構造体は、活性
領域(21)とポリシリコン・ライン(23-1,…)の少な
くとも1つと接触し、その上方部分がSPFETデバイ
ス(P2)のゲート電極と相互接続導体の両方または一
方を形成しており、1組の金属接点スタッド(30-1,
…)を備えた半導体基板(18、19)に形成される厚
い不活性化層(26/27)と、薄い絶縁層(31)の上に形
成され、その所定の部分が、所定のPFETデバイス本
体(P2)のソース、ドレイン、及び、チャネル領域を形
成し、ソース及びドレイン領域の少なくとも一方が接触
開口部を介して金属接点スタッド(30-4)と接触するよ
うになっている複数のポリシリコン・ランド(33-1)と
を含む。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、集積回路の製造に関す
るものであり、とりわけ、半導体チップにスタック形タ
ングステン・ゲートPFETデバイスを形成する方法、
及び、それによって得られる構造体に関するものであ
る。すなわち、該方法は、6つのデバイス(6D)のS
RAMセルにおいて、負荷デバイスとして用いられるス
タック形タングステン・ゲートPFETの形成に適用可
能である。
【0002】
【従来の技術】多結晶シリコン(ポリシリコン)抵抗器
は、さまざまなデジタル及びアナログ用途に、とりわ
け、スタティック・ランダム・アクセス・メモリ(SR
AM)において負荷デバイスとして用いられるのが普通
である。抵抗負荷を備えたSRAMセルは、従って、4
D/2R SRAMセルと呼ばれる。4D/2R SR
AMセルにおいてNFET上にポリシリコン負荷抵抗器
を積み重ねることは、SRAMチップ・レイアウトの設
計において十分に理解されている。この結果、セル領域
は、NFETが用いる領域によってのみ決まるので、S
RAMセルのサイズが大幅に縮小されることになる。現
在では、固有の、または、少しドーピングを施したポリ
シリコン材料のごく薄い層から得られる抵抗性ポリシリ
コンランドによって、4D/2R SRAMセルの負荷
抵抗器を形成するのが、産業界における一般的なやり方
である。しかし、これらの負荷抵抗器は、セルによって
引き出される電流を減少させるために、例えば、テラオ
ーム(1012Ω)の範囲か、または、それ以上といっ
た、かなり高い値にしなければならないので、4D/2
RSRAMセル・チップの容量は、1メガビットに限定
されるように思われる。実際のところ、容量が増すと、
ポリシリコンの層は、ごく薄いので、プロセス公差が制
御するには極めて困難なものになる。さらに、4D/2
R SRAMは、アルファ粒子によって生じる軽度のエ
ラーにも極めて敏感である。1メガビットを超えると、
負荷デバイスとして、ポリシリコン抵抗器の代りに、ス
タック形PFETを利用しなければならないが、これに
は、製造プロセスがはるかに複雑になるという犠牲を伴
う。
【0003】図1には、負荷デバイスとしてPFETを
備える、1で表示された従来の6DSRAMセル回路が
示されている。2つの交差結合されたNFET N1及
びN2、いわゆるドライバ・トランジスタが、第1の供
給電圧VS(通常はアースGnd)に結合された共通ノー
ド2と、それぞれのノード3及び4、いわゆる電荷蓄積
ノードとの間に接続されている。これらのノード3及び
4は、それぞれ、PFET P1及びP2を介して第2
の供給電圧(通常は、正の電圧Vc)に結された共通ノ
ード5に接続されている。一方、ノード3及び4は、そ
れぞれ、NFET N3及びN4、いわゆるアクセス・
トランジスタを介してビット・ラインBLT及びBLC
にも接続されている。NFET N3及びN4のゲート
電極は、読取り及び書込み動作のため、ワード・ライン
WLに接続されている。
【0004】図2は、スタック形ポリシリコン・ゲート
PFETデバイス(SPFET)を形成する従来のCM
OS製造プロセスに従って半導体基板に集積された状態
における、図1の6D SRAMセル回路の構造体に関
する部分断面図である。6で表示の構造体は、これまで
に知られている高度な技術的水準の良好な例であり、1
989年12月のIEEE JSSC第24巻第6号1
708〜1713頁に発表された。M. Ando 他による
“A .1 μA stand-by current ground-bounce-immune1-
M bit CMOS SRAM"と題する論文から引用されたものであ
る。参照番号7は、Pタイプのシリコン基板を表わして
いる。参照番号8は、該構造体の異なる活性領域の分離
に用いられる、異なるフィールド・リセス酸化物(RO
X)領域を示している。参照番号9は、NFETのN+
のイオン注入が施された活性ソース領域及びドレイン領
域である。参照番号10は、普通はSiO2層であるゲー
ト誘電体層を表わしている。ドライバNFET N1及
びN2の多量にドーピングを施されたN+ ポリシリコン
・ゲート電極は、それぞれ、11−1及び11−2で表
示されている。ポリシリコン・ゲート電極11−2は、
NFET N1のドレイン領域9の突出部である領域
9’との埋込接点を形成している。NFET N1及び
N2のゲート電極11−1及び11−2、及び、ソース
及びドレイン領域は、SiO2による薄い絶縁保護層1
2でカバーされている。SiO2層には、NFET N
1のゲート電極11−1の横方向の側部における酸化物
の側壁すなわちスペーサを形成している。ポリシリコン
・ランド13は、ゲート電極11−1及び11−2の上
に位置し、前記SiO2層12によって分離されてい
る。ポリシリコン・ランド13は、被着して、SPFE
Tの本体を形成している固有のポリシリコン層、また
は、少量のドーピングを施されたポリシリコン層にパタ
ーン形成し、選択的ドーピングを施す結果として生じ
る。図2から明らかなように、このポリシリコン・ラン
ド13は、ゲート電極11−1のすぐ上方を除くと、P
タイプのドーパントで多量のドーピングが施されてい
る。アンドープ領域は、SPFET P1のチャネル領
域を形成しており、一方、P+ のドーピングを施した隣
接領域は、そのソース及びドレイン領域を形成してい
る。SPFET P1のドレイン領域の拡張部分、いわ
ゆる拡張ドレイン領域は、酸化物層12の開口部を介し
て露出したゲート電極11−2の小部分と接触する。N
FET N1のN+のドーピングを施したゲート電極1
1−1は、SPFET P1の役割も果しており、一
方、層12は、そのゲート誘電体をなしている。より一
般的には、各セル毎に、NFETのN+ ドーピングを施
したポリシリコン・ゲート電極と、対応するSPFET
の隣接するP+ 拡張ドレイン領域との接触が必要な全て
の位置において、酸化物層12に開口部が設けられる。
SPFET P1 13の領域9及びその突出部9’、
ゲート電極11−2、及び、拡張ドレイン領域は、ノー
ド3の電位にあり、これによって、図1のセル回路に示
すような、デバイスの所望の交差結合が可能になる。プ
ロセスのこの段階において、該構造体は、ポリシリコン
・ゲートCMOS FETテクノロジのマスタ・スライ
ス処理ステップを完了したと言える。該構造体は、約5
00nmの比較的厚いSiO2 の絶縁層14によって不活
性化される。該構造体それ自体は、FEOL(ラインの
フロント・エンド)処理によって得られる。次に述べる
要素は、パーソナル化ステップすなわちBEOL(ライ
ンのバック・エンド)処理時に形成される。参照番号1
5は、電源バスとして用いられるポリサイド・ランドま
たはラインの典型例である。図2において、N+ 活性領
域9(図2には示されていないNFETのソース領域)
をGndに接続するポリサイド・ランド15は、従ってい
わゆるGndバスである。SiO2 の付加絶縁層16は、
該構造体を締めくくるものである。層16には、金属ビ
ット・ラインBLT及びBLCと電源バス(例えば、V
c 電源バス)に対する適正な接触を可能にする接触開口
部(不図示)が設けられている。図2から明らかなよう
に、後続の全ての層、とりわけ、ポリシリコン層13は
等角に被着され、この結果、構造体6の典型的な“波
形”のレリーフ状外観を備えた上部層が得られることに
なる。
【0005】負荷デバイスとして用いられるPFETが
NFETの上にスタックされる図2のセル構造体は、セ
ル領域がNFETの領域によってのみ決まるので、密度
が極めて重要になる。ただし、開示の半導体構造体及び
その対応する製造プロセスには、以下に述べるいくつか
の主たる不都合がある。 2.図2の構造体の製造には、メニューにSPFETの
形成がない、6D SRAMセル・チップの従来の製造
プロセスについて、6つ以上の追加マスクが必要にな
る。第1のマスクは、NFET N1の突き出したソー
ス領域9’の上のゲート酸化物層10を除去して、ゲー
ト電極11−2と前記領域9’の間の埋込接点を可能に
するために用いられる。第2のマスクは、ゲート電極1
1−2の上に開口部を形成する。第3のマスクは、N形
の少しドーピングを施されたポリシリコン層13を形成
する。第3のマスクは、SPFET P1及びその関連
拡張ドレイン領域(下にあるゲート電極11−2とつな
がる)が形成されることになる、所望のポリシリコン・
ランドの成形に用いられる。第4のマスクは、SPFE
T P1のチャネル領域に対するP形ドーパントの注入
を防ぎ、同時に、前記拡張ドレイン領域に加え、多量に
ドーピングを施したP+ ソース及びドレイン領域を形成
するのに必要なブロック・アウト・マスクである。第5
のマスクは、Gnd電位を有するポリサイド・ランドが、
例えば、ドライバ・トランジスタといったNFETのソ
ース領域9と接触する接触開口部を形成する。図2に
は、Gndバスの一例が示されている。最後に、第6のマ
スクが、前記ポリサイド・ランド、従って、ワード・ラ
イン及びいくつかの電源バスを形成する。 3.SPFET P1は、その下に位置するNFET
N1のサイズ及びレイアウトに大きく左右され、このた
め、設計上の融通性が乏しくなる。NFETN1のゲー
ト電極11−1は、SPFET P1のゲート電極でも
あるため、2つのデバイスのレイアウトは、デバイスの
サイズとデバイスのレイアウトの両方について強く結び
ついている。NFET、例えば、N1のゲート長は、最
大限に性能を発揮するため、リソグラフィで可能な最短
の長さにしなければならないので、対応するSPFE
T、例えば、P1のゲート長もそうでなければならない
のが普通である。これによって、信頼性にかける電位源
が構成されることになる。まず、SPFET P1のイ
オン注入を施されたソース及びドレイン領域に含まれて
いるP+ ドーパントの外方拡散がうまく制御されなけれ
ば、前記ソース及びドレイン領域は、大きくなりすぎ、
従って、SPFET P1の有効チャネル長が短くな
る。結果として、突抜け問題が生じる可能性がある。S
PFET P1のチャネル長は、上述のNFET N1
の性能要件によって命じられているので、増すことがで
きない。さらに、SPFET P1のチャネル領域を形
成するブロック・アウト・マスクも、最小のイメージ・
サイズであるため、このブロック・アウト・マスクとチ
ャネル領域との間におけるアライメント公差によって、
チャネル領域とゲート電極とのアライメントが正確にと
れなくなる可能性がある。図3A及び図3Bには、本質
的に性能が劣化する、理想のPFET P(位置ずれが
なければ得られる)に対する寄生デバイスの導入に関し
た、SPFET P1に対するミスアライメントの影響
が示されている。図3Aに示す第1の場合(正のミスア
ライメント)、ダイオードD(順バイアスを加えられ
た)と高い値の抵抗器Rが、理想のPFET Pのソー
ス領域と直列をなしている。これら寄生デバイスは、S
PFET P1(既に高しきい電圧VTを有している)
のソース過励振電圧CVGS−VT)に対する有効ゲー
トを低下させるので、SPFETP1の“ON”電流が
減少する。図3Bに示す第2の場合(負のミスアライメ
ント)、寄生デバイス、すなわち、抵抗器R及びダイオ
ードD(この場合、逆バイアスが加えられた)は、理想
のPFET Pのドレイン領域dと直列をなしていて、
同様に、SPFET P1の電流能力を低下させること
になり、結果として、後者は、理想のPFET Pから
ほど遠いものになる。 4.SPFET P1のゲート電極の仕事関数は、最適
でない。NFET N1とその上に形成された対応する
SPFET P1は、共に、同じN+ ゲート電極11−
1を共有しているので、SPFETのゲート電極は、従
って、P+ 形が望ましいが、N+ 形になる。十分に認識
されているように、この場合、チャネル領域は、表面に
なく、埋設されるので、この状況は、突抜け現象の観点
からいくつかの問題を生じることになる。突抜け現象の
影響によって、SRAMセルの待機電力消費にとってク
リティカルな漏れ電流が誘導される。 5.図2に関連して上述のように、従来の製造プロセス
によると、非平坦化構造体6が形成されることになる。
ポリシリコン層13は、ゲート電極11−1よりもかな
り薄いので、SPFET P1を形成するゲート酸化層
12及びポリシリコン層13が、位相が平坦でないNF
ET N1ゲート電極11−1に被着されるが、“ステ
ップ・カバレージ”の商標名で知られる保護層12によ
って多少平滑化されるものの、信頼性に問題が生じるこ
とになる。 6.NFET N2のN+ゲート電極11−2とSPFE
T P1のP+拡張ドレイン領域の間に、寄生P+/N+
ダイオードが形成される。このダイオードによって、も
はやオーム形ではない接触の質的劣化を生じることにな
るため、SRAMセルの性能が減退する。 7.ワード・ラインWL、いくつかの電源バス、及び、
おそらく、シリコン・ウェーハ・レベルでストラップ及
び短距離接続を形成する局所相互接続案が、ポリサイド
によって製造される。ポリサイドは、極めて良好な導電
材料であるが、金属よりも大きい抵抗を示すことが知ら
れている。 8.最後に、図2の構造体は、ポリサイド・ランド15
が存在するため、SPFET P1のソース領域とVc
電源バスの接触が困難になるので、設計の融通性が乏し
い。 図4には、標準的なポリシリコン・ゲートCMOS F
ETプロセスによって得られるソース/ドレイン領域
と、ポリシリコン・ゲート電極の形成後における先行技
術による従来のベース構造体に関する部分断面図が示さ
れている。右側部分には、図1の6D SRAMセル回
路のドライバNFET N1及びN2の組合せが示され
ているが、これは、いくつかの点で図2に示す構造体に
似ている。左側部分には、適当に言及したメモリ・アレ
イ外における該チップのI/O回路部分に見られるよう
な、N5、N6、及び、N7で表示の3つの隣接NFE
Tが示されている。図4の場合、構造体全体が参照番号
17で表示されており、該構造体に関し簡単に説明して
おくことにする。開始材料は、その上にP- エピタキシ
ャル層19が重ねられた従来のP+ シリコン基板18か
ら構成される。熟練者には周知のように、ウェーハ表面
において、活性領域を互いに分離するため、ROX領域
が利用される。NFETのソース領域及びドレイン領域
を構成するため、エピタキシャル層19にN+ のイオン
注入された活性領域21が形成された。活性領域21に
は、一般に、その面積抵抗を減らすため、薄いTiSi
2 接触層が設けられ、これによって、引続き形成される
接点スタッドとの電気的接触の質が向上する。普通はS
iO2 層である、ゲート誘電体層は、22で表示されて
いる。参照番号23は、ポリシリコン・ゲート電極を形
成するように、パターン形成を施された、N+ の多量に
ドーピングを施されたポリシリコン層23の残りの部分
を表わしている。参照番号23−1及び23−2は、そ
れぞれ、NFET N1及びN2のゲート電極を表わし
ている。ポリシリコン・ゲート電極の横方向の側部に
は、酸化物の側壁すなわちスペーサ24が形成され、N
FETのチャネルが全長にわたってより明確に形成され
ている。図4の上述のデバイス構造体は、本発明の例示
のために示されており、当該技術においては一般的な構
造体であって、多くの既知の半導体CMOS FET製
造プロセスを利用した製造に適応しやすいが、CMOS
テクノロジに限定されるものではない。まず、前記残り
のポリシリコン部分は、ゲート電極に限定されるわけで
はなく、それ自体が、自己アライメントによるポリシリ
コンを用いたバイポーラ・トランジスタ製造プロセスに
おいて外因性ベース接点を形成する、導体として用いら
れるランドにすることも可能である。従って、前記残り
のポリシリコン部分23は、より一般的には、ポリシリ
コン・ラインと呼ばれる。次に、活性領域21は、FE
Tのソース領域及びドレイン領域に限定されるものでは
なく、バイポーラ・デバイスのエシッタ、ベース、及
び、コレクタ領域も含まれる。図4の構造体が、バイポ
ーラ、CMOS、または、BiCMOSの製造プロセス
によって形成するのに適したものである点を考慮する
と、図4の段階におけるベース構造体は、マスタ・スラ
イス処理ステップを完了したところと言える。すなわ
ち、これは、活性領域21(そこに形成されるデバイス
のタイプと関係なく)、及び、オプションで、ポリシリ
コン・ライン23−1、…の形成が完了したことを表わ
している。
【0006】
【発明が解決しようとする課題】本発明の主たる目的
は、従って、マスキング・ステップ数を減少させること
になる、スタック形タングステン・ゲートPFETデバ
イスの形成方法を提供することにある。
【0007】本発明のもう1つの目的は、NFETデバ
イスのサイズ及びレイアウトと無関係な、従って、漏れ
及び位置ずれに関して可能性のある問題が回避されるス
タック形タングステン・ゲートPFETデバイスの形成
方法を提供することにある。
【0008】本発明のもう1つの目的は、そのゲート電
極が、十分な仕事関数を得るため、P+ポリシリコンで
作られる、スタック形タングステン・ゲートPFETデ
バイスの形成方法を提供することにある。
【0009】本発明のもう1つの目的は、信頼性を高め
るため、平坦な表面に形成されることになる、スタック
形タングステン・ゲートPFETデバイスの形成方法を
提供することにある。
【0010】本発明のもう1つの目的は、性能を高める
ため、寄生ダイオードが接点に形成されない、スタック
形タングステン・ゲートPFETデバイスの形成方法を
提供することにある。
【0011】本発明のさらにもう1つの目的は、導電性
を高めるため、ワード・ライン、電源バス、及び、局所
相互接続ストラップが金属で作られる、スタック形タン
グステン・ゲートPFETデバイスを提供することにあ
る。
【0012】本発明のさらにもう1つの目的は、Vc電
源バスにPFETデバイスのソース領域を接触させやす
くするため、極めて設計上の融通性に富んだスタック形
タングステン・ゲートPFETデバイスの形成方法を提
供することにある。
【0013】
【課題を解決するための手段】以上の目的は、本発明に
よる集積回路構造体及び対応する製造方法によって達成
される。
【0014】マスタ・スライス処理ステップの完了後、
デバイスの活性領域と複数のポリシリコン・ラインが形
成された半導体基板から成るベース構造体を備えるスタ
ック形半導体構造体は、さらに下記が含まれることを特
徴とする。即ち、前記活性領域と前記ポリシリコン・ラ
インの両方または一方の少なくとも1つと接触し、その
上部表面がPFETデバイスと相互接続導体の両方また
は一方を形成し、その表面が第1の厚い不活性化層の表
面と共面をなしている1組の第1の金属接点スタッドを
備えた、前記ベース構造体に形成される第1の厚い不活
性化層と、前記第1の厚い不活性化層に被着されて、P
FETデバイスのゲート誘電体層を形成し、所望の位置
において、前記第1の接点スタッドの所望の部分を露出
させるための接触開口部が設けられている薄い絶縁層
と、前記薄い絶縁層の上に形成され、その所定の部分が
PFETデバイス本体のソース、ドレイン、及び、チャ
ネル領域を形成し、前記ソース領域及びドレイン領域の
少なくとも一方が接触開口部を介して第1の金属接点ス
タッドと接触することになる複数のポリシリコン・ラン
ドと、結果生じる構造体に被着され、前記ポリシリコン
・ランドと前記第1の接点スタッドの両方または一方の
少なくとも1つと接触し、その表面が第2の不活性化層
の表面と共面をなしている1組の第2の金属接点スタッ
ドを備えた第2の厚い不活性化層とである。
【0015】このスタック形半導体構造体を形成するた
めに用いられる製造方法には、下記のステップが含まれ
る。即ち、a)ベース構造体上において平坦化を施すこと
が可能な誘電材料による第1の厚い不活性化層を被着さ
せるステップと、b) 前記第1の厚い不活性化層に1組
の第1のスタッド開口部、いわゆる、第1のスタッド開
口部を形成し、少なくとも1つの活性領域と前記ポリシ
リコン・ラインの両方または一方を露出させるステップ
と、c) 導電性材料の第1の層を被着させて、前記第1
のスタッド開口部に充填することによって、1組の第1
の接点スタッドを形成し、前記第1の接点スタッドのい
くつかの上方部分が前記PFETデバイスのゲート電極
をなすようにするステップと、d) 該構造体を平坦化し
て、前記第1の接点スタッドの上部表面と前記第1の厚
さの不活性化層の表面が共面をなすようにするステップ
と、e) 薄い絶縁層を被着させて、PFETデバイスの
ゲート誘電体を形成し、それにパターン形成を施して、
接触開口部を設け、所望の位置において第1の接点スタ
ッドのいくつかを露出させるステップと、f) 第1の導
電性タイプの不純物で少量のドーピングを施されたポリ
シリコン層を形成するステップと、g) 前記ポリシリコ
ン層にパターン形成して、前記所望の位置において前記
第1の接点スタッドと接触する複数のポリシリコン・ラ
ンドを形成するステップと、h) 該構造体に第2の導電
性タイプのドーパントによる選択的イオン注入を行な
い、いくつかのポリシリコン・ランドまたはその一部に
PFETデバイスのソース領域及びドレイン領域と、相
互接続導体を形成するステップと、i) キャップ層を被
着させるステップと、j) 平坦化することが可能な誘電
材料による第2の厚い不活性化層を被着させるステップ
と、k) 前記第2の厚い不活性化層に1組の第2のスタ
ッド開口部を形成し、前記ポリシリコン・ランドの所望
の部分と前記第1の接点スタッドの一部の両方または一
方を露出させるステップと、l) 導電性材料による第2
の層を被着させて、第2の1組の第2の接点スタッドを
形成するステップと、m) 該構造体を平坦化して、前記
第2の接点スタッドの上部表面が前記第2の厚い不活性
化層の表面と共面をなすようにするステップとである。
【0016】前記導電材料は、金属、一般的には、タン
グステンであり、第2の導電性タイプの前記イオンが、
ホウ素であることが望ましい。 1.余分なマスクは、4つしか必要としない。 2.SPFETは、下にあるNFETのサイズ及びレイ
アウトとは無関係である。 3.SPFETは、タングステン・ゲート電極によって
制御される。 4.SPFETは、平坦化表面の上に形成される。 5.N+/P+ダイオード接点構造体の代りに、オーム接
触をなすタングステン接点スタッドが用いられる。 6.ワード・ラインWL、Gnd、及びVc電源バス、
及び、局所的相互接続導体は、ポリサイドではなく、金
属によって作られている。 7.SPFETソース領域とVc 電源バスの容易な接触
を可能にする極めて大きい設計の融通性。
【0017】本発明の特性を表わすものと考えられる新
規の特徴については、特許請求の範囲に記載されてい
る。一方、本発明自体、及び、その他の目的や利点につ
いては、添付の図面と併せ読むべき、例示の望ましい実
施例に関する下記詳細説明を参照することによって、最
もよく理解することが可能である。
【0018】
【実施例】次に、図5〜図12に関連して、本発明の方
法の望ましい実施例について説明する。
【0019】1)まず、比較的厚い、不活性化及び平坦化
を施された誘電材料の層が、図4の従来のベース構造体
に被着ささる。そのため、ウェーハは、H2SO4/H2
2(4:1)の酸性溶液を納めたタンクに浸してクリ
ーニングが施される。このクリーニング・ステップは、
以下において Piranhaクリーニングと呼ぶことにする。
ウェーハの上に、薄いSi34の拡散バリヤ層(不図
示)が厚さ50nmになるようにブランケット被着され
る。このSi34の拡散バリヤ層によって、引続き形成
される上部層において可能性のあるTiSi2層の溶解
が回避される。このステップは、SiH2Cl2/NH3
反応ガスを用いて、785℃で、標準的なLPVD装置
によって達成される。固有のポリシリコン・エッチング
止め層25が、従来のCVDプロセスを利用し、ウェー
ハの表面に、50nmの範囲の厚さまで等角に被着され
る。シリコン(例えば、ソース/ドレイン領域におけ
る)とSiO2(例えば、ROX領域における)の両方
の上に位置する接点スタッドが必要になる場合、エッチ
ング止め層を利用して、ROX領域のバーズ・ビークが
保護される。このエッチング止め層を形成する材料は、
引続き形成されるホスホシリケート・ガラス(PSG)
層に関して優れたエッチング選択性を備えていなければ
ならない。Al23は適合するが、例えば、約25:1
といった、PSGとの望ましい高エッチング比を備えて
いるだけでなく、エッチングが容易であるため、固有の
ポリシリコンが望ましい。該構造体には、2ステップに
よって、すなわち、まず、H2O/HCl/H2
2(5:1:1)る酸性溶液による、Huang Bのクリーニ
ングが後続する上述の Piranhaクリーニングを利用し、
その後、すすぎを施すことによって、もう1度クリーニ
ングが施される。次に、WATKINS−JOHNSO
NのようなAPCVD反応装置内において、キャリヤ・
ガスとしてSiH4/PH3 ガスを利用し、400℃で、
900nmの厚さに達するまで、PSG層26を等角に被
着させる。オプションで、固有ポリシリコン層25とP
SG層26の間に、厚さ200nmの熱分解SiO2 の中
間層(不図示)を挿入することができる。その目的は、
PSG層26に含まれているリンのドーパントから固有
ポリシリコン層22を保護することにある。その被着
は、O2 /SiH4/N2 の環境において、400℃
で、AME−5000によって行なわれる。次に、コロ
イドSiO2 と水との溶液、例えば、SPEAR CA
RBOから販売されているSC1で表示のスラリを利用
し、PSG層26が、精密な平坦化のため、WESTE
CHまたはSTRAUSBAUGH装置によって化学・
機械的に研摩を施される。研摩後、残りの厚さは、60
0nmになる。このステップに続いて、SVG両面ブラシ
・クリーナでポスト・クリーニングが施される。その目
的は、層26に含まれているリンのドーパントから固有の
ポリシリコン層25を保護することにある。
【0020】上述の新しい2ステップのクリーニングが
すむと、該プロセスは、引続き、PECVD SiO2
層27の被着を行なう。この層の目的は拡散バリヤ層と
して働き、PSG層に含まれているリンのドーパントが
外方拡散によって、引続き形成されることになるポリシ
リコンの上部層に入り込まないようにすることにある。
このステップは、AME−5000のような標準的なP
ECVD装置において達成される。層27の所望の厚さ
は、約200nmである。非汚染、不活性化、及び、平坦
化誘電材料、例えば、水晶を用いる場合、層27の必要
をなくすことが可能である。水晶は、適合するが、結果
として、プロセスのコストが高くつくことになる。ポリ
イミドのような有機材料も適合するが、適温処理ステッ
プにおける作用が不十分である。最後に、エッチング止
め層と、少なくとも2つの拡散バリヤ層を必要とする
が、ホスホシリケート・ガラス(PSG)が望ましい材
料である。次に、N2 環境において、1Hの間、750
℃の炉内で、ウェーハの焼なましが行なわれる。図5に
は、結果生じる構造体が示されている。これで、FEO
L処理ステップ時に形成される素子のリストが終了す
る。
【0021】2) 次に、プロセスのこのポイントにおい
て、全体が28で表示の組をなす第1のスタッド開口部を
形成するステップが実施される。第1のスタッド開口部
は、一般に、2つの異なるマスクGS及びCAを利用し
て、2つの順次エッチング・ステップで形成される。新
しい2ステップ・クリーニングがすむと、HMDSのよ
うなフォトレジスト接着増進剤が、該構造体に塗布され
(予備焼きなまし時間7mn)、次に、例えば、e−ME
RCK樹脂といった標準的なフォトレジストが、例え
ば、MTI MULTIFAB装置で塗布され、ベーキ
ングが施される。アライメントがすむと、該フォトレジ
ストは、NIKON G6ステッパで、マスクを介して
紫外線による露光を受け、現像されて、所望の構造体を
有するレジスト・マスク(不図示)がもとの位置に形成
される。次に、PECVD SiO2拡散バリヤ層27にエ
ッチングを施すことによって、第1のサブセットをなす
開口部が形成される。図6には、LPCVD SiO2
27にパターン形成だけをすました状態の構造体が示され
ている。ただし、前記第1のサブセットをなす開口部の
形成時に、PSG層に部分的にエッチングを施すことも
可能である。図6に示す状況は、単に説明のためのもの
でしかない。第1のサブセットをなす開口部は、開口部
28-10、28-20、及び28-30から構成される。これらの開
口部は、それぞれ、引続き形成される上部接点スタッ
ド、ゲート電極、及び、オーバ・パス導体に対応する。
第1のエッチング・ステップは、AME8300装置におい
て、CHF3/O2を利用し、反応イオンを供給すること
によって行なわれる。もとの位置のレジスト・マスクG
Sを除去し、Piranha溶液中で該構造体のクリーニング
が行なわれる。
【0022】3) 次に、第2のサブセットをなす開口部
が、エッチング止め層25の下流において、厚いPSG平
坦化層26(及び、もしあれば、上述のオプションによる
熱分解層)にエッチングを施すことによって形成され
る。そのため、第2のもとの位置のフォトレジスト・マ
スクCA(不図示)が、上述のやり方と全く同じやり方
で該構造体に塗布される。次に、第2のサブセットをな
す開口部が、形成される。PECVD SiO2とPSG
は、全く同様の材料であるため、第2のエッチング・ス
テップは、CHF3/02反応ガスを利用し、同じAME
8300装置によって実施することができる。最後に、固有
のポリシリコン・エッチング止め層25の露出部分が、C
2/02/ArまたはHCl/02/Ar プラズマを利用
し、やはり、同じRIE装置によってエッチングを施さ
れる。フォトレジストがはがされ、再び、該構造体のク
リーニングが行なわれる。次に、該構造体は、N2をキ
ャリヤ・ガスとし、55mnの間、アンモニア(NH3
環境内で、THERMCO装置によって、700℃で焼
なましが行なわれる。固有のポリシリコンが、厚い二重
不活性化層26/27を介して酸化され、電気的観点から完
全に中性になる。このステップは、THERMCO酸化
炉モデルHiPOXにおいて高圧で実施される。最後
に、下にあるSi34層の露出部分が、SF6プラズマに
よってエッチングを施される。図7に示すように、異な
る構成も可能である。第1のスタッド開口部28-1は、そ
れぞれ、前記第1及び第2のエッチング・ステップに対
応する28-10及び28-11で表示の上方部分と下方部分の両
方から構成される。これら2つのエッチング・ステップ
によって、前記第1と第2のサブセットをなす開口部の
サイズを切り離すことが可能になる。例えば、下方の開
口部(例えば、28-21)のサイズは、リソグラフィによ
って最小のサイズとすることもできるし、一方、上方の
開口部(例えば、28-20)のサイズは、全く関係なく、
形成すべきゲート電極のサイズ要件に合わせて、より大
きくすることも可能である。活性領域21と接触すること
になる金属が充填されると、開口部28-1に金属接点スタ
ッドが形成されることになる。同様に、第1のスタッド
開口部28-2も、2つの部分28-20及び28-21によって構成
される。金属が充填されると、上方部分が、SPFET
デバイスの金属ゲート電極を形成し、一方、下方部分
は、前記金属ゲート電極と、NFET N2の活性領域
21及びポリシリコン・ゲート電極23-2との相互接続を行
なうペデスタル・スタッドを形成する。第1のスタッド
開口部28-3は、全てに金属が充填されると、それぞれ、
オーバパス導体、及び、それで接続される2つのペデス
タル接点スタッドを形成して、導電性ストラップを形成
する上方部分と、2つの下方部分から構成される。該ス
トラップは、NFET N5の活性領域21、NFET
N6の活性領域、及び、NFET N7のゲート電極23
-3を相互接続する。最後に、第1のスタッド開口部28ー4
は、CAマスクを利用し、1ステップで形成される開口
部の一例である。金属が充填されると、ゲート電極23-1
と接触する金属接点スタッドが、形成されることにな
る。
【0023】4) 次に、一般に、タングステンのような
金属である高導性材料を第1のスタッド開口部に充填す
るステップ及び平坦化のステップが、実施される。その
ため、図7の構造体が、まず、100:1の希釈HF溶
液中でクリーニングを施され、すすぎが行なわれる。タ
ングステン(W)の充填に先立ち、VARIAN M20
00装置によって、チタン(Ti)層が、約40nmの厚さ
に被着され、前記第1のスタッド開口部の底壁部及び側
壁部にメッキが施される。このチタン層によって、活性
領域21におけるタングステン原子の拡散が防止される。
次に、チタン層の上に、薄い窒化チタン(TiN)層が
形成される。このTiN層の目的は、タングステン層の
接着性を高めることにある。このステップは、同じVA
RIANM2000装置によって実施されるが、25nmの厚
さが得られるまで、Arキャリヤ・ガスにN2の流れが
送り込まれる。次に、タングステン層が2ステップで被
着され、第1のスタッド開口部が完全に充填される。C
VD GENUS 8700装置は、その点で適合する。ま
ず、240nmの厚さが得られるまで、SiH4/WF6
2/Heガスの組合せを利用し、120nm/分の高速度
において、450℃で、被着が実施される。次に、約5
50nmの所望の最終的厚さが得られるまで、40nm/分
のより低速で、同じ装置により、SiH4がもはや用いら
れないという点を除き、同じ動作条件において、被着が
続行される。次に、本発明の譲受人に譲渡された“Chem
-mech polishing method for producing co-planar met
al/insulatorfilms on a substrate”と題するEP−A
−0223920に記載の化学・機械的技法と、BCl3/Cl
2/N2ガスを利用し、AME8100で行なわれるプラズマ
・エッチングのいずれかに用いて、被着した金属層の平
坦化が行なわれる。このステップに続いて、SVG両面
ブラシ・クリーナによるポスト・クリーニングが行なわ
れる。Ti−TiN及びWの複合層を平坦化することによ
って、対応する参照番号で表示される第1の接点パッド
29及び第1の接点スタッド30が第1のスタッド開口部28
に得られる。その上方部分と下方部分については、図7
で識別することができる。例えば、第1の接点スタッド
30-1は、それぞれ、30-10及び30-11で表示の上方部分と
下方部分によって構成される。同様の論理は接点パッド
29-1にも当てはまる。これは、他の全ての第1の接点ス
タッドに対して普遍化されなければならない。最後に、
図8から明らかなように、この時点で、第1の接点スタ
ッド30-xの上部表面とPECVD酸化物層27の表面とは
共面をなしている。
【0024】5) 次に、PFETデバイスのゲート誘電
体が形成される。そのため、第1の酸化層31は、AME
−5000のようなPECVD装置によって、約25nmの厚
さになるように被着される。Piranha溶液中でのクリー
ニングがすむと、もとの位置の新しいレジスト・マスク
(OGマスク)が、該構造体の上に形成され、酸化物層
31が、所望の位置で開放される。CHF3/02環境で、
AME8300反応装置におけるRIEによって、酸化物層
31に接触開口部32が設けられる。再び、該構造体のクリ
ーニングが行なわれる。SPFETデバイスの領域と相
互接続導体(引続き形成すべき)のいずれかが、第1の
接点スタッドと接触しなければならない、第1の接点ス
タッドの部分に接触開口部32、例えば、32-1が形成され
る。
【0025】次に、パターン形成及び十分なドーピング
がすむと、PFETデバイス本体の所望のソース、ドレ
イン、及び、チャネル領域と、相互接続導体を形成する
ことになるポリシリコン層が、被着される。そのため、
SiH4を利用し、615℃で、ASMまたはTEMPRE
SSのようなLPCVD装置によって、厚さが10〜1
00nmの範囲に達するまで、固有の(ドーピングを施さ
れていない)CVDポリシリコン層33の被着が行なわれ
る。しかしながら、SPFETデバイスの特性が、層33
のポリシリコン材料の粒子サイズに大きく左右されるの
で、まず、層33のポリシリコンをアモルファス・シリコ
ン層に変換し、次に、粒子をできるだけ大きくするた
め、低温で再結晶化することが望ましい。アモルファス
化は、25〜50KeVのエネルギ範囲及び1E15at/cm2の線
量で、EXTRION CF5注入装置によってSiの
イオン注入を行なうことにより実施することができる。
再結晶化焼なましは、N2環境において、30Hにわた
り、625゜のTHERMCO炉内で達成される。代替案
として、代替案として、文献に教示のように、同じ厚さ
のアモルファス層が、直接形成された。この場合、ポリ
シリコンは、PECVD装置またはEビーム源蒸発装置
のUHVチャンバ内で被着され、再結晶化は、やはり、
THERMCO炉内の、N2環境で、ただし、600℃
で、12時間にわたって行なわれる。
【0026】ここで、N形ドーパントをポリシリコン層
にブランケット注入して、SPFETデバイスのしきい
電圧が調整される。N形ドーパントの濃度は、SPFE
Tデバイスのチャネル領域において正確に制御する必要
がある。そのため、SPFETのチャネル領域において
1E17at/cm3の濃度が得られるように、ポリシリコン
層33にリン原子の注入が行なわれる。このステップは、
EXTRION CF5注入装置によって実現すること
ができ、標準的な注入焼なましが後続する。
【0027】次に、上記のポイント2)で既述のものと
同様のフォトリソグラフィ・ステップ(PRマスク)を
実施して、適合するもとの位置のレジスト・マスクが形
成される。このレジスト・マスクを利用して、ポリシリ
コン層33に所望のポリシリコン・ランド構造体が形成さ
れる。該構造体は、ポリシリコン/ECVD SiO2
エッチング速度比が高い、CF4/O2/Cl2/HCl
といった標準的な反応ガス組成を利用し、TEGAL15
00によってRIEを受ける。次に、適合するストリッパ
によって、レジスト・マスクがはがされる。図9に示す
ように、3つのN−ポリシリコン・ランド33-1、33-2、
及び、33-3が残されることになる。
【0028】ポリシリコン層33のパターン形成に先立っ
て、ポイント5)に既述の再結晶化焼なましステップを
実施する代りに、このステップをその後で実施し、ポリ
シリコン・ランドに局所的な再結晶化しか行なわれない
ようにすることも可能である。この場合、このステップ
に続いて、上述のブランケット注入が行なわれることに
なる。代替案として、再結晶化焼なましの代りに、N2
環境において、10〜120秒間にわたり、600〜700℃の温
度範囲で急熱焼なまし(RTA)ステップを行なうこと
もできる。
【0029】次に、AME−5000のようなPECVD装
置によって、残りのポリシリコン・ランドに対してTE
OS酸化物層34が被着される。所望の厚さは、約100
nmである。層34は、異なる働きをする。層34は、後述の
ように、後続のイオン注入ステップに関して、スクリー
ン酸化物層として用いられる。拡散バリヤ層としても働
き、最終的には、形成されてしまうと、やはり、引続き
形成されることになる第2のPSG層からSPFETデ
バイス本体をカプセル封じする。プロセスのこの段階に
おいて結果得られる構造体が、図9に示されている。
【0030】6) 次に、イオン注入ステップによってS
PFETデバイスのソース/ドレイン領域を形成するの
に特に用いられる、もとの位置の適正なフォトレジスト
BGマスクを形成するため、新しいフォトリソグラフィ
・ステップ(BGマスク)が実施される。フォトリソグ
ラフィ・ステップは、上述のものと同一である。次に、
SPFETデバイスのソース/ドレイン領域を形成し、
また、他のポリシリコン・ランドにドーピングを施し
て、相互接続導体を形成するため、スクリーン酸化物層
34を介して、選択的なホウ素イオン注入が実施される。
SPFETデバイスのチャネル領域だけが、BGマスク
によってイオン注入から保護される。ホウ素イオンの注
入は、EXTRION CF5注入装置によって、1E
16at/cm2の線量、及び、30KeVのエネルギで実施され
る。結果生じる構造体が図10に示されている。次に、も
との位置のフォトレジストBGマスクが、はがされる。
最後に、低温で(または急熱焼なましによって)、SP
FETのソース及びドレイン領域におけるドーパントの
再活性化が実施される。このステップは、THERMC
O炉内において、N2環境で、12Hにわたり650〜850℃
の温度範囲で行なうことができる。
【0031】図10には、SPFETデバイスP2が明示
されている。SPFETデバイスP2の本体は、それぞ
れ、SP2、DP2、及び、CP2で表示のソース、ド
レイン、及び、チャネル領域から構成される。SPFE
TデバイスP2のゲート電極GP2は、薄いゲート誘電
体層31によって本体から分離されている。ゲート電極G
P2は、金属製であり、従って、図2の構造体の場合の
ような、N+ポリシリコンに比べてより良好な仕事関数
を示す。このポイントにおいても、SPFETP2のソ
ース領域とドレイン領域の両方または一方との接触が、
第1の接点スタッドによって、下方にあるデバイスの活
性領域21とゲート電極23-xの両方または一方に対して直
接行なうことができるという点に留意されたい。例え
ば、ドレイン領域DP2は、第1の接点スタッド30-4に
よってNFET N1のゲート電極に対して短絡され、
従って、これらの素子は、ノード4の電位にある(図1
参照)。同様に、図10から明らかなように、第1の接点
スタッド30-2は、SPFET P2のゲート電極GP2
を形成するだけでなく、NFET N2のゲート電極23
-1及びNFET N1のソース領域21と相互接続する。
結果として、第1の接点スタッド30-2は、ノード3の電
位になる(図1参照)。従って、特定のソースまたはド
レイン領域が、第1の接点スタッドの上方に位置する場
合、直接接触は、リソグラフィ・ステップを追加せずに
行なわれる。一方、図10の左部分では、第1の接点スタ
ッド30-3が、ポリシリコン・ランド33-2、NFET N
5及びN6の活性領域21の1つ、及び、ゲート電極23-3
を短絡させる。ポリシリコン・ランド33-3は、酸化物層
31及び34によってカプセル封じされるため、分離され
る。
【0032】7) 次に、Piranha/Huang B クリーニング
・ステップの後、第2の厚いPSG層35が被着される。
層35は、精密な化学・機械的平坦化を施される。その
後、ピン・ホールを排除するため、薄いPECVD酸化
物層、できれば、PSG層36が被着される。被着及び平
坦化ステップは、ポイント2)に既述のものと同じやり
方で達成される。この結果、構造体17の上部表面は、か
なりフラットになる。新規の Piranha/Huang B二重クリ
ーニング・ステップがすむと、再び、該構造体は、スタ
ッド開口部を設ける準備が整う。次に、第2の接点スタ
ッドに対応する第2のスタッド開口部28'が形成され、
エッチング止め層は、SPFETデバイスに関するポリ
シリコン層33と、第1の接点スタッドに関するタングス
テンのいずれかである。図11には、3つの第2のスタッ
ド開口部28-1'、28-2'、及び28ー3'を備えた結果得られ
る構造体が、図11に示されている。
【0033】8) 次に、第2のスタッド開口部28-xが、
ウェーハ全体にブランケット・スパッタリングを施され
るTi層とTi−N層の順次被着によって充填され、複合
Ti−TiN層37が形成される。次に、第2のスタッド開
口部が、タングステン層38で充填される。被着された金
属層は、上記ポイント3)に既述の技法の1つによって
平坦化される。Ti−TiNとWによる複合層の平坦化に
よって、対応する参照番号で表示の第2の接点パッド37
及び第2の接点スタッド38が第2のスタッド開口部28'
に形成される。図12には、38-1、38-2、及び、38-3で表
示された3つの第2の接点スタッドが示されている。そ
れらは、それぞれ、SPFET P2のソース領域SP
2、第1の接点スタッド30-3、及び、ポリシリコン・ラ
ンド33-3と接触する。次に、標準的な第1のメタライゼ
ーション層39が、被着されて、パターン形成を施され、
M1マスクレベルで金属ランド39-1、39-2、及び39-3が
残される。メタライゼーション層は、Ti/Al−Cu/
Siで構成することもできる。金属ランド39ー3は、ワー
ド・ラインWLであり、一方、金属ランド39ー1及び39-2
は、電源バスであって、それぞれ、Vc及びGndに接続
される。次に、通常のBEOLプロセスの続行が可能に
なる。該構造体は、PECVD酸化物40の絶縁フィルム
でカバーされる。最終構造体が図12に示されている。
【0034】チャネルの異動度を増し、しきい電圧VT
を低下させるため、ダングリング・ボンドの水素の不活
性化を行なうことが可能である。これは、デバイスの性
能に対する結晶粒界のポテンシャル障壁の影響を最小限
に抑えるのに役立つことになる。当該技術において成形
ガス焼なましステップとして知られるこのステップは、
2/H2成形ガス中において、30mnにわたり、400
℃の炉内で達成される。このステップは、構造体の質を
高めるため、BEOL処理中の異なる時間に、任意の後
続レベルで実施することができる。
【0035】チップがマルチ・レベル形の場合、二重P
SG層35/36を被着させるステップ、第2の接点スタッ
ド38-x、…及び金属ランド39-xを形成するステップ、…
をさらに繰返すことができる。平坦化ステップを各スタ
ンド・レベルに関連づけなければならない点に留意され
たい。構造体の製作は、文献において広く言及されてい
る、Ball Limiting Metallurgy (BLM)の接点パッ
ド及び接点端子(例えば、ハンダ球)の形成を含む、端
子形成ステップを仕上げることによって終了する。
【0036】他の考慮事項 このプロセスに関する説明から明らかなように、従来の
CMOS FETプロセスと比べて、4つマスクを追加
するだけで、2組の第1と第2の接点スタッドによる接
触の融通性が大きい、タングステン・ゲートSPFET
デバイスが得られる。これは、SPFETデバイスは、
第1の接点スタッドを介してデバイス(例えば、N1,N
2,…)の活性領域と接続することができ、一方、M1
金属ランドへの接続は、第2の接点スタッドによって行
なわれることを表わしている。平坦化ステップは、各接
点スタッド・レベルと関連づけられており、指定のSP
FETデバイスが、その間に挿入される。ポリシリコン
・ランドは、SPFET本体の形成に利用されるが、必
要があれば、相互接続ラインまたは導体として用いるこ
とも可能である。導電性を高めるため、前記ラインのケ
イ化が必要になる可能性があるが、余分なマスクの利用
という犠牲を払うことになる。さらに、SPFETのゲ
ート電極として用いられる第1の接点スタッドの上方部
分は、相互接続導体として用いることも可能である。例
えば、第1の接点スタッド30-3の上方部分は、2つの下
方部分と組み合わせられると、シリコン・ウェーハ・レ
ベルで2つの活性領域とポリシリコン・ゲート電極の両
方または一方を接続するストラップを形成する、オーバ
パス導体として用いられる。
【0037】期待されるSPFETデバイスの特性は、
次の通りである、 しきい電圧:1.6〜2.0V 酸化物の厚さ:20〜30nm チャネル移動度:10cm2/V.S しきい値下電流:2pA ON/OFF電流比:10E6
【0038】図13及び図14は、本発明の方法に従って製
造された、異なる製造段階における6D SRAMセル
のレイアウトに関する典型的な図である。
【0039】図13には、図5の段階におけるレイアウト
が示されている。
【0040】図14には、電源バス(Vc、Gnd)及びワ
ード・ライン(WL)を形成する金属ランドについて描
かれた、図12の段階における構造体に対応したレイアウ
トが示されている。
【0041】
【発明の効果】本発明により、マスキング・ステップ数
を減少させたスタック形タングステン・ゲートPFET
デバイスの形成方法が得られる。
【図面の簡単な説明】
【図1】負荷デバイスとして2つのPFETを含む従来
の6D SRAMセル回路を示す図である。
【図2】スタック形ポリシリコン・ゲートPFETデバ
イスを提供する従来のCMOSFET製造プロセスに従
ってシリコン基板に集積された時点における、図1の6
D SRAMセル回路の構造体を一部切り欠いた断面図
である。
【図3A】正または負のマスクの位置ずれによって決ま
る、それによって導入される寄生デバイスを表わした、
図2のPFETデバイス構造体の詳細を示す図である。
【図3B】正または負のマスクの位置ずれによって決ま
る、それによって導入される寄生デバイスを表わした、
図2のPFETデバイス構造体の詳細を示す図である。
【図4】標準的なCMOS FET製造プロセスのマス
タ・スライス処理ステップを完了した後における、図1
の6D SRAMセルの従来のベース構造体に関する部
分断面図を示す図である。
【図5】本発明の方法の望ましい実施例に基づき、一連
の処理ステップで処理される図4の構造体を示す図であ
る。
【図6】本発明の方法の望ましい実施例に基づき、一連
の処理ステップで処理される図4の構造体を示す図であ
る。
【図7】本発明の方法の望ましい実施例に基づき、一連
の処理ステップで処理された図4の構造体を示す図であ
る。
【図8】本発明の方法の望ましい実施例に基づき、一連
の処理ステップで処理された図4の構造体を示す図であ
る。
【図9】本発明の方法の望ましい実施例に基づき、一連
の処理ステップで処理された図4の構造体を示す図であ
る。
【図10】本発明の方法の望ましい実施例に基づき、一
連の処理ステップで処理された図4の構造体を示す図で
ある。
【図11】本発明の方法の望ましい実施例に基づき、一
連の処理ステップで処理された図4の構造体を示す図で
ある。
【図12】本発明の方法の望ましい実施例に基づき、一
連の処理ステップで処理された図4の構造体を示す図で
ある。
【図13】異なる2つの製造段階の一方における、スタ
ック形タングステン・ゲートPFETを負荷デバイスと
した6D SRAMセルの典型的なレイアウトを示す平
面図である。
【図14】異なる2つの製造段階のもう一方における、
スタック形タングステン・ゲートPFETを負荷デバイ
スとした6D SRAMセルの典型的なレイアウトを示
す平面図である。
【符号の説明】
1… 6D SRAMセル回路 2… 共通ノード 3… 電荷蓄積ノード 4… 電荷蓄積ノード 5… 共通ノード 8… ROX領域 9… 活性ソース領域及びドレイン領域 10… ゲート誘電体層 11-1… ゲート電極 11-2… ゲート電極 12… スペーサ 13… ポリシリコン・ランド 15… ポリサイド・ランド 20… ROX領域 21… 活性領域 22… ゲート誘電体層 25… エッチング止め層 26… PSG層 27… 拡散バリヤ層 28… 第1のスタッド開口部 29… 第1の接点パッド 30… 第1の接点スタッド 31… 第1の酸化物層 32… 接触開口部 33… ポリシリコン層 34… TEOS酸化物層 39… メタライゼーション層 40… 絶縁フィルム
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ローランド・シヤンクルー フランス国ペルス、グラン、モリン、ル ー、デュ、21番地 (72)発明者 マイリアム・コンブ フランス国エブリ、アパートメント11、ル ー、デュ、17番地 (72)発明者 パトリツク・モーン フランス国ポンチェリー、シャト、ルー、 デュ、331番地 (72)発明者 ビンセント・バレツト フランス国メネシー、コケリコット、ル ー、デュ、34番地

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】マスタ・スライス処理ステップの完了後、
    デバイス(N1,…)と複数のポリシリコン・ライン(23
    -1,…)の両方または一方が形成された半導体ICベー
    ス構造体にスタック形半導体デバイスを形成する方法に
    おいて、 a) ベース構造体上において平坦化を施すこととが可能
    な、誘電材料による第1の厚い不活性化層(26/2
    7)を被着させるステップと、 b) 前記第1の厚さの不活性化層(26/27)に1組の第
    1のスタッド開口部(28-1,…)、いわゆる第1のスタ
    ッド開口部を形成し、少なくとも1つの活性領域(21)
    と前記ポリシリコン・ライン(23-1,…)の1つの両方
    または一方を露出させるステップと、 c) 導電材料の第1の層(30)を被着させて、前記と第
    1のスタッド開口部に充填し、その一部の上方部分が前
    記半導体デバイスのゲート電極をなす1組の第1の接点
    スタッド(30-1,…)を形成するステップと、 d) 該構造体を平坦化し、前記第1の接点スタッド(3
    0−1、…)の上部表面と前記第1の厚さの不活性化層
    (26/27)の表面が共面をなすようにするステップ
    と、 e) 薄い絶縁層(31)を被着させて、半導体デバイスの
    ゲート誘電体を形成し、それにパターン形成を施して、
    接触開口部(32-1,…)を設け、いくつかの第1の接触
    スタッドを所望の位置で露出させるステップと、 f) 第1の導電性タイプの不純物で少量のドーピングを
    施されたポリシリコン層(33)を被着させるステップ
    と、 g) 前記ポリシリコン層(33)にパターン形成を施し
    て、前記所望の位置で前記第1の接点スタッド(30-1,
    …)と接触する複数のポリシリコン・ランド(33-1,
    …)を形成するステップと、 h) 該構造体に第2の導電性タイプのドーパントを選択
    的にイオン注入し、所定のポリシリコン・ランドまたは
    その一部に半導体デバイスのソース及びドレイン領域と
    相互接続導体を形成するステップと、 i) 平坦化することが可能な誘導材料の第2の厚い不活
    性化層(35/36)を被着させるステップと、 j) 前記第2の厚い不活性化層(35/36)に1組の第2
    のスタッド開口部(28-1',…)を形成し、前記ポリシ
    リコン・ランド(33-1,…)の所望の部分と前記第1の
    接点スタッド(30-1,…)の部分の両方または一方を露
    出させるステップと、 k) 導電材料の第2の層(38)を被着させて、第2の1
    組の第2の接点スタッド(38-1,…)を形成するステッ
    プと、 l) 該構造体を平坦化し、前記第2の厚い不活性化層
    (35/36)の表面と前記第2の接点スタッド(38-1,
    …)の上部表面を共面化させるステップから成ることを
    特徴とする、 スタック形半導体デバイスの形成方法。
  2. 【請求項2】m) ステップh)に先立って、前記ポリシ
    リコン・ランド(33-1,…)の上にキャップ層(34)を
    被着させるステップが、さらに含まれることを特徴とす
    る、請求項1に記載の方法。
  3. 【請求項3】n) 前記第1の厚い不活性化層(26/27)
    を被着させるステップa)に先立ち、エッチング止め層
    (25)を被着させるステップがさらに含まれることを特
    徴とする、 請求項1または2に記載の方法。
  4. 【請求項4】o) ステップa)に先立ち、前記ベース構
    造体にバリヤ拡散層を被着させるステップがさらに含ま
    れることを特徴とする、 請求項3に記載の方法。
  5. 【請求項5】前記エッチング止め層(25)及び前記拡散
    バリヤ層の形成材料が、それぞれ、固有のポリシリコン
    及びSi34であることを特徴とする、請求項4に記載
    の方法。
  6. 【請求項6】前記エッチング止め層(25)及び前記拡
    散バリヤ層を形成する材料が、それぞれ、固有のポリシ
    リコン及びSi34であることを特徴とする、請求項5
    に記載の方法。
  7. 【請求項7】前記ステップb)が2ステップで行なわれ
    ることを特徴とする、請求項1、2、3、4、5または
    6に記載の方法。
  8. 【請求項8】前2ステップが、 b1) 導体と半導体デバイスのゲート電極の両方または
    一方に対応し、前記第1の厚い不活性化層を通って部分
    的に延びている第1のサブセットをなす開口部を形成す
    るステップと、 b2) 前記第1のサブセットをなす開口部のうちのいく
    つかに延びる第2のサブセットをなす開口部を形成し、
    前記活性領域(21)とポリシリコン・ライン(23-1,
    …)の両方または一方の少なくとも1つを露出させるス
    テップから成ることを特徴とする、 請求項7に記載の
    方法。
  9. 【請求項9】選択的にイオン注入するステップj)が、半
    導体デバイスのチャネル領域にマスキングを施すもとの
    位置のフォトレジスト・マスク(BG)を介して行なわ
    れることを特徴とする、請求項1、2、3、4、5、
    6、7または8に記載の方法。
  10. 【請求項10】ステップf)のポリシリコンが、P形で
    あり、ステップh)のドーパントが、ホウ素であること
    を特徴とする、請求項1、2、3、4、5、6、7、8
    または9に記載の方法。
  11. 【請求項11】ステップi)が、 i1) PSGの厚い層(35)を被着させるステップと、 i2) 前記PSGの厚い層を平坦化するステップと、 i3) LPCVDとPSGのいずれかの薄い層(36)を
    被着させるステップから成ることを特徴とする、 請求項1、2、3、4、5、6、7、8、9または10
    に記載の方法。
  12. 【請求項12】p1) 金属層(39)を該構造体に被着さ
    せ、それにパターン形成を施して、前記第2の接点スタ
    ッド(38-1,…)のいくつかと接触する金属ランド(39
    -1,…)を形成するステップと、 p2) 絶縁フィルム(39)を該構造体に被着させるステ
    ップがさらに含まれることを特徴とする、 請求項1、
    2、3、4、5、6、7、8、9、10または11に記
    載の方法。
  13. 【請求項13】前記平坦化ステップd)及びl)が化学
    ・機械的技法で達成されることを特徴とする、 請求項1、2、3、4、5、6、7、8、9、10、1
    1または12に記載の方法。
  14. 【請求項14】ステップc)及びk)は、 c1) 前記第1/第2のスタッド開口部(28,28')の底
    壁及び側壁に複合Ti−TiN(29,36)を形成するステ
    ップと、 c2) 前記開口部にタングステン層(30,38)を充填す
    るステップから成ることを特徴とする、 請求項1、2、3、4、5、6、7、8、9、10、1
    1、12または13に記載の方法。
  15. 【請求項15】マスタ・スライス処理ステップの完了後
    に、デバイス(N1,…)の活性領域(21)と複数のポリ
    シリコン・ライン(23-1,…)が形成されている半導体
    基板(18/19)から成るベース構造体を備えるスタック
    形半導体構造体において、 前記活性領域(21)と前記ポリシリコン・ライン(23-
    1,…)の両方または一方の少なくとも1つと接触し、
    その上部が、半導体デバイスのゲート電極と相互接続導
    体の両方または一方を形成し、その表面が第1の厚い不
    活性化層の表面と共面をなす1組の第1の金属接点スタ
    ッド(30-1,…)を備えた、前記ベース構造体に形成さ
    れる第1の厚い不活性化層と、 半導体デバイスのゲート誘電体層を形成しており、所望
    の位置において前記第1の接点スタッドの所望の部分を
    露出させるため、接触開口部(32)が設けられている薄
    い絶縁層(31)と、 前記薄い絶縁層(31)の上に形成され、その所定の部分
    が半導体デバイス本体のソース、ドレイン、及び、チャ
    ネル領域を形成しており、前記ソース領域とドレイン領
    域の少なくとも一方が接触開口部(32-1)を介して第1
    の金属接点スタッドと接触するようになっている複数の
    ポリシリコン・ランド(33-1,…)と、 結果生じる構造体に形成され、前記ポリシリコン・ラン
    ド(33-1,…)と前記第1の接点スタッド(30-1,…)
    の両方または一方の少なくとも1つと接触する1組の第
    2の金属接点スタッド(38-1)を備えた第2の厚い不活
    性化層(35/36)が、さらに設けられていることを特徴
    とする、 スタック形半導体構造体。
  16. 【請求項16】前記第2の金属接点スタッド(38-1,
    …)の少なくとも1つと電気的に接続する金属ランド
    (39-1,…)を備えた第1の金属相互接続構造体と、 最終絶縁フィルムがさらに含まれていることを特徴とす
    る、 請求項15に記載の半導体構造体。
  17. 【請求項17】前記第1の接点スタッドのいくつかが、
    U字形であって、ストラップ状の導体を形成しているこ
    とを特徴とする、請求項15または16に記載の半導体
    構造体。
  18. 【請求項18】前記ポリシリコン・ランドのいくつか、
    または、その一部が、相互接続導体であることを特徴と
    する、請求項15、16または17に記載の半導体構造
    体。
  19. 【請求項19】前記デバイス(N1,…)がFETであ
    り、前記ポリシリコン・ライン(23ー1)が前記FETの
    ゲート電極であることを特徴とする、請求項15、1
    6、17または18に記載の半導体構造体。
JP3138644A 1990-07-31 1991-05-15 スタック形半導体構造体及びその形成方法 Expired - Lifetime JPH0652783B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP90480109A EP0469215B1 (en) 1990-07-31 1990-07-31 Method of forming stacked tungsten gate PFET devices and structures resulting therefrom
FR90480109.9 1990-07-31

Publications (2)

Publication Number Publication Date
JPH0613576A true JPH0613576A (ja) 1994-01-21
JPH0652783B2 JPH0652783B2 (ja) 1994-07-06

Family

ID=8205836

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3138644A Expired - Lifetime JPH0652783B2 (ja) 1990-07-31 1991-05-15 スタック形半導体構造体及びその形成方法

Country Status (4)

Country Link
US (1) US5112765A (ja)
EP (1) EP0469215B1 (ja)
JP (1) JPH0652783B2 (ja)
DE (1) DE69023765T2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271542B1 (en) 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
KR100448959B1 (ko) * 1995-08-17 2004-11-26 소니 가부시끼 가이샤 금속플러그에의해형성된국소배선을갖는반도체장치및그제조방법
JP2012500504A (ja) * 2008-08-19 2012-01-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 3次元集積回路、これの製造方法及び記録媒体(基板の一部を正確に制御可能に除去することができる3次元集積回路デバイスの製造方法)
JP2013080817A (ja) * 2011-10-04 2013-05-02 Fujitsu Semiconductor Ltd 半導体装置及び半導体装置の製造方法
JP2014187378A (ja) * 2014-05-26 2014-10-02 Renesas Electronics Corp 半導体装置
JP2015097283A (ja) * 2009-10-21 2015-05-21 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の作製方法
US9129937B2 (en) 2008-12-15 2015-09-08 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
JP2016072633A (ja) * 2014-10-01 2016-05-09 株式会社半導体エネルギー研究所 配線層およびその作製方法

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2852679B2 (ja) * 1989-09-01 1999-02-03 富士通株式会社 半導体装置及びその製造方法
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
EP0469214A1 (en) * 1990-07-31 1992-02-05 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
KR970009274B1 (ko) * 1991-11-11 1997-06-09 미쓰비시덴키 가부시키가이샤 반도체장치의 도전층접속구조 및 그 제조방법
US6013565A (en) * 1991-12-16 2000-01-11 Penn State Research Foundation High conductivity thin film material for semiconductor device
US5200880A (en) * 1991-12-17 1993-04-06 Sgs-Thomson Microelectronics, Inc. Method for forming interconnect for integrated circuits
US5332913A (en) * 1991-12-17 1994-07-26 Intel Corporation Buried interconnect structure for semiconductor devices
US5156987A (en) * 1991-12-18 1992-10-20 Micron Technology, Inc. High performance thin film transistor (TFT) by solid phase epitaxial regrowth
US5266516A (en) * 1992-01-02 1993-11-30 Chartered Semiconductor Manufacturing Pte Ltd Method for making electrical contact through an opening of one micron or less for CMOS technology
DE69211329T2 (de) * 1992-03-27 1996-11-28 Ibm Verfahren zum Herstellen von pseudo-planaren Dünnschicht PFET-Anordnungen und hierdurch erzeugte Struktur
US5322804A (en) * 1992-05-12 1994-06-21 Harris Corporation Integration of high voltage lateral MOS devices in low voltage CMOS architecture using CMOS-compatible process steps
JP2665644B2 (ja) * 1992-08-11 1997-10-22 三菱電機株式会社 半導体記憶装置
DE69324864T2 (de) * 1992-08-21 1999-10-07 St Microelectronics Inc Verfahren zur Herstellung einer Halbleiter-Speicherstruktur vom vertikalen Typ und nach dem Verfahren hergestellte Struktur
US5244837A (en) * 1993-03-19 1993-09-14 Micron Semiconductor, Inc. Semiconductor electrical interconnection methods
US5616934A (en) * 1993-05-12 1997-04-01 Micron Technology, Inc. Fully planarized thin film transistor (TFT) and process to fabricate same
US5650655A (en) * 1994-04-28 1997-07-22 Micron Technology, Inc. Integrated circuitry having electrical interconnects
US5348899A (en) * 1993-05-12 1994-09-20 Micron Semiconductor, Inc. Method of fabricating a bottom and top gated thin film transistor
US5858821A (en) * 1993-05-12 1999-01-12 Micron Technology, Inc. Method of making thin film transistors
DE4435461C2 (de) * 1993-10-06 2001-09-20 Micron Technology Inc N D Ges Dünnfilmtransistor und dessen Herstellverfahren
US5391505A (en) * 1993-11-01 1995-02-21 Lsi Logic Corporation Active device constructed in opening formed in insulation layer and process for making same
US6242772B1 (en) * 1994-12-12 2001-06-05 Altera Corporation Multi-sided capacitor in an integrated circuit
JP3022744B2 (ja) * 1995-02-21 2000-03-21 日本電気株式会社 半導体装置及びその製造方法
US5658829A (en) * 1995-02-21 1997-08-19 Micron Technology, Inc. Semiconductor processing method of forming an electrically conductive contact plug
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US5637525A (en) * 1995-10-20 1997-06-10 Micron Technology, Inc. Method of forming a CMOS circuitry
US5718800A (en) * 1995-11-08 1998-02-17 Micron Technology, Inc. Self-aligned N+/P+ doped polysilicon plugged contacts to N+/P+ doped polysilicon gates and to N+/P+ doped source/drain regions
US5830798A (en) * 1996-01-05 1998-11-03 Micron Technology, Inc. Method for forming a field effect transistor
US5808319A (en) * 1996-10-10 1998-09-15 Advanced Micro Devices, Inc. Localized semiconductor substrate for multilevel transistors
US6107189A (en) * 1997-03-05 2000-08-22 Micron Technology, Inc. Method of making a local interconnect using spacer-masked contact etch
US6143640A (en) 1997-09-23 2000-11-07 International Business Machines Corporation Method of fabricating a stacked via in copper/polyimide beol
US6043507A (en) * 1997-09-24 2000-03-28 Micron Technology, Inc. Thin film transistors and methods of making
US6921962B1 (en) * 1998-12-18 2005-07-26 Texas Instruments Incorporated Integrated circuit having a thin film resistor located within a multilevel dielectric between an upper and lower metal interconnect layer
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
KR100299386B1 (ko) 1998-12-28 2001-11-02 박종섭 반도체 소자의 게이트 전극 형성방법
JP3988342B2 (ja) 1998-12-29 2007-10-10 株式会社ハイニックスセミコンダクター 半導体素子のゲート電極形成方法
US6346470B1 (en) * 1999-04-19 2002-02-12 Advanced Micro Devices, Inc. Method for reducing electromigration in semiconductor interconnect lines
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
SG87934A1 (en) * 2000-06-30 2002-04-16 Chartered Semiconductor Mfg A method of fabricating cmos devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6853072B2 (en) * 2002-04-17 2005-02-08 Sanyo Electric Co., Ltd. Semiconductor switching circuit device and manufacturing method thereof
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
DE102005063092B3 (de) * 2005-12-30 2007-07-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur mit erhöhter Ätzselektivität
WO2011052351A1 (en) 2009-10-29 2011-05-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR102281043B1 (ko) * 2009-10-29 2021-07-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR101824854B1 (ko) 2009-11-06 2018-02-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US8780629B2 (en) 2010-01-15 2014-07-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and driving method thereof
KR101926336B1 (ko) * 2010-02-05 2019-03-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
WO2012002186A1 (en) 2010-07-02 2012-01-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP5973165B2 (ja) 2010-12-28 2016-08-23 株式会社半導体エネルギー研究所 半導体装置
US9490241B2 (en) * 2011-07-08 2016-11-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a first inverter and a second inverter
US9117916B2 (en) 2011-10-13 2015-08-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising oxide semiconductor film
JP6570817B2 (ja) 2013-09-23 2019-09-04 株式会社半導体エネルギー研究所 半導体装置
JP2015084418A (ja) 2013-09-23 2015-04-30 株式会社半導体エネルギー研究所 半導体装置
KR102329267B1 (ko) * 2014-09-29 2021-11-22 삼성디스플레이 주식회사 박막트랜지스터 기판, 이를 구비한 디스플레이 장치, 박막트랜지스터 기판 제조방법 및 디스플레이 장치 제조방법
US9773787B2 (en) 2015-11-03 2017-09-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, memory device, electronic device, or method for driving the semiconductor device
US10388654B2 (en) * 2018-01-11 2019-08-20 Globalfoundries Inc. Methods of forming a gate-to-source/drain contact structure

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0020135A1 (en) * 1979-05-29 1980-12-10 Massachusetts Institute Of Technology Three-dimensional integration by graphoepitaxy
US4489478A (en) * 1981-09-29 1984-12-25 Fujitsu Limited Process for producing a three-dimensional semiconductor device
US4430365A (en) * 1982-07-22 1984-02-07 International Business Machines Corporation Method for forming conductive lines and vias
JPS6089953A (ja) * 1983-10-22 1985-05-20 Agency Of Ind Science & Technol 積層型半導体装置の製造方法
SE8603491L (sv) * 1985-08-26 1987-02-27 Rca Corp Integrerad krets med staplade mosfelteffekttransistorer och sett att framstella densamma
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
JPH0612799B2 (ja) * 1986-03-03 1994-02-16 三菱電機株式会社 積層型半導体装置およびその製造方法
US4767724A (en) * 1986-03-27 1988-08-30 General Electric Company Unframed via interconnection with dielectric etch stop
US4840923A (en) * 1986-04-30 1989-06-20 International Business Machine Corporation Simultaneous multiple level interconnection process
JPS6340343A (ja) * 1986-08-05 1988-02-20 Fujitsu Ltd 三次元半導体装置及びその製造方法
EP0281711B1 (en) * 1987-01-28 1992-04-22 Advanced Micro Devices, Inc. Four-transistor (4t) static ram cells
US4795722A (en) * 1987-02-05 1989-01-03 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
JPH063837B2 (ja) * 1987-03-03 1994-01-12 シャープ株式会社 三次元半導体集積回路の製造方法
JPS63299251A (ja) * 1987-05-29 1988-12-06 Toshiba Corp 半導体装置の製造方法
US4902641A (en) * 1987-07-31 1990-02-20 Motorola, Inc. Process for making an inverted silicon-on-insulator semiconductor device having a pedestal structure
GB2212979A (en) * 1987-12-02 1989-08-02 Philips Nv Fabricating electrical connections,particularly in integrated circuit manufacture
US5064772A (en) * 1988-08-31 1991-11-12 International Business Machines Corporation Bipolar transistor integrated circuit technology
US5026666A (en) * 1989-12-28 1991-06-25 At&T Bell Laboratories Method of making integrated circuits having a planarized dielectric

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100448959B1 (ko) * 1995-08-17 2004-11-26 소니 가부시끼 가이샤 금속플러그에의해형성된국소배선을갖는반도체장치및그제조방법
US6620659B2 (en) 1997-12-08 2003-09-16 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US6271542B1 (en) 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
JP2012500504A (ja) * 2008-08-19 2012-01-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 3次元集積回路、これの製造方法及び記録媒体(基板の一部を正確に制御可能に除去することができる3次元集積回路デバイスの製造方法)
US9312394B2 (en) 2008-12-15 2016-04-12 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
US9754816B2 (en) 2008-12-15 2017-09-05 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
US9129937B2 (en) 2008-12-15 2015-09-08 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
JP2020107905A (ja) * 2009-10-21 2020-07-09 株式会社半導体エネルギー研究所 半導体装置
JP2015097283A (ja) * 2009-10-21 2015-05-21 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の作製方法
JP2013080817A (ja) * 2011-10-04 2013-05-02 Fujitsu Semiconductor Ltd 半導体装置及び半導体装置の製造方法
US9000535B2 (en) 2011-10-04 2015-04-07 Fujitsu Semiconductor Limited Semiconductor device and semiconductor device manufacturing method
JP2014187378A (ja) * 2014-05-26 2014-10-02 Renesas Electronics Corp 半導体装置
JP2016072633A (ja) * 2014-10-01 2016-05-09 株式会社半導体エネルギー研究所 配線層およびその作製方法
US10644039B2 (en) 2014-10-01 2020-05-05 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor
US11211408B2 (en) 2014-10-01 2021-12-28 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor
US11616085B2 (en) 2014-10-01 2023-03-28 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor
US11901372B2 (en) 2014-10-01 2024-02-13 Semiconductor Energy Laboratory Co., Ltd. Wiring layer and manufacturing method therefor

Also Published As

Publication number Publication date
DE69023765T2 (de) 1996-06-20
US5112765A (en) 1992-05-12
JPH0652783B2 (ja) 1994-07-06
DE69023765D1 (de) 1996-01-04
EP0469215A1 (en) 1992-02-05
EP0469215B1 (en) 1995-11-22

Similar Documents

Publication Publication Date Title
US5112765A (en) Method of forming stacked tungsten gate PFET devices and structures resulting therefrom
US5100817A (en) Method of forming stacked self-aligned polysilicon PFET devices and structures resulting therefrom
EP0562207B1 (en) Method of forming thin film pseudo-planar PFET devices and structures resulting therefrom
US5275963A (en) Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
CA2105039C (en) Semiconductor device and wafer structure having a planar buried interconnect by wafer bonding
US6376304B1 (en) Semiconductor memory device and a method for fabricating the same
JP2974211B2 (ja) Soi半導体デバイス
US5843816A (en) Integrated self-aligned butt contact process flow and structure for six transistor full complementary metal oxide semiconductor static random access memory cell
US5831899A (en) Local interconnect structure and process for six-transistor SRAM cell
JP3066041B2 (ja) 高い抵抗性の無定形シリコン抵抗器を含む集積回路を形成する方法
US5821590A (en) Semiconductor interconnection device with both n- and p-doped regions
US5200356A (en) Method of forming a static random access memory device
US6559510B1 (en) Static random access memory device
JPH1041511A (ja) Soiウエハおよびそれを用いた半導体集積回路装置ならびにその製造方法
US5652160A (en) Method of fabricating a buried contact structure with WSix sidewall spacers
JP2000323582A (ja) 半導体装置およびその製造方法
JP3258095B2 (ja) 相補型n−チャンネル及びp−チャンネル・デバイスを備えた集積回路の製造方法及び形成方法
US5254874A (en) High density local interconnect in a semiconductor circuit using metal silicide
US6150228A (en) Method of manufacturing an SRAM with increased resistance length
JPH06232372A (ja) 半導体記憶装置
JPH10275872A (ja) 半導体装置及びその製造方法
JPH02237151A (ja) 半導体記憶装置
WO1991017576A1 (en) High density local interconnect in a semiconductor circuit using metal silicide
JPH04352476A (ja) 半導体装置