JPH0412615B2 - - Google Patents

Info

Publication number
JPH0412615B2
JPH0412615B2 JP59071024A JP7102484A JPH0412615B2 JP H0412615 B2 JPH0412615 B2 JP H0412615B2 JP 59071024 A JP59071024 A JP 59071024A JP 7102484 A JP7102484 A JP 7102484A JP H0412615 B2 JPH0412615 B2 JP H0412615B2
Authority
JP
Japan
Prior art keywords
silicon nitride
semiconductor device
nitride layer
manufacturing
ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
JP59071024A
Other languages
English (en)
Other versions
JPS59198723A (ja
Inventor
Hendoriku Fuan Omen Arufuretsudo
Godefuridasu Rafueeru Maasu Henrikasu
Aanorudasu Aperusu Yohanesu
Yakobusu Maria Yosefu Josukuin Biruherumusu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics NV filed Critical Koninklijke Philips Electronics NV
Publication of JPS59198723A publication Critical patent/JPS59198723A/ja
Publication of JPH0412615B2 publication Critical patent/JPH0412615B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Weting (AREA)

Description

【発明の詳細な説明】 本発明は、窒化シリコン層の表面の一部にイオ
ンを注入した後、この窒化シリコン層にエツチン
グ処理を施して行う半導体装置の製造方法に関す
るものである。
このような方法は、例えばホトラツカーのよう
な追加のマスクを用いることなく、窒化シリコン
層の所望の区域に自己整合的にパターンを形成す
る場合に特に適している。シリコン技術における
通常の方法では、これらのパターンは、シリコン
や酸化シリコンをエツチングするときにエツチン
グマスクとして、またはシリコンを局部的に酸化
するときに酸化マスクとして用いることができ
る。
日本国特許出願に係る特開昭53−45974号公報
によつて、冒頭に述べた種類の方法は既に知られ
ている。この公報に記載の方法では、窒化シリコ
ン層にホウ素イオンを局部的に注入し、フツ化水
素の溶液中でエツチング処理を行つている。イオ
ンが注入された窒化シリコン層の部分は、イオン
が注入されない部分よりも容易に溶解され得る。
エツチング処理中に、イオンが注入された窒化シ
リコン層の部分は除去され、イオンが注入されな
かつた窒化シリコン層の部分はそのまま残され
る。このようにして、パターン化されたイオン照
射の正の像が、窒化シリコン層に形成される。
上記既知の方法の欠点は、多くの応用に対して
は負の像が望まれているにもかかわらず、イオン
照射パターンの正の像のみを窒化シリコン層に形
成し得るにすぎないことである。このことは、イ
オンが注入された窒化シリコン層の部分が、イオ
ンが注入されない部分よりも容易にエツチングさ
れ得ない場合には、多くの場合に望ましくなるこ
とを意味している。
実験によれば、像形成イオン照射による窒化シ
リコン層の前記正の作用は、窒化シリコン層に対
して通常用いられるすべての腐食剤に適用でき、
注入に用いられるイオンの種類には依存しないこ
とを示している。
本発明の目的は、特に、イオンが注入された窒
化シリコン層の部分がイオンが注入されない部分
よりも容易にエツチングされ得ない半導体装置の
製造方法を提供することにある。
この目的のため本発明によれば、冒頭において
述べた種類の半導体装置の製造方法において、イ
オン注入後であつたエツチング処理の前に、窒化
シリコン層に熱処理を施して、窒化シリコン層の
イオン注入部分が、窒化シリコン層の非イオン注
入部分よりも高い耐エツチング性を得るようにす
ることを特徴としている。驚くべきことに、熱処
理は、窒化シリコン層の前述した正の作用を負の
作用に変化させ得ることを見い出した。
本発明半導体装置の製造方法の好適な実施例に
よれば、窒素、アンチモン、ホウ素、ガリウム、
リン、ヒ素、アルゴン、クリプトンおよびキセノ
ンよりなる群から選択される元素から形成される
イオンを、窒化シリコン層内に注入する。この元
素群から形成されるイオンによつて、窒化シリコ
ン層の耐エツチング性に次のような影響を与える
ことができる。すなわち、イオンによつて照射さ
れなかつた窒化シリコン層部分は、イオンが照射
された窒化シリコン層部分に対して、実際の応用
において選択的かつ十分に除去することができる
イオンが窒化シリコン層の少なくとも半分内に侵
入するようなエネルギーでイオンを注入し、照射
ドーズ量を1012イオン/cm2より大きくなるように
選択するのが好適である。これらの条件のもと
で、窒化シリコン層の非照射部分を、窒化シリコ
ン層の照射部分をエツチングすることのできる速
度よりも、1.5倍大きい速度でエツチングするこ
とができる。非常に有用な熱処理を、750℃〜
1100℃の温度で10〜120分間行う。このような熱
処理の間に、窒化シリコン層が上部に設けられる
基板を、不所望の結果を得ることなく同時に加熱
することができるので、例えばレーザ照射による
窒化シリコン層の非常に局部的な加熱が不必要と
なる。窒化シリコン層は、ジクロロシランおよび
アンモニアを含む混合気体から750℃〜850℃の温
度で且つ低減圧力でデポジツトさせるのが好適で
ある。本発明製造方法によれば、追加のマスクを
用いることなくエツチングマスクあるいは酸化マ
スクを形成することのできる層として窒化シリコ
ン層を利用できる場合の回数をかなり増大させ
る。このことは、後により詳細に説明する。
このため本発明の第1の重要な製造方法によれ
ば、窒化シリコン層上に、一時的に補助層が設け
られる垂直縁部を有するステツプ状隆起部を形成
し、この垂直縁部にほぼ平行に照射されるイオン
ビームによつてイオン注入を行う。このイオン注
入は、縁部上に補助層が存在する状態で、あるい
はこの補助層がない状態で実行することができ
る。熱処理後に、イオン注入の間に遮蔽されてい
た窒化シリコン層の部分を除去することができる
(ステツプ状隆起部の除去後)。イオンが注入され
た窒化シリコン層の部分はそのまま残される。し
たがつて、ステツプ状隆起部をマスクとして用い
ることにより、縁部上に補助層が存在しない状態
であるいは補助層が存在する状態で行うことので
きる処理操作に対して位置が正確に定められるパ
ターンが窒化シリコン層に形成させる。特に、補
助層の厚さによつて相対距離が定められる。
追加のマスクを用いることなく、エツチングマ
スクまたは酸化マスクを製造する本発明に基づく
第2の重要な製造方法は、窒化シリコン層を、垂
直縁部を有するステツプ状隆起部上に設けた後、
この垂直縁部にほぼ平行に照射されるイオンビー
ムによつてイオン注入を行う。イオン注入の間、
ステツプ状隆起部の縁部のそばにある窒化シリコ
ン層部分は、ステツプ状隆起部の上部にある窒化
シリコン層の部分によつて遮蔽される。熱処理の
後、遮蔽された窒化シリコン層の部分を除去し、
イオン注入が行われた部分を残すことができる。
このように、追加のマスクを用いることなく、ス
テツプ状隆起部に対して位置が正確に定められた
パターンが窒化シリコン層に形成される。この場
合、窒化シリコン層の厚さは、縁部とこの縁部に
対して直角に延在する窒化シリコン層の残留部分
との間の距離の値を定める要因の1つである。
前記第1の製造方法の好適な実施例では、補助
層を、窒化シリコン層の下側の基板にイオンを注
入する際のマスクとして形成し、補助層を、窒化
シリコン層内へのイオンの注入の際のマスクとし
て用いないようにしている。このようにして、基
板に注入されたイオンを、残留窒化シリコン層の
下側に存在させることができる。窒化シリコン層
のイオン注入部分から一定距離にある基板の部分
を露出させる穴を、エツチングによつて窒化シリ
コン層に形成することができる。
第1の製造方法の他の好適な実施例によれば、
補助層を、窒化シリコン層のエツチング処理の際
のマスクとして用いることなく、補助層を、窒化
シリコン層内へのイオン注入のためのマスクとし
て用いている。このようにして、補助層の厚さに
ほぼ等しい幅を有する間隙を、窒化シリコン層内
にエツチングすることができる。したがつて、サ
ブミクロン(1ミクロンよりも小さい)範囲で細
部を実現することができる。
第2の製造方法の好適な実施例では、窒化シリ
コン層のエツチングによつて、イオンが注入され
ていない部分を除去した後、その残留部分を、窒
化シリコン層の下側にある多結晶シリコン層内に
間隙をエツチングするためのマスクとして用い、
間隙を酸化処理によつて酸化シリコンにより充て
んしている。このようにして、ステツプ状隆起部
の縁部に、ステツプ状隆起部の下側にある基板に
非常に近接する絶縁層を設けることができる。こ
れは、ステツプ状隆起部の縁部と、エツチング処
理の結果、縁部に対して直角に延在する窒化シリ
コン層の残留部分との間に一定の距離が得られる
という事実に基づいている。
第2製造方法の他の好適な実施例では、窒化シ
リコン層の残留部分を、ステツプ状隆起部の露出
縁部と共に、窒化シリコン層の下側にある連続層
のエツチング処理に対するマスクとして用いてい
る。ステツプ状隆起部の縁部とこの縁部に対して
直角に延在する窒化シリコン層の部分との間の距
離は、この場合、連続層にエツチングされる溝の
幅を定めるために用いられる。この幅は、窒化シ
リコン層の厚さにほぼ等しくすることができるの
で、サブミクロン範囲で細部を実現することがで
きる。
以下、本発明を図面に基づいてさらに詳細に説
明する。なお、図は線図的なものであり寸法通り
描かれたものではない。同一部分には、同一の番
号を付して示している。
第1図〜第3図は、本発明方法によつて得られ
る半導体装置の連続する製造工程における略断面
図を示す。出発材料は、窒化シリコン層2が上部
に形成された基板1である。窒化シリコン層の表
面部分4(この場合には、ホトラツカーマスク3
によつて定めらる)に亘つて、矢印5で示すよう
に、窒化シリコン層内にイオンを注入する。本発
明によれば、次に、窒化シリコン層2に熱処理を
施す。その結果、窒化シリコン層2のイオン注入
部分4は、非イオン注入部分6より高い耐エツチ
ング性を得ることとなる。次に、窒化シリコン層
2を、エツチング処理する。イオンが照射された
窒化シリコン層2の部分4は、イオンが照射され
なかつた部分6よりもエツチングがされにくくな
るので、第3図に示すようにパターン化されたイ
オン照射の負の像を形成することができる。
第4図は、イオンが注入されない窒化シリコン
層のエツチング速度と、イオンが注入された窒化
シリコン層のエツチング速度との比r1/r2を、イ
オン注入後であつてエツチング処理前に施される
熱処理の温度の関数として示している。前記特開
昭53−45974号公報にも記載されているように、
熱処理を行わなければ、イオンが注入された窒化
シリコンは、イオンが注入されなかつた窒化シリ
コンよりも一層急速にエツチングされることがわ
かつている。このことは、750℃までの温度での
熱処理によつて変化しない。驚くべきことに、
750℃より高い温度での熱処理は、イオンが注入
された窒化シリコンを、イオンが注入されない窒
化シリコンよりも急速にエツチングし得ないよう
にする。この実施例ではジクロロシランとアンモ
ニアとの混合気体から、820℃の温度および10Pa
の圧力で窒化シリコンをシリコン基板上に成長さ
せた。この実施例では、200KeVのエネルギーお
よび1014イオン/cm2のドーズ量でヒ素イオンを注
入した。窒化シリコン層を、約4容積%のフツ化
水素を含む水溶液でエツチングした。
窒素、アンチモン、ホウ素、ガリウム、リン、
アルゴン、クリプトンおよびキセノンより成る群
から選択した元素から形成したイオンを同様に成
長させた窒化シリコン層に注入しても同様の結果
が得られた。イオンは、少なくとも窒化シリコン
層の中間まで侵入するようなエネルギーで注入す
ることが望ましく、このことは、25〜250KeVの
エネルギーを用いなければならないことを意味し
ている。この場合、ドーズ量は、1012〜1015イオ
ン/cm2となるようにする。このようにして、エツ
チング速度の比r1/r2を1.5にすることができき
る。エツチング処理の前に行われる熱処理として
は、750℃〜1100℃の温度で15〜120分間加熱する
のが好適であることがわかつた。750℃〜850℃の
温度および低減圧力のもとで、ジクロロシランと
アンモニアとを含む混合気体から窒化シリコン層
をデポジツトするのが好適である。
イオン注入された窒化シリコン層とイオン注入
されなかつた窒化シリコン層とを本発明に従つて
熱処理後にエツチングする場合のエツチング速度
の比r1/r2は、使用される腐食剤に依存する。50
容積%のフツ化水素を含む水溶液ではr1/r2は多
くとも1.5であり、0.3〜5容積%のフツ化水素を
含む水溶液では1.35であり、85容積%のリン酸を
含む水溶液では1.1である。0.3〜5容積%のフツ
化水素を含む水溶液の場合、1000℃の温度での熱
処理後は、r1=5.5nm/分およびr2=4nm/分で
ある。四フツ化炭素(CF)と数容積%の酸素と
を含む混合気体中で発生されるプラズマ中では、
r1/r2は多くとも1.3である。
本発明による方法によれば、以下に説明するよ
うに窒化シリコン層の有用性が増大する。
第5図から第10図は、本発明による方法の重
要な第1実施例に基づく半導体装置の連続する製
造工程における略断面図を示す。この実施例で
は、ドープされた半導体区域29が下側に存在す
る酸化物領域32によつて、半導体本体21の表
面領域31を横方向に絶縁するために本発明を用
いている(第10図)。
出発材料は、約500nmの厚さの酸化シリコン
の上部層22を有するシリコンの半導体本体21
を具える基板1である。上部層22には、約30n
mの厚さの窒化シリコン層2を形成し、次に、窒
化シリコン層2上に、通常のホトラツカーマスク
および通常の異方性エツチング処理によつて、こ
の場合約1000nmの高さを有する多結晶シリコン
のステツプ状隆起部23を形成する。このステツ
プ状隆起部23は、補助層25が一時的に設けら
れる垂直状縁部24を有している。この縁部24
にほぼ平行に照射されるイオンビームによつて、
以下に説明するようにイオン注入を行う。イオン
注入は、縁部24上に補助層25が存在する状態
で、あるいは補助層25が存在しない状態で行う
ことができる。熱処理後、イオン注入の行われた
窒化シリコン層2の部分4を残して、イオン注入
の間遮蔽されていた窒化シリコン層2の部分6を
除去することができる。このようにして、縁部2
4上に補助層25が存在する状態または存在しな
い状態において行われる他の処理操作に対して正
確に位置が定められたパターンが窒化シリコン層
2内に形成される。
第5図〜第10図に示す実施例では、補助層2
5が設けられたステツプ状隆起部23は、矢印2
6で示されるイオン注入の間、マスクとして用い
られる。このイオン注入は、窒化シリコン層2の
下側にある半導体本体21内へ例えばホウ素イオ
ン27により行われ、そのイオンビームは、縁部
24にほぼ平行に照射されている。窒化シリコン
層2が酸化シリコン層22の保護層として働く通
常のエツチング処理によつて補助層25を除去し
た後、矢印28によつて示され且つ縁部24にほ
ぼ平行に向けられたイオンビームによつて、イオ
ンを窒化シリコン層2内に注入する。本発明によ
る熱処理の後には、イオンが注入された窒化シリ
コン層2の部分4は、イオンが注入されなかつた
窒化シリコン層2の部分6よりもエツチングが非
容易になり、イオン27の拡散によつて半導体区
域29が同時に形成される。次にフツ化水素の水
溶液によつて窒化シリコン層2内に開口30をエ
ツチングすることができ、この開口30の位置
は、半導体区域29に対して正確に定められる。
開口30と半導体区域29との相対的な距離は、
特に、補助層25の厚さによつて決定される。
窒化シリコン層2の部分4は、最終的に、開口
30の下側にある酸化シリコン層22の部分を除
去するために用いられる。酸化シリコン層22の
部分の除去は、例えばフツ化水素の水溶液によつ
て行われる(開口30を形成しおよひ酸化シリコ
ン層22を除去するためのエツチングプロセスは
1工程で行われる)。窒化シリコン層は、特にそ
れがアンダーエツチングされる区域では前記フツ
化水素の水溶液で溶解されるので、第9図および
第10図に示すようにエツチングされた形状が酸
化シリコン層22内に得られる。このようにして
露出された半導体本体21の部分31は、下側に
区域29が存在する酸化物領域32によつて横方
向に絶縁されている。窒化シリコン層2の残留部
分4が最終的に除去される。イオンが酸化シリコ
ン層22に注入されるならば、酸化シリコン層2
2は、熱処理の後であつてもより急速にエツチン
グし得るようになる。イオンが酸化シリコン層2
2に達するようにイオン注入が行われると、表面
31に対して角度34をなす傾斜縁部33が得ら
れる。角度34は、イオン注入28によつて影響
を受け得る。
第11図〜第15図は、わずかに異なる同様の
半導体装置の製造の連続工程における略断面図を
示している。この場合、ステツプ状隆起部23
を、例えば適切に選択された気体から低圧力で補
助層35をデポジツトさせることによつて補助層
35によつて覆う。残りの製造工程は、上述した
実施例におけると同様に行われる。この方法の利
点は、ステツプ状隆起部をホトラツカーによつて
作ることができ、その結果前記製造方法に比べて
いくつかの処理工程を省略できることである。
第16図〜第21図は、前述の第1実施例の方
法に匹敵し得る方法を用いた半導体装置の連続す
る製造工程における略断面図である。この場合に
おいても、補助層25が一時的に設けられる垂直
縁部24を有するステツプ状隆起部23を窒化シ
リコン層2上に形成し、垂直縁部24にほぼ平行
に照射されるイオンビームによつてイオン注入を
行う。この場合、近接し相互に絶縁された多数の
導体48が多結晶シリコン層42内に形成され
る。
出発材料は、約50nmの厚さの酸化シリコンの
上部層41を有するシリコンの半導体本体40を
具える基板1である。この上部層上には、500n
mの厚さの多結晶シリコン層42を設け、この多
結晶シリコン層上には約150nmの厚さの窒化シ
リコン層2を設ける。次に、この窒化シリコン層
2の上側には、通常のホトラツカーマスクおよび
通常の異方性エツチング工程によつて、この場合
約1000nmの高さを有する多結晶シリコンのステ
ツプ状隆起部23を形成する。このステツプ状隆
起部23は、例えばステツプ状隆起部23を熱酸
化させることによつて約100nmの厚さの補助層
25が一時的に設けられる垂直縁部24を有して
いる。補助層25を有するステツプ状隆起部23
は、窒化シリコン層2へのイオン注入のためのマ
スクとして用いられる。矢印43で示されるイオ
ンビームは、縁部24にほぼ平行に照射される。
次に、補助層25を除去し、本発明に基づいて窒
化シリコン層2に熱処理を施す。補助層25が取
除かれたステツプ状隆起部23は、窒化シリコン
層2のエツチング処理の間にマスクとして用いら
れる。このエツチング処理の間、イオンが注入さ
れなかつた窒化シリコン層2の露出部分は除去さ
れ、窒化シリコン層2に開口44が形成される。
これらの開口44は、補助層25の厚さにほぼ等
しい幅を有している。
ステツプ状隆起部23を除去した後、窒化シリ
コン層2に形成されたマスク(4,6,44)を
用いて、異方性プラズマエツチングにより、酸化
シリコン層41に達するまで多結晶シリコン層4
2内に間隙46を形成する。次に、層42の多結
晶シリコンに熱酸化処理を施すと、間隙46は、
酸化物層47によつて充てんされる。このように
して、多結晶シリコン層42内に、酸化物層47
によつて相互に絶縁された多数の近接する導体4
8が形成される。最後に、窒化シリコン層2の部
分6を除去し、窒化シリコン層2の部分4を残し
て、導体48が接点のために交互に露出するよう
にすることができる。
第22図〜第27図は、本発明方法の重要な第
2実施例を用いる半導体装置の連続する製造工程
における略断面図である。この実施例では、本発
明は電界効果トランジスタの製造に用いられてい
る。
出発材料は、シリコンの半導体本体51を具え
る基板1であり、この半導体本体51は、約30n
mの厚さの酸化シリコン層53が設けられた表面
部52を有しており、この表面部52は表面部を
横方向に絶縁するための約300nmの厚さの酸化
シリコンの境界部54によつて取り囲まれてい
る。このような酸化物の境界部54は、フイール
ド酸化物(field oxide)と呼ばれている。酸化
シリコン層53に約500nmの厚さの多結晶シリ
コン層56を設け、マスク57によつて覆われな
い部分を通常の異方性プラズマエツチング処理に
よつて除去することによつて、スナツプ状隆起部
55を酸化シリコン層53上に形成する。窒化シ
リコン層2を、垂直縁部を有するステツプ状隆起
部55上に形成する。次に、矢印59によつて示
され且つ縁部58にほぼ平行に照射されるイオン
ビームによつてイオン注入を行う。イオン59を
表面領域52内に注入することにも利用される上
記イオン注入の間、ステツプ状隆起部55の縁部
58のそばにある窒化シリコン層2の部分60
は、ステツプ状隆起部55の上部にある窒化シリ
コン層2の部分61によつて遮蔽される。本発明
による熱処理の後、拡散によつて半導体区域62
が形成され、イオン注入が行われた部分61,6
3を残して、遮蔽された部分60を除去すること
ができる。このようにして、窒化シリコン層2に
は、ステツプ状隆起部55の縁部58の部分のみ
が取り除かれたパターンが形成される。窒化シリ
コン層2の厚さは、また、縁部58と縁部58に
直角に延在する窒化シリコン層2の部分63との
間の距離を決定する。
窒化シリコン層2の残留部61,63は、ステ
ツプ状隆起部55の露出縁部58の酸化処理(こ
りにより絶縁層64が形成される)の間、マスク
として用いられる。縁部58と窒化シリコン層の
部分63との間には一定の距離があるので、絶縁
層64は、酸化処理の後、酸化シリコンの絶縁層
53と完全に接合する。
次に、窒化シリコン層の残留部分を除去し、半
導体区域62を、矢印65によつて示されるイオ
ン注入および次の熱処理によつて境界部54の付
近でより多量にドープする。接点穴66および金
属層67を通常のように設けた後に、トランジス
タが形成される。
第28図および第29図は、第2イオン注入を
行わないことを除き第22図〜第27図において
示した方法と同じ方法で製造したトランジスタを
示す。窒化シリコン層2の残留部分61および6
3を除去した後、半導体区域62が露出されるよ
うな量の酸化シリコンをフツ化水素によるエツチ
ング処理によつて除去する。次に、全体を例えば
白金層68で被覆する。この白金層68は、熱処
理により露出シリコンと珪化物領域69を形成す
る。白金層68は、酸化物と反応していないので
除去することができる。
第27図および第29図に示す両トランジスタ
は、半導体区域62との良好な電気的接点を有し
ており、これら接点はトランジスタのソースおよ
びドレインとして機能する。
第30図から第35図は、本発明方法の重要な
第2実施例を用いた他の半導体装置の連続する製
造工程における略断面図を示す。この実施例で
は、本発明をバイポーラトランジスタの製造のた
めに用いている。
出発材料は、約500nmの厚さのフイールド酸
化物の境界部72によつて取り囲まれた第2導電
形の表面部71を有する第1導電形のシリコンの
半導体本体70を具える基板1である。表面部7
1および境界部72に、上部に酸化シリコン層7
6がデポジツトされる多結晶シリコン層75を設
けることによつて、縁部74を有するステツプ状
隆起部73を表面部71上に形成する。酸化シリ
コン層76上に、ホトラツカーのパターンを形成
した後、縁部74を有するステツプ状隆起部73
を、通常の異方性プラズマエツチング法によつて
エツチングする。そして、窒化シリコン層2を、
ステツプ状隆起部73上に設ける。次に、矢印7
8によつて示され且つ第1導電形を決定するイオ
ンビームによつてイオン注入を行う。このビーム
は、ステツプ状隆起部73の縁部74にほぼ平行
に照射される。このイオン注入78によつて、イ
オン79が表面部71および窒化シリコン層2内
に注入される。この場合、窒化シリコン層2の部
分80は、ステツプ状隆起部73の上部に設けら
れた部分81によつて遮蔽されている。本発明に
よる熱処理の後には、イオン79の拡散によつて
第1導電形の半導体区域83が形成されており、
窒化シリコン層2の部分81および82を残し
て、窒化シリコン層2の部分80を除去すること
ができる。このようにして、ステツプ状隆起部7
3の縁部74の部分のみが取り除かれたパターン
が窒化シリコン層2に形成される。このパターン
は、ステツプ状隆起部73の縁部74を絶縁酸化
シリコン84に変換するための次の酸化処理にお
いてマスクとして用いられる。半導体区域83に
接触する導体85および半導体本体70に接触す
る導体86を設けた後、目的とするトランジスタ
が完成される。半導体区域83に対するイオン注
入79および絶縁領域84を設けるためのマスク
81,82が自己整合的に実現されるこの方法に
よつて、pn接合71,83が導体75または導
体85により短絡されないように保証される。
第36図〜第41図は、本発明方法の重要な第
2実施例の他の好適は変形例を用いて、第35図
に示されたバイポーラトランジスタと同様のバイ
ポーラトランジスタの連続する製造工程における
略断面図を示す。
出発材料は、フイールド酸化物の境界部72に
よつて絶縁された表面部71を有し、全体が多結
晶シリコン層75および酸化シリコン層76で被
覆される半導体本体70である。この場合、ホト
ラツカー77のパターンを、矢印91によつて示
されるイオンビームによつて、第2導電形の特性
を与えるイオン90を表面部71に注入する際
に、マスクとして用いる。続いて、パターン77
は、垂直縁部94を有するステツプ状隆起部93
を、通常の異方性プラズマエツチング法によつて
酸化シリコン76内にエツチングするために用い
られる。このステツプ状隆起部を、窒化シリコン
層2で被覆する。次に、矢印95により示され且
つ縁部94にほぼ平行に照射されるイオンビーム
によつて、窒化シリコン層2内にイオンを注入す
る。この場合にも、窒化シリコン層2の部分96
は、ステツプ状隆起部93の上部に設けられた窒
化シリコン層2の部分97によつて遮蔽されてい
る。本発明による熱処理の後には、半導体区域8
3が形成されており、窒化シリコン層2の部分9
7および98を残して、部分96を除去すること
ができる。窒化シリコン層の残留部98およびス
テツプ状隆起部93の露出縁部96を、多結晶シ
リコン層75内に開口99をエツチングする際に
マスクとして用いる。多結晶シリコン層75を熱
処理した後には、絶縁層76に連続する絶縁層1
00が形成され、2つの互いに絶縁された導体が
多結晶シリコン層75から作られる。導体86を
設けた後に、第41図のトランジスタが完成され
る。このようにして形成されたトランジスタは、
第35図のトランジスタと比較すると、追加のイ
オン注入を犠牲にして得ることができるプレーナ
構造を有している。
第42図〜第47図は、本発明方法の重要な第
2実施例のさらに他の変形例による連続する製造
工程における半導体装置の略断面図を示す。この
実施例では、本発明は静電誘導トランジスタを製
造するために用いられている。
出発材料は、第1導電形の表面層111を有す
る第1導電形のシリコンの半導体本体110を具
える基板1である。表面層111を、約500nm
の厚さの多結晶シリコン層112で被覆し、次
に、この多結晶シリコン層112上に、約100n
mの厚さの酸化シリコンの上部層113を熱酸化
によつて設ける。次に、ホウ素イオンを、多結晶
シリコン層112内に注入する。続いて、ホトラ
ツカーマスク114および普通の異方性プラズマ
エツチング技術によつて多結晶シリコン層112
にステツプ状隆起部115を形成する。ステツプ
状隆起部を、約100nmの厚さの窒化シリコン層
2で被覆する。次に、矢印116によつて示され
るイオンビームによつてイオン注入を行い、窒化
シリコン層2の部分117および118にイオン
を注入する。この場合、窒化シリコン層2の部分
119は部分118によつて遮蔽されている。本
発明による熱処理の後、多結晶シリコン層112
からのホウ素の拡散によつてp形導電性区域12
0を形成し、窒化シリコン層2の部分119を除
去することができる。このようにして露出された
ステツプ状隆起部115の縁部121に熱酸化に
よつて酸化シリコン層122を設ける。窒化シリ
コン層2の残留部分117および118を除去し
後、矢印123によつて示されるビームによつ
て、表面層111にヒ素イオン124を注入す
る。最後に、熱処理によつてn形導電性区域12
5を形成し、金属部126および127を設け
る。
【図面の簡単な説明】
第1図〜第3図は、本発明方法によつて得られ
る半導体装置の連続製造工程における略断面図、
第4図は、イオンが注入されない窒化シリコン層
のエツチング速度とイオンが注入された窒化シリ
コン層のエツチング速度との比を、イオン注入後
であつてエツチング処理前に行われる熱処理の温
度の関数として示す図、第5図〜第10図は、本
発明の第1の製造方法の好適な実施例によつて製
造される半導体装置の連続製造工程における略断
面図、第11図〜第15図は、第5図〜第10図
において示した製造方法の変形例によつて製造さ
れる半導体装置の連続製造工程における略断面
図、第16図〜第21図は、本発明の第1の製造
方法の他の好適な実施例によつて製造される半導
体装置の連続製造工程における略断面図、第22
図〜第27図は、本発明の第2の製造方法の好適
な実施例によつて製造される半導体装置の連続製
造工程における略断面図、第28図および第29
図は、第22図〜第27図において示した製造方
法の変形例によつて製造される半導体装置の連続
製造工程における略断面図、第30図〜第35図
は、第22図〜第27図において示した製造方法
の他の変形例によつて製造される半導体装置の連
続製造工程における略断面図、第36図〜第41
図は、本発明の第2の製造方法の他の好適な実施
例によつて製造される半導体装置の連続製造工程
における略断面図、第42図〜第47図は、本発
明の第2方法のさらに他の好適な実施例によつて
製造される半導体装置の連続製造工程における略
断面図である。 1……基板、2……窒化シリコン層、3……ホ
トラツカーマスク、4……イオン注入部分、6…
…非イオン注入部分、21,40,51……半導
体本体、22,41,76……酸化シリコン層、
23,55,73……ステツプ状隆起部、24,
58,74……垂直縁部、25,35……補助
層、29,62,83……半導体区域、30,4
4……開口、33……傾斜縁部、42,56,7
5……多結晶シリコン層、46……間隙、48,
85,86……導体、68……白金層、69……
珪化物領域。

Claims (1)

  1. 【特許請求の範囲】 1 窒化シリコン層の表面の一部に亘つてイオン
    を前記窒化シリコン層内に注入した後、前記窒化
    シリコン層にエツチング処理を施す半導体装置の
    製造方法において、前記イオン注入後であつて前
    記エツチング処理の前に、前記窒化シリコン層に
    熱処理を施して、前記窒化シリコン層のイオン注
    入部分が、前記窒化シリコン層の非イオン注入部
    分よりも高い耐エツチング性を得るようにするこ
    とを特徴とする半導体装置の製造方法。 2 特許請求の範囲第1項に記載の半導体装置の
    製造方法において、窒素、アンチモン、ホウ素、
    ガリウム、リン、ヒ素、アルゴン、クリプトンお
    よびキセノンよりなる群から選択される元素から
    形成されるイオンを、前記窒化シリコン層内に注
    入することを特徴とする半導体装置の製造方法。 3 特許請求の範囲第1項または第2項に記載 半導体装置の製造方法において、イオンが前記
    窒化シリコン層の少なくも半分内に侵入するよう
    なエネルギーでイオンを注入し、照射ドーズ量を
    1012イオン/cm2より大きくなるように選択するこ
    とを特徴とする半導体装置の製造方法。 4 特許請求の範囲第1項から第3項のいずれか
    に記載の半導体装置の製造方法において、前記窒
    化シリコン層のイオン注入部分が前記窒化シリコ
    ン層の非イオン注入部分よりも高い耐エツチング
    性が得られる前記熱処理を、750℃〜1100℃の温
    度で15〜120分間行うことを特徴とする半導体装
    置の製造方法。 5 特許請求の範囲第1項から第4項のいずれか
    に記載の半導体装置の製造方法において、前記窒
    化シリコン層を、ジクロロシランおよびアンモニ
    アを含む混合気体から、750℃〜850℃の温度およ
    び低減圧力でデポジツトさせることを特徴とする
    半導体装置の製造方法。 6 特許請求の範囲第1項から第5項のいずれか
    に記載の半導体装置の製造方法において、前記窒
    化シリコン層上に、一時的に補助層が設けられる
    垂直縁部を有するステツプ状隆起部を形成し、こ
    の垂直縁部にほぼ平行に照射されるイオンビーム
    によつてイオン注入を行うことを特徴とする半導
    体装置の製造方法。 7 特許請求の範囲第1項から第5項のいずれか
    に記載の半導体装置の製造方法において、前記窒
    化シリコン層を、垂直縁部を有するステツプ状隆
    起部上に設けた後、この垂直縁部にほぼ平行に照
    射されるイオンビームによつてイオン注入を行う
    ことを特徴とする半導体装置の製造方法。 8 特許請求の範囲第6項に記載の半導体装置の
    製造方法において、前記補助層を、前記窒化シリ
    コン層の下側の基板にイオンを注入する際のマス
    クとして形成し、前記補助層を、前記窒化シリコ
    ン層内へのイオンの注入の際のマスクとして用い
    ないことを特徴とする半導体装置の製造方法。 9 特許請求の範囲第8項に記載の半導体装置の
    製造方法において、前記窒化シリコン層と前記基
    板との間に酸化シリコン層を形成し、前記窒化シ
    リコン層のエツチング処理によつて、イオンが注
    入されなかつた部分を除去した後、その残留部分
    を、前記酸化シリコン層のエツチングのためのマ
    スクとして用いることを特徴とする半導体装置の
    製造方法。 10 特許請求の範囲第6項に記載の半導体装置
    の製造方法において、前記補助層を、前記窒化シ
    リコン層のエツチング処理の際のマスクとして用
    いることなく、前記補助層を、前記窒化シリコン
    層内へのイオン注入のためのマスクとして用いる
    ことを特徴とする半導体装置の製造方法。 11 特許請求の範囲第10項に記載の半導体装
    置の製造方法において、前記窒化シリコン層のエ
    ツチングによつて、イオンが注入されていない部
    分を除去した後、その残留部分を、前記窒化シリ
    コン層の下側にある多結晶シリコン層内に間隙を
    エツチングするためのマスクとして用い、前記間
    隙を酸化処理によつて酸化シリコンにより充てん
    することを特徴とする半導体装置の製造方法。 12 特許請求の範囲第7項に記載の半導体装置
    の製造方法において、前記窒化シリコン層の残留
    部分を、前記ステツプ状隆起部の露出縁部の酸化
    処理の際にマスクとして用いることを特徴とする
    半導体装置の製造方法。 13 特許請求の範囲第12項に記載の半導体装
    置の製造方法において、前記窒化シリコン層内へ
    のイオンの注入の際に、この窒化シリコン層の下
    側にある基板内にイオンを同時に注入し、このイ
    オンが前記窒化シリコン層の前記熱処理の際に拡
    散によつて前記基板内に半導体区域を形成するこ
    とを特徴とする半導体装置の製造方法。 14 特許請求の範囲第13項に記載の半導体装
    置の製造方法において、イオンが注入されない窒
    化シリコン層の部分を除去した後、その残留部分
    を、前記ステツプ状隆起部の露出縁部の熱酸化の
    ためのマスクとして用いることを特徴とする半導
    体装置の製造方法。 15 特許請求の範囲第14項に記載の半導体装
    置の製造方法において、酸化縁部を有する前記ス
    テツプ状隆起部を、次のイオン注入の際のマスク
    として用いて、前記窒化シリコン層のイオン注入
    および熱処理の際に形成される半導体区域を、局
    部的に一層多量にドープすることを特徴とする半
    導体装置の製造方法。 16 特許請求の範囲第14項に記載の半導体装
    置の製造方法において、前記ステツプ状隆起部の
    酸化縁部を、珪化物を形成する際のマスクとして
    用い、全表面にエツチング処理を施して、ステツ
    プ状隆起部とステツプ状隆起部のそばにある基板
    部との上部を露出させ、前記全表面に金属を被覆
    し、熱処理を施して、前記ステツプ状隆起部と前
    記基板部との露出部が前記金属と珪化物を形成す
    るようにすることを特徴とする半導体装置の製造
    方法。 17 特許請求の範囲第12項に記載の半導体装
    置の製造方法において、前記ステツプ状隆起部の
    下側にある基板内に第1導電形を定めるイオン
    を、前記ステツプ状隆起部に与えた後、前記露出
    縁部を熱酸化し、酸化された縁部を有するステツ
    プ状隆起部を、前記基板への次のイオン注入の際
    にマスクとして用いることを特徴とする半導体装
    置の製造方法。
JP59071024A 1983-04-11 1984-04-11 半導体装置の製造方法 Granted JPS59198723A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
NL8301262A NL8301262A (nl) 1983-04-11 1983-04-11 Werkwijze voor het vervaardigen van een halfgeleiderinrichting, waarbij met behulp van ionenimplantatie patronen worden aangebracht in een laag siliciumnitride.
NL8301262 1983-04-11

Publications (2)

Publication Number Publication Date
JPS59198723A JPS59198723A (ja) 1984-11-10
JPH0412615B2 true JPH0412615B2 (ja) 1992-03-05

Family

ID=19841683

Family Applications (1)

Application Number Title Priority Date Filing Date
JP59071024A Granted JPS59198723A (ja) 1983-04-11 1984-04-11 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US4514251A (ja)
EP (1) EP0122662B1 (ja)
JP (1) JPS59198723A (ja)
DE (1) DE3466133D1 (ja)
NL (1) NL8301262A (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4717449A (en) * 1984-04-25 1988-01-05 Honeywell Inc. Dielectric barrier material
NL8402859A (nl) * 1984-09-18 1986-04-16 Philips Nv Werkwijze voor het vervaardigen van submicrongroeven in bijvoorbeeld halfgeleidermateriaal en met deze werkwijze verkregen inrichtingen.
FR2573919B1 (fr) * 1984-11-06 1987-07-17 Thomson Csf Procede de fabrication de grilles pour circuit integre
US4653173A (en) * 1985-03-04 1987-03-31 Signetics Corporation Method of manufacturing an insulated gate field effect device
GB2172427A (en) * 1985-03-13 1986-09-17 Philips Electronic Associated Semiconductor device manufacture using a deflected ion beam
DE3675491D1 (de) * 1985-09-30 1990-12-13 Siemens Ag Verfahren zur uebertragung feinster fotolackstrukturen.
US4774197A (en) * 1986-06-17 1988-09-27 Advanced Micro Devices, Inc. Method of improving silicon dioxide
US4772539A (en) * 1987-03-23 1988-09-20 International Business Machines Corporation High resolution E-beam lithographic technique
US5186788A (en) * 1987-07-23 1993-02-16 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US4978418A (en) * 1988-08-18 1990-12-18 The United States Of America As Represented By The United States Department Of Energy Controlled ion implant damage profile for etching
US4956314A (en) * 1989-05-30 1990-09-11 Motorola, Inc. Differential etching of silicon nitride
US5092957A (en) * 1989-11-24 1992-03-03 The United States Of America As Represented By The United States Department Of Energy Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching
US5236547A (en) * 1990-09-25 1993-08-17 Kabushiki Kaisha Toshiba Method of forming a pattern in semiconductor device manufacturing process
US5240875A (en) * 1992-08-12 1993-08-31 North American Philips Corporation Selective oxidation of silicon trench sidewall
JP2664866B2 (ja) * 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5413953A (en) * 1994-09-30 1995-05-09 United Microelectronics Corporation Method for planarizing an insulator on a semiconductor substrate using ion implantation
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US5994210A (en) * 1997-08-12 1999-11-30 National Semiconductor Corporation Method of improving silicide sheet resistance by implanting fluorine
US5940735A (en) * 1997-08-25 1999-08-17 Advanced Micro Devices, Inc. Reduction of charge loss in nonvolatile memory cells by phosphorus implantation into PECVD nitride/oxynitride films
JP2000040691A (ja) * 1998-07-21 2000-02-08 Oki Electric Ind Co Ltd 半導体装置製造方法
DE10103524A1 (de) * 2001-01-26 2002-08-22 Infineon Technologies Ag Verfahren und Halbleiteranordnung zur Ätzung einer Schicht eines Halbleitersubstrats mittels einer siliziumhaltigen Ätzmaske
US6806197B2 (en) * 2001-08-07 2004-10-19 Micron Technology, Inc. Method of forming integrated circuitry, and method of forming a contact opening
JP2004192935A (ja) * 2002-12-11 2004-07-08 Hitachi Displays Ltd 有機el表示装置
US7737049B2 (en) * 2007-07-31 2010-06-15 Qimonda Ag Method for forming a structure on a substrate and device
KR20100035777A (ko) * 2008-09-29 2010-04-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8889562B2 (en) 2012-07-23 2014-11-18 International Business Machines Corporation Double patterning method
JP6032415B2 (ja) * 2012-11-20 2016-11-30 富士通セミコンダクター株式会社 半導体装置の製造方法
US9054041B2 (en) * 2013-07-18 2015-06-09 GlobalFoundries, Inc. Methods for etching dielectric materials in the fabrication of integrated circuits
CN105097537B (zh) * 2014-05-12 2019-09-27 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52128066A (en) * 1976-04-20 1977-10-27 Matsushita Electronics Corp Manufacture of semiconductor device
DE2748401A1 (de) * 1977-10-28 1979-05-03 Licentia Gmbh Halbleiteranordnung

Also Published As

Publication number Publication date
EP0122662B1 (en) 1987-09-09
DE3466133D1 (en) 1987-10-15
EP0122662A1 (en) 1984-10-24
NL8301262A (nl) 1984-11-01
US4514251A (en) 1985-04-30
JPS59198723A (ja) 1984-11-10

Similar Documents

Publication Publication Date Title
JPH0412615B2 (ja)
US4609568A (en) Self-aligned metal silicide process for integrated circuits having self-aligned polycrystalline silicon electrodes
JP2577330B2 (ja) 両面ゲ−ト静電誘導サイリスタの製造方法
KR940006691B1 (ko) 개선된 쌍극형 트렌지스터 제조방법
JPH021132A (ja) 集積回路構造体の製造方法
JPH0147901B2 (ja)
KR0172262B1 (ko) 반도체 소자의 제조방법
US4191595A (en) Method of manufacturing PN junctions in a semiconductor region to reach an isolation layer without exposing the semiconductor region surface
US4290186A (en) Method of making integrated semiconductor structure having an MOS and a capacitor device
JPS6123657B2 (ja)
US4435225A (en) Method of forming self-aligned lateral bipolar transistor
JPS6133253B2 (ja)
RU1830156C (ru) Способ изготовлени полупроводниковых приборов
JP2948486B2 (ja) 半導体素子の製造方法
JPS62140451A (ja) 多結晶シリコン抵抗及び配線の製造方法
US4653173A (en) Method of manufacturing an insulated gate field effect device
JPH0471236A (ja) 半導体装置の製造方法
JPS5984436A (ja) 半導体装置の製造方法
JPH03191529A (ja) 半導体装置の製造方法
KR0155580B1 (ko) 캐패시터 제조방법
JP2745946B2 (ja) 半導体集積回路の製造方法
JPS6142169A (ja) 半導体装置の製造方法
KR100219094B1 (ko) 트렌치형 디모스 트랜지스터의 제조방법
JPS6077460A (ja) 半導体装置の製造方法
JPH03145137A (ja) 半導体装置の製造方法