JPH01119029A - 高速熱プラズマ多重処理リアクタ及びその使用方法 - Google Patents

高速熱プラズマ多重処理リアクタ及びその使用方法

Info

Publication number
JPH01119029A
JPH01119029A JP63099301A JP9930188A JPH01119029A JP H01119029 A JPH01119029 A JP H01119029A JP 63099301 A JP63099301 A JP 63099301A JP 9930188 A JP9930188 A JP 9930188A JP H01119029 A JPH01119029 A JP H01119029A
Authority
JP
Japan
Prior art keywords
wafer
plasma
vacuum chamber
reactor
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP63099301A
Other languages
English (en)
Inventor
Mehrdad M Moslehi
メハルダッド マハムド モスレヒ
Krishna C Saraswat
クリシュナ チャンドラ サラスワット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Leland Stanford Junior University
Original Assignee
Leland Stanford Junior University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Leland Stanford Junior University filed Critical Leland Stanford Junior University
Publication of JPH01119029A publication Critical patent/JPH01119029A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 本発明は、1986年5月5日付出願の米国特許出願第
859.943号明細書に記載の熱プラズマ多重処理リ
アクタ(サーマルプラズマ マルチプロセフシイグ リ
アクタ)およびその使用方法に関する。
集積電子技術が進歩するに従って、カスタムVLS I
システム(カスタム超大規模集積回路システム)用の柔
軟性あるカスタムフアプリケーション技術(特定のユー
ザの需要に応じたLSIを製造する技術)を開発するこ
とが要求される。現行の半導体ウェーハ処理技術は、バ
ッチ式処理技術すなわち、所与の炉内で複数のウェーハ
を単一処理工程で処理し、次いで別の処理工程を行なう
別の炉にウェーハを移す方式の処理技術に基いている。
将来のVLS Iの処理を行なうには、低温度であるこ
とおよび処理時間が短かいことが本質的に重要になる。
このことは、特定用途用Ic(ASIC)(このASI
Cは、生産数量が限定されるものでありかつ需要者の要
求も急に変わるものである)の製造業界が急激に成長し
ていることからも特に要求されることである。VLSI
装置に必要な薄いライルム絶縁体(誘電体)の再現成長
を、現在一般に使用されているホットウォール炉で行な
うことは、雰囲気と温度の過渡時間が長くかつ炉の温度
が一定であること等の理由により困難である。
公知の炉で上記フィルム誘導体を再現成長させることの
別の困難性は、ウェーハの処理過程を連続的に監視(モ
ニタ)できる炉であることが重要な要件であるにもかか
わらず、最も標準形の炉ではマルチウェーハ処理のみが
行なえるように設計されていて、広範囲のその場(現場
)での実時間測定を行なうことが困難なことにある。
半導体ウェーハの処理分野における関連する問題点は、
タングステンゲート形MO3(金属酸化膜半導体装置の
1、その場での信顛できる製造方法を開発する必要があ
ることである。タングステンゲート形MO3装置の製造
には、急速熱酸化(rapidthermal cxi
dation”(RTO))サイクルおよび急速熱窒化
(rapid thermal n1tridatio
n”(RTN))サイクルによりゲート絶縁体を成長さ
せた後、非選択タングステン蒸着(デポジション)処理
を行ってゲート電極を形成することが必要とされる。
酸素およびアンモニア雰囲気中でシリコン(sili−
con)の急速熱酸化および急速熱窒化(RTOおよよ
びRTN)を行なうことが、珪素窒化物、二酸化珪素、
窒化酸素、酸化窒化物および特定用途(カスタムティラ
ー形)の絶縁体を成長させるための優れた技術であると
認められている。学会誌r I E E E Tran
sactions On Electron Devi
cesJ(Vol、 ED −31,tlh 9、第1
174頁〜第1179頁、1984年)の記事″A N
ew Tungsten GateProcess f
or VLSI Application ” (S、
Iwata、他)に開示されているように、MOSゲー
ト材料としてタングステンが非常に優れたものであるこ
とが知られている。しかしながら、タングステンのゲー
ト電極をその場で製造できる信頼性ある方法は未だ開発
されていない。最近になって、フォト増強形およびマイ
クロウェーブプラズマ増強形の水素プラズマ化学蒸着(
CVD)技術を用いて、450℃以下の基板温度で二酸
化珪素(SiCh)にブランケットタングステンフィル
ムを蒸着する技術が報告されている(例えば、学会誌r
 Electroc−hemical 5octety
 Fall MeetingJ  (E CS Vol
86−2.第500頁、1986年)の記事” Bla
nketTungusten Film Tormat
ion by Photo Enhancedand 
Dlasma II!nhanced Chemica
l Vapor Deposition”(S、 Ts
uzuki+他)を参照)。しかしながら、この方法を
商業的段階で再現することばは未だ行なわれていない。
また、この方法が、タングステンゲート形のMOS  
VLSI装置を形成する場合の従来からの問題点、すな
わち、絶縁層へのタングステンの付着力が小さなこと、
タングステンゲートを介して移植されたドーパント(不
純物の一種)にチャンネリングが生じること、酸化抵抗
が小さいこと、ゲート絶縁性が低下すること等の問題点
を解決し得るものであるということが未だ明らかではな
い。
VLS I装置を形成するための他の成長および蒸着方
法の中には、MOSゲート電極、低抵抗の接触および接
触バリヤ、多レベル相互連結、およびソース/ドレン寄
生抵抗の形成を行なう実行可能な技術としてタングステ
ンの低圧化学蒸着法(LPGVD)が出現している。例
えば、第3回国際IEEEVLSI Multilev
el Irterconnection会議での予稿集
” Non−5elective Tungsten 
CVD Technology forGate El
ectrodes and Interconnect
ion ” (Kobay−ashi、他 第436頁
〜第442頁、1986)を参照されたい。しかしなが
ら、従来のホットウォールLPGVD炉は、高速タング
ステン蒸着を再゛現することおよび絶縁体の上にタング
ステンを非選択形成することには適していないものであ
る。なぜならば現在のホットウォール炉では、炉の壁部
にタングステンのデポジット(堆積)が生じるために炉
の効率が低下し、ひいては炉の洗浄のために炉を分解し
なければならないからである。
公知のスパッタリング技術によってタングステンゲート
電極を製造するには、移動イオン汚染を最小にするため
に非常に純粋をターゲットが必要とされ、かつスパッタ
リングにより誘起されるゲートの破壊をなくす特別あ注
意が必要になる。また、従来のLPGVD炉を400℃
以下の温度で作動させて、タングステン蒸着を行うこと
も試みられている。試みられた方法として、選択的自己
制限蒸着工程であるWF、のシリコン低減する方法、ゲ
ート電極の形成のためにシランを噴霧することによりタ
ングステンをWF6 +H2+StH<の形でブランケ
ット蒸着する方法、およびWCX。
のシリコン又は水素の低減を図って高温度で非選択蒸着
する方法がある。しかしながら、これらのいずれの方法
も、小さな形状寸法の装置の中にタングステンのゲート
電極を形成することができる信頼のおける方法であるこ
とを実証するものではない。
従って本発明の方法は、シリコン窒化物、酸化物おオキ
シニトライド並びにシリコンのエピタキシー、ゲルマニ
ウム、シリコンカーバイドおよびダイヤモトを含む金属
と、絶縁物とシリコンとを現場成長および蒸着させるた
めの、単一ウエーハ用の新規なコールドウオール高速熱
/マイクロウェーブ遠隔プラズマ多重処理(RTMRP
M)リアクタを提供することにある。このリアクタの設
計の一部として、制御された短い過渡時間で雰囲気と温
度とを作り出すことを目的とする。
本発明の他の目的は、少量生産で利幅の大きな特殊用途
の集積回路の生産におけるリアクタの使用性を向上させ
るため、低温度でかつ短い処理時間でVLS I処理を
行なうことのできるリアクタを提供することにある。
本発明の他の目的は、ウェーハを炉から炉へと移動させ
ることに起因するウェーハの汚染を無くして、集積回路
の生産性を向上させるため、現場(すなわち同一のリア
クタ内)で半導体ウェーハの多重処理を行なう技術を提
供することにある。
本発明の他の目的は、装置の多様性を向上できかつカス
タムVLS 1回路のCAD (コンピュータ援用によ
る設計)のフレキシビリティを高めることのできるRT
MPPMリアクタを提供することにある。
本発明の他の目的は、選択又は非選択タングステン蒸着
を有効に行なうことのできる装置を提供することにある
本発明による単一ウェーハ用のランプ加熱形多重処理リ
アクタは、高選択性の高速非プラズマタングステン蒸着
又はプラズマ励起によるタングステンの非選択蒸着を行
なうことができる。また本発明のリアクタはタングステ
ン組成物のLPGVD(低圧化学蒸着)を行なうことが
でき、かつ雰囲気仕様の光子励起を行なうことができる
上記目的は、ウェーハをチャンバ内に取付ける手段を備
えた真空チャンバと、ウェーハを光学的に加熱するため
ウェーハの後側に面した1つの壁に隣接して取付けられ
た光束発生手段と、遠隔プラズマを発生させて真空チャ
ンバに案内することができるプラズマ噴射ボートとを有
している、単一ウェーハ用の新規なコールドウオール高
速熱/マイクロウェーブ遠隔プラズマ多重処理リアクタ
によって達成される。ポートは、プラズマ発生チャンバ
を通してガスを噴射することおよび非プラズマ噴射を行
なうことの両方に用いられる。プラズマポートおよび非
プラズマポートは、別々のマニホルドを介して複数のガ
ス供給源に連結されている。本発明による包括的なリア
クタの設計により、現場すなわち同一のリアクタで幾つ
かのつ工−ハ処理行程を行なうことができると同時に、
各処理工程の最適化を図ることができる。
好ましくは、真空チャンバを水冷形のステンレス鋼チャ
ンバとして構成し、チャンバの一部に窓を設け、ウェー
ハの後側に面したランプ列により、前記窓を通してウェ
ーハを加熱するようにするのがよい。取付けられたウェ
ーハと同心状の円形のまわりで半径方向に配置された複
数のプラズマ噴射ボートが設けてあり、これにより、ウ
ェーハの面に対してプラズマを均一に流すことができる
ようになっている。プラズマ噴射ボートにより形成され
る領域の中心にはサファイヤ製のウィンド(窓)が設け
てあり、この窓を通して処理のモニタリングおよび紫外
線又はレーザによる処理の増強を行なうことができるよ
うになっている。
本発明の特徴および利点は、添付図面に基く本発明の実
施例についての以下の詳細な説明から明らかになるであ
ろう。
第1図は、本発明のリアクタ(反応装置)およびその付
属装置の概略構成を示すものである。リアクタ8は真空
チャンバ10(この真空チャンバIOは公知技術による
水冷形のものであるのが望ましい)を備えている。真空
チャンバ10内では、シリコンウェーハ12が、小さな
熱質量をもつ複数の水晶製ピン14上に載せられている
。ウェーハ12の処理される側の面16は、離れたとこ
ろに設けられたマイクロウェーブプラズマ発生キャビテ
ィ20から真空チャンバ10までプラズマを導く水晶製
の放出管18の端部コーン17に面して配置される。
第2図に詳細に示すように、好ましい実施例による真空
チャンバ・10は、半径方向に間隔を隔てて配置された
複数の放出管18を備えている。前述の米国特許出願第
859.943号に記載された方法に従って設計された
マイクロウェーブブラズマ発生キャビティ20は、マイ
クロウェーブ発生器22(第1図)により駆動されかつ
チューナおよびパワーメーター24を用いて制御される
。プラズマ発生キャビティ20内におけるプラズマの発
生量はチューニングピン(調整ビン)26により調整さ
れ、調整されたプラズマは放出管18を通って真空チャ
ンバ10内に流入する。ガス噴射ライン28により、マ
イクロウェーブキャビティ20およびプラズマ噴射管1
8と、マニホルドおよびガス分配装置30 (第2図に
詳細に示す)を備えた複数のガス源とが連結されている
。同じく第2図に示すように、同一のガス供給源からは
、ガスが別のマニホルドを通して流出し、非プラズマガ
ス噴射ボート32を通して真空チャンバ10内に供給さ
れる。複数の非プラズマガス噴射ボート32は、真空チ
ャンバ10の全体に均一にガスを分散させることができ
るものである。真空チャンバ10内の真空は、公知の技
術に従って設計されたポンプ装置を用いて維持される。
ウェーハ12の加熱は、ウェーハ12の後面38に向く
ように真空チャンバ10の1つの壁に隣接して配置され
た複数のタングステン−ハロゲンランプ36により行な
われる。好ましい実施例においては、タングステン−ハ
ロゲンランプ36・を互いに重なり合うように互い違い
に配置し、水冷形の水晶製ウィンド42を通ってウェー
ハ12の後面38に到達する加熱光束40が均一に分散
されるようにするのがよい。このように設計することに
よって、ウェーハ12の温度を、数秒間から数十分間の
時間間隔で室温から1150℃の範囲で制御することが
できる。好ましい実施例に示すように、マイクロウェー
ブプラズマ発生キャビティ20、プラズマポートおよび
/又は非プラズマポートを備えたガス分配装置30およ
び水冷形の水晶製ウィンド42を通して加熱光束40を
照射する外部加熱源すなわちタングステン−ハロゲンラ
ンプ36が設けであるため、ウェーハ12を操作したり
又は一方のリアクタから他方のリアクタに移動させたり
することなく、単一のウェーハ12に多(の処理を施こ
すことができ、このため同一場所すなわちその場での連
続処理の可能性を最大にすることができる。上記のよう
に設計されたリアクタは、装置の機能を多様化し、処理
の再現性および均一性を向上し、本発明により与えられ
る方法によって形成される層の成長速度および蒸着速度
を増大し、従って、その場での(現場での)半導体の多
重処理(マルチプロセッシング)を行なうことができる
離れた場所で発生されたプラズマは、マイクロウェーブ
プラズマ放出キャビティすなわちマイクロウェーブプラ
ズマ発生キャビティ20(好ましい実施例においては、
該キャビティ20は、2、450MHz  (Sバンド
)で作動する)により、水晶型の放出管18を介して真
空チャンバ10に導びかれる。従来の半導体ウェーハ処
理作業に用いられている従来の局部的プラズマ技術とは
異なり、この離れた場所(遠隔)でのマイクロウェーブ
プラズマ発生法を用いることにより、複合ガスの雰囲気
中にガスを放出することから生じる煩られしい問題に係
わることな(、処理チャンバ内に非プラズマガスを付加
的に噴射すると同時に、特別な特性をもつプラズマを選
択的にかつ制御された状態で発生させることができる。
離れた場所すなわち遠隔光学加熱方法(すなわち、水冷
形のウィンドを通して光束を水冷形の真空チャンバ10
に導く方法)と共に遠隔プラズマ処理方法を用いること
により、絶縁体および半導体の低温度誘電成長およびC
VD (水素プラズマ化学蒸着)を行なうことが可能に
なる。また、MOSゲート用の絶縁層上にタングステン
およびその組成物(例えば窒化物)を非選択蒸着する幾
つかの新しい方法の開発を可能にする。本発明の装置の
構成は、現場すなわちその場での多重処理を行なうのに
非常にフレキシビリティに冨んだものである。なぜなら
ば、本発明の装置は、クロス汚染が無視できる程小さく
かつプロセスメモリ効果が発揮できるようにして、雰囲
気ガス、雰囲気温度およびプラズマの急速サイクリング
を行なうことかできるからである。この結果、本発明の
リアクタは、カスタムVLS I回路のCAM (コン
ピュータ援用による製造法)を用いたプロセス設計者の
能力を最大限に発揮させることができる。
プロセス設計のフレキシビリティを高めることにつなが
る本発明の装置の別の重要な特徴は、第2図に詳細に示
すガス分配網30を設けたことにある。第2図に示すよ
うに、このガス分配網30は3つのマニホルド5.52
.54を備えていて、これらのマニホルド50.52.
54は第2図の左上の関係チャートに概略的に示す方法
で、複数のガス源に連結されている。この関係チャート
(その縦欄には種々のガスが示してあり、横欄にはマニ
ホルドが示しである)では、縦欄と横欄との交差部が黒
であるものは当該マニホルドを介しての連結が存在する
ことを示しており、一方縦欄と横欄との交差部が同じも
のはいかなる連結も行なわれていないことを示している
。マニホルドを介して使用できるガスを第2図の二部に
明示しである。これらのガス以外にも、NFl、加熱W
CI16f7)固体源、SiF、および記号X、、X、
、X、で示す他のガスを使用することができる。第2図
の好ましい実施例においては、8本の放出管18 (各
放出管は、第1図に示したものと同様に設計される)が
真空チャンバエ0に連結されている。各放出管18には
シリコンウェーハが取付けられた領域のまわりで半径方
向に規則正しい列をなして管が設けられており、これら
の管はウェーハの全面に亘ってプラズマを均一に分散で
きる角度に取付けられている。マイクロウェーブキャビ
ティ20は、各放出管18の入口に設けられている。
好ましい実施例においては、放出管18のポートの規則
正しい半径方向の間隔によって空に残された中央領域に
サファイヤ製のウィンドが設けてあり、このサファイヤ
製のウィンドはウェーハ12の処理される頂面16の直
ぐ下に配置されている。ウェーハの光子増強処理を行な
うため、このサファイヤ製のウィンドと面している真空
チャンバ10の外側に、紫外線源又はレーザ源を設ける
ことができる。また、ウェーハの処理された面に面して
いるこのサファイヤ製のウィンドを介して、処理の連続
モニタリングを行なうこともできる。第2図の概略図に
は単一の非プラズマガスインジェクタ32が示しである
が、真空チャンバ10の全体に均一にガス分散させるた
めには1つ以上のインジェクタ32を設けることができ
る。
本発明のリアクタ事態は、幾つかの応用例に適したもの
である。すなわち、酸化物(急速熱酸化により形成され
るもの、RTo)、窒化物(急速熱窒化により形成され
たもの、RTN) 、ニトコキシド(RTO/RTN)
およびオデシニトラン1’ (RTN/RTO)からな
るシリコン(プラズマ又は非プラズマ)上に薄い絶縁物
を形成すること、および特殊絶縁物(例えば、RTO/
急速熱アニーリング、RTA/RTN)の形成に応用す
ることができる。また本発明の方法は、ゲルマニウム窒
化物を含有するG e / CM OS用のプラズマR
TNと、カリウム砒素(サーフィスパシフィケーション
)およびMISFET用のプラズマRTNとを用いてゲ
ルマニウムおよびガリウム砒素物の上に絶縁物を形成す
るのにも有効に用いることができる。また本発明のリア
クタは、シリコン窒化物、酸化物、オキシニトライドお
よびエピタキシー珪素、ゲルマニウムおよびダイヤモン
ドを含有するLPGVDを含む絶縁物および半導体エピ
タキシーのLPGVDにも優れた適用が可能である。
本発明のリアクタの主たる用途は、タングステンゲート
および相互連結部(インターコネクション)の形成にあ
り、特に、ゲートのプラズマ非選択蒸着、接触部および
相互連結部の非プラズマ選択蒸着、NC!タングステン
ゲートMO3処理、ゲートエンジニアリングおよびタン
グステン窒化物のプラズマおよび非プラズマLPGVD
 (低圧化学蒸着)を行なうことにある。本明細書にお
いて使用する「選択」なる用語は、シリコン又は金属の
上のみにタングステンの蒸着が行なわれることを意味し
、一方、「非選択」なる用語は、タングステンにより加
工された表面にブランケットデポジションを行なうこと
を意味する。
特に、本発明のリアクタを開発する主眼は、りングステ
ンゲートMO8装置の現場製造(すなわちその場での製
造)を行なうことであった。本発明の多重処理を行なう
場合、RTOおよびRTNサイクルによりゲート絶縁物
を成長させ、次いで表面選択タングステン蒸着法により
絶縁物を形成することが必要とされた。
本発明のリアクタを開発するに当り、タングステン蒸着
を行なうための種々の選択および非選択方法が研究され
た。表1は、本発明の多重処理リアクタを用いて開発さ
れたタングステン蒸着方法をまとめたものである。これ
らの技術は、プラズマ条件および種々の雰囲気ガスのイ
ンジェクションモードにおいてグループ分けされている
。蒸着は、ガス流れ、圧力および基板の温度等について
広範囲に研究された。
非プラズマポートを通してWF6又はWF6+H2の混
合物が噴射されると、水晶管の中にはH2プラズマ、A
rプラズマ又はAr+Hzプラズマが発生し、これによ
り絶縁面上への選択的タングステン蒸着が促進される。
H2にArを添加すると、タングステン蒸着を非選択に
する幾つかの方法において、プラズマの発射強度、利用
できる原子状水素の濃度および限界面(critica
l fecet)が増強された。本発明の研究中に開発
された別の非選択蒸着技術は、非プラズマH2と共にW
F6+Arプラズマを用いるものである。幾つかの経験
的条件の下では、これらの非選択蒸着技術のいずれもが
、真空チャンバの壁土又は水晶管の内部にタングステン
蒸着をひき起こすことはなかった。真空チャンバは、該
チャンバを分解することなく、プラズマ技術を用いて浄
化することができることに注目すべきである。
N H3+ HzとWF 6との混合ガスにより、プラ
ズマ形式の処理および非プラズマ形式の処理の双方につ
いて、いつでも非選択蒸着をすることができる。また、
NZ +)(2プラズマとWF6との混合ガスの場合も
、非選択金属フィルム蒸着を行なうことができる。表1
の最後の3つの技術(J欄、K41JおよびL欄に示す
もの)を用いて蒸着されたフィルムはどれも、純粋タン
グステンと比べて大きな抵抗率を有しており、タングス
テン窒化物の組成をなすものと期待される。CVDタン
グステン窒化物の表面形態および安定性は、蒸着技術お
よび経験的条件によって左右される。タングステン窒化
物は、酸化抵抗性、拡散バノヤおよびイオン移植時のチ
ャンネリングがなくなること等の優れた特性をもたらす
ものである。また、タングステン窒化物のフィルムは、
タングステン層のRTN (急速熱窒化)により形成す
ることもできる。最高温度(1,000℃以上)で窒化
されたタングステンフィルムは脆いけれども、低温度(
例えば825℃)で窒化されたフィルムは安定している
。オーガー(Auger)の深さ試験のプロファイルに
よれば、825℃以上の温度で窒化されたフィルムはタ
ングステンのオキシニトライド組成になっている。1μ
m以上の厚さであっても、絶縁物に対して非選択蒸着タ
ングステンフィルムは良好に付着していた。幾つかの場
合には、最高の付着特性および均一特性を得るべく、最
初のタングステン蒸着サイクルに続いて他の形式の蒸着
を行なった。
プラズマを放出することなくWF6 、H2および静ガ
スを適宜組合わせて蒸着した場合(表1のA欄〜E欄の
場合)には、露出したシリコン領域  ゛のみに非常に
選択的なタングステン蒸着を行なうことができた。広範
囲のガス流量、圧力および温度について選択蒸着を行な
うことができ、タングステン蒸着の厚さが確実に1μm
以上となるように選択性が維持された。特に比べて、単
一ウェーハ用のコールドウオールリアクタは、450℃
程の上昇温度において長時間経過後にも選択性を損なう
ことのない選択処理を行なうには、非常に大きな処理ウ
ィンドを必要とする。SiF4は、WF。
によるシリコン減少反応を妨げるものであるとして知ら
れている。WF6/H2/SiF4の混合ガスの場合に
は、650℃程の高温でも選択性が維持された。このこ
とは、SiH4(このSiH,は従来技術の処理作業に
おいて選択性を無くすために噴射されるものである)と
は異なり、5iFaは、非常に高い蒸着温度においても
非選択タングステン蒸着をひき起こすことがないことを
示すものである。H2とSiF、との混合ガスの場合は
、650℃程の高温においても何らシリコンの蒸着を生
じさせるものではない。
本発明により開発されたすべての非選択蒸着技術は、金
属ゲートのMO3装置のその場での製造(現場製造)に
適用することができる。これらの技術を用いて、種々の
MO3装置を成功裏に製造することができた。例えば第
3図は、RTMRPM蒸着したタングステンゲートを備
えていて最終形成ガスのアニーリングを行っていないM
O3装置の高・低のC−V特性(周波数キャパシタンス
−電圧特性)をプロットしたものである。950℃の乾
燥酸素雰囲気を有しかつ950℃で50分間静のアニー
リングを行った炉内で、約300人の厚さをもつゲート
酸化物が成長された。これらの特別な装置において、ゲ
ート酸化物上十のタングステンの最初の核形成が、プラ
ズマ蒸着処理(表1のG欄)により促進され、このサイ
クルに続いて非プラズマ蒸着サイクル(表1のE欄)を
行ってフィルムを厚くした。C−V特性に示すように、
本発明の装置は優れた性能を有しており、プラズマによ
るダメージは無視できる程のものである。エリプソメー
タで測定した酸化物の厚さ(308人)とC−■データ
から求めた酸化物の厚さ(303人)とはほぼ同じであ
り、このことは、最初のプラズマ蒸着サイクルの間に5
in2の減少が生じなかったことを暗示するものである
。固定の酸化物チャージ密度を無視できるものとすれば
、フラットバンドの電圧値(0,54V)は、ゲートの
作動機能がシリコンのミツドギャップ近くに位置してい
ることを示すものである。これはタングステンの作用に
よるものであると考えられ、NMO3装置およびPMO
3装置にとっては理想的なものである。第4図にプロッ
トしたサーフィズステートの密度分布は、6 X I 
Q ′。eV−’cm−”のミツドギャップ値を示して
いる。この値は、アニーリングを行なわないMO3装置
にとっては比較的小さいものである。タングステン蒸着
処理を行なう間に何らかの水素アニーリングを行なうの
がよいかも知れない。
以上から明らかなように、本発明による新規な高速熱/
マイクロウェーブ遠隔プラズマ多重処理技術は、将来の
高性能MO3VLSI回路の現場製造を行なう場合に優
れた長所を有するものである。
、本明細書に開示したリアクタおよび特別な方法とは別
の方法についても、当業者には容易に考えることができ
るであろう。
【図面の簡単な説明】
第1図は、本発明による新規な単一ウェーハ用のコール
ドウオールランプ加熱形高速熱/マイクロウェーブ遠隔
プラズマ多重処理リアクタを示す概略図である。 第2A図および第2B図は、第1図の多重処理リアクタ
のガス分配装置のガス源、該ガス源をプラズマ噴射ポー
トおよび非プラズマ噴射ポートに連結するマニホルド、
および本発明の好ましい実施例に設けられた多ポート配
列を示すものであり(第2A図)、かつ、それぞれのマ
ニホルドを介してプラズマポートおよび非プラズマポー
トに対しどのガスを供給するかを示すチャート(第2B
図)である。 第3図は、本発明のリアクタを使用して製造されたMO
3装置のキャパシタンス−電圧特性を示すグラフである
。 第4図は、本発明を用いて製造されたタングステンゲー
ト装置のサーフイス−ステート密度分布を示すグラフで
ある。 、表1は、本発明のリアクタにより行なうことができる
種々のプラズマタングステンLPGVD処理および非プ
ラズマタングステンLPGVD処理を示すものである。 8・・・リアクタ、10・・・真空チャンバ、12・・
・シリコンウェーハ、18・・・放出管、20・・・マ
イクロウェーブプラズマ発生キャビティ、22・・・マ
イクロウェーブ発生器、3゜・・・ガス分配装置、36
・・・タングステン−ハロゲンランプ、42・・・水晶
製ウィンド、50.52.54・・・マニホルド。 ′冬 手続補正書(方式) %式% 1、事件の表示   昭和63年特許願第99301号
2、発明の名称   高速熱プラズマ多重処理リアクタ
及びその使用方法 3、補正をする者 事件との関係  出願人 4、代理人 5、補正命令の日付  昭和63年7月26日2、)明
細書第33頁第15行目の“第2A図および第2B図は
、”を「第2図は、」と訂正する。 3;)明細書第33頁第20行目の“(第2A図)”、
同第34頁第2行目〜第3行目の“(第2B図)°゛及
び同第1O行目〜第13行目の“表1は、・・・を示す
ものである。”を削除する。 、l!l/+’

Claims (12)

    【特許請求の範囲】
  1. (1)ウェーハ上に層を現場成長および蒸着するための
    高速熱プラズマ多重処理リアクタにおいて、頂壁、底壁
    および側壁を備えた真空チャンバと、 前記ウェーハの頂面が前記真空チャンバの底壁に向くよ
    うにして前記ウェーハを支持する手段と、 前記ウェーハの頂面に向くようにして前記リアクタの底
    壁に取付けられた少くとも1つの水晶製放出管であって
    、前記真空チャンバの外に設けた遠隔プラズマ発生チャ
    ンバから前記真空チャンバへとプラズマガスを導く水晶
    製放出管と、 前記ウェーハの後側の面を加熱すべく前記ウェーハに向
    くようにして前記真空チャンバの頂壁に隣接して取付け
    られた加熱手段と、 前記真空チャンバに選択的にガスを供給すべく非プラズ
    ママニホルドを介して複数のガス供給源に連結された少
    くとも1つの非プラズマインジェクタポートとを有して
    おり、前記ウェーハを前記真空チャンバから移動させる
    ことなくして、前記ウェーハに複数の処理を施こすこと
    ができることを特徴とする高速熱プラズマ多重処理リア
    クタ。
  2. (2)前記水晶管を介して前記真空チャンバに連結され
    た出力部を備えている遠隔プラズマ発生マイクロウェー
    ブキャビティを有しており、該マイクロウェーブキャビ
    ティへの入力部はガス分配マニホルドを介して複数のガ
    ス供給源に連結されていて、前記ウェーハ上に層を成長
    させかつ蒸着させることを特徴とする請求項(1)に記
    載のリアクタ。
  3. (3)前記加熱手段は、前記真空チャンバの外側に配置
    されておりかつ前記ウェーハを加熱すべく前記真空チャ
    ンバの前記頂壁に設けたウインドを通って前記ウェーハ
    に面しているランプ列からなることを特徴とする請求項
    (1)に記載のリアクタ。
  4. (4)前記ランプがタングステン−ハロゲンランプであ
    り、前記ウインドが前記ウェーハに光束を通すことので
    きる水晶ウインドであることを特徴とする請求項(3)
    に記載のリアクタ。
  5. (5)複数の前記水晶製放出管が、前記ウェーハの頂面
    に向くように半径方向に規則正しい間隔をあけた列とし
    て配置されていて、前記ウェーハの頂面に対して均一に
    プラズマガスを供給することができることを特徴とする
    請求項(1)に記載のリアクタ。
  6. (6)前記放出管が、前記リアクタの底壁に取付けられ
    たサファイヤウインドを包囲しており、レーザ又は紫外
    線を用いた光子増強処理が行えるようになっていること
    を特徴とする請求項(5)に記載のリアクタ。
  7. (7)前記ガスを前記真空チャンバに選択的に供給すべ
    く、非プラズママニホルドを介して前記非プラズマイン
    ジェクタポートに、かつ、プラズマインジェクタを介し
    て前記水晶製放出管に選択的に連結される複数の前記ガ
    ス供給源を備えたガス分配網を有することを特徴とする
    請求項(1)に記載のリアクタ。
  8. (8)前記真空チャンバに連通している出口端を備えた
    複数の水晶製放出管を有しており、該放出管の前記出口
    端は前記ウェーハの中心と同心の円形に配置されていて
    、前記ウェーハの面に対してプラズマが均一に流れるよ
    うになっており、前記各放出管の出口でない方の端部は
    、前記真空チャンバに供給するプラズマを発生させるた
    めのプラズマ発生マイクロウェーブチャンバに連結され
    ていることを特徴とする請求項(1)に記載のリアクタ
  9. (9)前記放出管は前記円形のまわりで半径方向に規則
    正しい間隔を隔てて配置されておりかつ前記ウェーハに
    プラズマを供給できるように前記円形の中心に向って或
    る角度をなして取付けられていることを特徴とする請求
    項(8)に記載のリアクタ。
  10. (10)ウェーハ上に層を現場成長および蒸着するため
    の高速熱プラズマ多重処理リアクタであって、頂壁、底
    壁および側壁を備えた真空チャンバと、前記ウェーハの
    頂面が前記真空チャンバの底壁に向くようにして前記ウ
    ェーハを支持する手段と、前記ウェーハの頂面に向くよ
    うに前記リアクタ底壁に取付けられた少くとも1つの水
    晶製放出管であって前記真空チャンバの外に設けた遠隔
    プラズマを発生チャンバから前記真空チャンバへとプラ
    ズマガスを導く水晶製放出管と、前記ウェーハの後側の
    面を加熱すべく前記ウェーハに向くようにして前記真空
    チャンバの頂壁に隣接して取付けられた加熱手段と、前
    記真空チャンバに選択的にガスを供給すべく非プラズマ
    マニホルドを介して複数のガス供給源に連結された少く
    とも1つの非プラズマインジェクタポートとを有してお
    り、前記ウェーハを前記真空チャンバから移動されるこ
    となくして前記ウェーハに複数の処理を施こすことがで
    きるように構成した熱プラズマ多重処理リアクタを使用
    してタングステンゲートMOS装置を製造する方法にお
    いて、 急速熱酸化サイクルおよび急速熱窒化サイクルによりゲ
    ート絶縁物を現場成長させ、その後非選択タングステン
    蒸着処理によりゲート電極を形成することを特徴とする
    タングステンゲートMOS装置の製造方法。
  11. (11)前記タングステン蒸着工程が、前記非プラズマ
    ポートを通してWF_6又はWF_6+H_2を噴射す
    る工程と、前記プラズマポートを通してH_2プラズマ
    、Arプラズマ又はAr+H_2プラズマを噴射して絶
    縁表面上にタングステンを非選択的に蒸着する工程とか
    らなることを特徴とする請求項(10)に記載の方法。
  12. (12)ウェーハ上に層を現場成長および蒸着するため
    の高速熱プラズマ多重処理リアクタであって、頂壁、底
    壁および側壁を備えた真空チャンバと、前記ウェーハの
    頂面が前記真空チャンバの底壁に向くようにして前記ウ
    ェーハを支持する手段と、前記ウェーハの頂面に向くよ
    うにして前記リアクタの底壁に取付けられた少くとも1
    つの水晶製放出管であって前記真空チャンバの外に設け
    た遠隔プラズマ発生チャンバから前記真空チャンバへと
    プラズマガスを導く水晶製放出管を、前記ウェーハの後
    側の面を加熱すべく前記ウェーハに向くようにして前記
    真空チャンバの頂壁に隣接して取付けられた加熱手段と
    、前記真空チャンバに選択的にガスを供給すべく非プラ
    ズママニホルドを介して複数のガス供給源に連結された
    少くとも1つの非プラズマインジェクタポートとを有し
    ており、前記ウェーハを前記真空チャンバから移動させ
    ることなくして前記ウェーハに複数の処理を施すことが
    できるように構成した熱プラズマ多重処理リアクタを使
    用して、前記ウェーハの露呈したシリコン領域に選択的
    にタングステンを蒸着する方法において、 プラズマを放出することなくして、WFガス、H_2ガ
    スおよびArガスのいずれかを組合わせた混合ガスを4
    50℃までの温度で前記真空チャンバに供給することを
    特徴とするウェーハの露呈したシリコン領域に選択的に
    タングステンを蒸着する方法。
JP63099301A 1987-04-21 1988-04-21 高速熱プラズマ多重処理リアクタ及びその使用方法 Pending JPH01119029A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US040909 1987-04-21
US07/040,909 US4913929A (en) 1987-04-21 1987-04-21 Thermal/microwave remote plasma multiprocessing reactor and method of use

Publications (1)

Publication Number Publication Date
JPH01119029A true JPH01119029A (ja) 1989-05-11

Family

ID=21913653

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63099301A Pending JPH01119029A (ja) 1987-04-21 1988-04-21 高速熱プラズマ多重処理リアクタ及びその使用方法

Country Status (4)

Country Link
US (1) US4913929A (ja)
EP (1) EP0291181A3 (ja)
JP (1) JPH01119029A (ja)
KR (1) KR880013226A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06224190A (ja) * 1992-10-30 1994-08-12 Hyundai Electron Ind Co Ltd タングステンプラグの製造方法
JPH1154502A (ja) * 1997-05-07 1999-02-26 Applied Materials Inc エッチング停止層の堆積方法及び装置
JP2008519416A (ja) * 2004-11-08 2008-06-05 エム ケー エス インストルメンツ インコーポレーテッド 金属含有ガスを処理するための方法および装置

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6113701A (en) 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5122431A (en) * 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
JPH02258689A (ja) * 1989-03-31 1990-10-19 Canon Inc 結晶質薄膜の形成方法
DE69005938T2 (de) * 1989-07-31 1994-05-19 Matsushita Electric Ind Co Ltd Vorrichtung zur Herstellung von einer dünnen diamantartigen Kohlenstoffschicht.
US5112458A (en) * 1989-12-27 1992-05-12 Tdk Corporation Process for producing diamond-like films and apparatus therefor
US5060354A (en) * 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5206471A (en) * 1991-12-26 1993-04-27 Applied Science And Technology, Inc. Microwave activated gas generator
US5296385A (en) * 1991-12-31 1994-03-22 Texas Instruments Incorporated Conditioning of semiconductor wafers for uniform and repeatable rapid thermal processing
DE4220827A1 (de) * 1992-06-25 1994-01-13 Pokorny Gmbh Anlage zur Behandlung von Objekten unter Reinluftraum-Bedingungen
SE9300742L (sv) * 1993-03-05 1994-04-18 Stiftelsen Inst Foer Mikroelek Kallväggsreaktor för värmning av kiselskivor med mikrovågsenergi
JPH07111244A (ja) * 1993-10-13 1995-04-25 Mitsubishi Electric Corp 気相結晶成長装置
US5451263A (en) * 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
AU1745695A (en) * 1994-06-03 1996-01-04 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US5972790A (en) * 1995-06-09 1999-10-26 Tokyo Electron Limited Method for forming salicides
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
EP0958401B1 (en) 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6309713B1 (en) * 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
DE19749218A1 (de) * 1997-11-07 1999-05-20 Forschungszentrum Juelich Gmbh Hochtemperatur-Vakuumprobenhalter für Lichtmikroskope
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6107152A (en) * 1998-02-20 2000-08-22 Micron Technology, Inc. Method of forming tungsten nitride comprising layers using NF3 as a nitrogen source gas
US6087229A (en) * 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP3438658B2 (ja) * 1999-07-22 2003-08-18 ウシオ電機株式会社 ランプユニット及び光照射式加熱装置
WO2001007677A1 (fr) * 1999-07-26 2001-02-01 Tokyo Electron Limited Procede et appareil de fabrication d'un dispositif a semi-conducteurs
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP4057198B2 (ja) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6294016B1 (en) 1999-10-20 2001-09-25 Kwangju Institute Of Science And Technology Method for manufacturing p-type GaN based thin film using nitridation
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6808758B1 (en) 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
EP1319243A2 (en) * 2000-09-15 2003-06-18 Applied Materials, Inc. Double dual slot load lock for process equipment
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) * 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
KR100368318B1 (ko) * 2000-12-29 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 선택적 에피택셜 성장법
US6660330B2 (en) * 2001-04-10 2003-12-09 International Business Machines Corporation Method for depositing metal films onto substrate surfaces utilizing a chamfered ring support
US7159597B2 (en) 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6610585B1 (en) 2002-02-26 2003-08-26 International Business Machines Corporation Method for forming a retrograde implant
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
WO2003107399A2 (en) * 2002-06-12 2003-12-24 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6780720B2 (en) 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7534363B2 (en) 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
JP4673290B2 (ja) * 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
KR100511599B1 (ko) * 2003-07-08 2005-08-31 동부아남반도체 주식회사 급속 열처리 장치의 장비 점검 방법
US7128805B2 (en) * 2003-08-13 2006-10-31 Industrial Technology Research Institute Multiple elliptical ball plasma apparatus
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
KR20060032454A (ko) * 2004-10-12 2006-04-17 삼성전자주식회사 다결정 실리콘 제조방법
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7802917B2 (en) * 2005-08-05 2010-09-28 Lam Research Corporation Method and apparatus for chuck thermal calibration
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7902018B2 (en) * 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
TWI364126B (en) * 2007-11-23 2012-05-11 Ind Tech Res Inst Plasma assisted apparatus for forming organic film
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN105074888A (zh) * 2013-04-12 2015-11-18 夏普株式会社 氮化物半导体器件
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
CN104878180B (zh) * 2015-05-25 2017-04-12 马钢(集团)控股有限公司 一种电工钢等离子稳定悬浮装置及其制作方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS60245217A (ja) * 1984-05-21 1985-12-05 Semiconductor Energy Lab Co Ltd 薄膜形成装置
JPS60194065A (ja) * 1984-11-09 1985-10-02 Hitachi Ltd 分子線堆積方法
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
JPS6245122A (ja) * 1985-08-23 1987-02-27 Hitachi Ltd 処理装置
JPS6289873A (ja) * 1985-10-14 1987-04-24 Semiconductor Energy Lab Co Ltd 透明導電膜形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06224190A (ja) * 1992-10-30 1994-08-12 Hyundai Electron Ind Co Ltd タングステンプラグの製造方法
JPH1154502A (ja) * 1997-05-07 1999-02-26 Applied Materials Inc エッチング停止層の堆積方法及び装置
JP2008519416A (ja) * 2004-11-08 2008-06-05 エム ケー エス インストルメンツ インコーポレーテッド 金属含有ガスを処理するための方法および装置

Also Published As

Publication number Publication date
EP0291181A3 (en) 1991-01-09
EP0291181A2 (en) 1988-11-17
KR880013226A (ko) 1988-11-30
US4913929A (en) 1990-04-03

Similar Documents

Publication Publication Date Title
JPH01119029A (ja) 高速熱プラズマ多重処理リアクタ及びその使用方法
KR960008500B1 (ko) 화학 증착방법 및 장치
USRE40114E1 (en) Tungsten silicide (WSIX) deposition process for semiconductor manufacture
US6348420B1 (en) Situ dielectric stacks
US7772114B2 (en) Method for improving uniformity and adhesion of low resistivity tungsten film
US3385729A (en) Composite dual dielectric for isolation in integrated circuits and method of making
KR20080002908A (ko) 고유전율의 유전체층 형성 방법 및 시스템
WO2000063956A1 (fr) Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
US6316361B1 (en) CVD reactor and process for producing an epitally coated semiconductor wafer
KR20000077359A (ko) 텅스텐 막을 화학 기상 증착하는 방법
US20060228900A1 (en) Method and system for removing an oxide from a substrate
JP2008523640A (ja) 深トレンチのドープシリコン充填のプロセスシーケンス
JP2004533722A (ja) 抵抗加熱された単一ウエハチャンバ内のドープ処理済みシリコン堆積処理
TW201936971A (zh) 使用微波電漿形成氮化矽膜的方法
US11990332B2 (en) Methods and apparatus for deposition of low-k films
WO2018187429A1 (en) Two-step process for silicon gapfill
TWI796388B (zh) 減少或消除鎢膜中缺陷的方法
KR20070039964A (ko) 단일 웨이퍼 챔버를 이용한 나노-수정 실리콘의 증착
KR20080003383A (ko) 산질화물층 형성 방법 및 시스템
JPH1112738A (ja) Cvd成膜方法
KR102270458B1 (ko) 워드라인 저항을 낮추는 방법들
US20200203149A1 (en) Method of growing doped group iv materials
JPH0766139A (ja) 化学気相成長装置
JPH02226721A (ja) 処理方法
JPH1116838A (ja) 多結晶シリコン膜の成長方法およびcvd装置