KR20000077359A - 텅스텐 막을 화학 기상 증착하는 방법 - Google Patents

텅스텐 막을 화학 기상 증착하는 방법 Download PDF

Info

Publication number
KR20000077359A
KR20000077359A KR1020000027214A KR20000027214A KR20000077359A KR 20000077359 A KR20000077359 A KR 20000077359A KR 1020000027214 A KR1020000027214 A KR 1020000027214A KR 20000027214 A KR20000027214 A KR 20000027214A KR 20000077359 A KR20000077359 A KR 20000077359A
Authority
KR
South Korea
Prior art keywords
per minute
gas
deposition
standard cubic
cubic centimeters
Prior art date
Application number
KR1020000027214A
Other languages
English (en)
Inventor
고울드-초쿼테아드리엔
머찬트세일러쉬만신
Original Assignee
루센트 테크놀러지스 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 루센트 테크놀러지스 인크 filed Critical 루센트 테크놀러지스 인크
Publication of KR20000077359A publication Critical patent/KR20000077359A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 기판 상에 텅스텐을 증착하는 방법이 개시된다. 반도체 기판은 약 360℃ 및 약 390℃ 사이, 바람직하게는 약 375℃로 가열된다. 시작 가스들은 비결정질 실리콘 분자막을 형성하기 위해서 화학 기상 증착 챔버의 제 1 증착 스테이션 내로 도입된다. 시작 가스들은 분당 약 40 내지 약 48 표준 3제곱 센티미터 비율로 흐르는 실란 가스를 포함한다. 다음에 분당 약 20 내지 약 30 표준 3제곱 센티미터의 비율로 흐르는 실란 및 분당 약 300 내지 약 350 표준 3제곱 센티미터 비율로 흐르는 텅스텐 헥사플루라이드 가스로 형성된 핵형성 가스가 도입된다. 수소 환원 가스는 수소 환원된 벌크 텅스텐 층을 형성하도록 도입된다. 이러한 환원된 가스는 분당 약 7000 내지 약 8500 표준 3제곱 센티미터 비율로 흐르는 수소 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터 비율로 흐르는 텅스텐 헥사플루라이드 가스를 포함한다. 수소 환원된 벌크 텅스텐은 연속적인 증착 스테이션들에서 증착된다.

Description

텅스텐 막을 화학 기상 증착하는 방법{METHOD OF CHEMICAL VAPOR DEPOSITING TUNGSTEN FILMS}
본 발명은 1999년 5월 24일에 선출원되어 계류중인 가출원번호 60/135,564호에 토대를 두고 있다.
본 발명은 반도체 제조 분야에 관한 것으로, 특히 본 발명은 텅스텐 막을 반도체 기판 상에 화학 기상 증착하는 것에 관한 것이다.
텅스텐(W) 막은 반도체 제조 동안 화학 기상 증착(CVD) 기술을 이용하여 블랭킷(blanket) 층으로서 증착된다. 텅스텐은 수소(H2) 및 실란(silane)(SiH4)을 이용하여 텅스텐 헥사플루라이드(hexafluoride)(WF6)의 화학적 환원을 통해 증착될 수 있다. 보통, 텅스텐은 미국 특허 번호 5,795,824에서 보다 높은 범위의 온도에서 발표된 것처럼 425℃ 내지 475℃의 높은 범위의 온도에서 증착된다. 이러한 종래의 프로세스에서, 텅스텐 막은 반도체 기판을 가열되는 다수의 상이한 받침대를 갖는 화학 기상 증착 챔버 내에 위치시킴으로써 반도체 기판 상에 성장된다. 수소 및 실란과 같은 시작 가스들은 시작 가스들을 대체하는 가스 흐름을 갖는 핵형성을 수반하는, 350 내지 475℃의 온도 범위에서 성장을 시작하도록 제공되며, 여기에서 텅스텐 막은 대략 100NM/MIN 을 초과하는 비율로 표면상에 형성된다. 수소 및 실란 가스 흐름은 제 1 받침대에서 아르곤 가스없이 발생한다. 기판이 증착 챔버 내의 제 2 증착 스테이션 또는 받침대에서 재배치되어, 다른 받침대들에서의 연속적인 위치선정을 수반한다.
그러나, 현재의 화학 기상 증착 텅스텐 프로세스는 유전체 안정성이 425 내지 450℃의 높은 텅스텐 증착 온도에 의해 절충되기 때문에 저-K 유전체를 가지고 이용하기에는 적합하지 않다. 따라서, 이러한 보다 높은 온도를 가지고 이용될 수 있는 프로세스는 저온 애플리케이션들을 필요로하는 저-K 유전체를 가지고 이용하기에는 적합하지 않다. 광범위한 하드웨어 수정없이 대략 375℃ 온도에서 임의의 화학 기상 증착 텅스텐 플러그를 제조하는 것은 어렵다. 낮은 저항력, 높은 반사성, 보다 작은 그레인 크기의 텅스텐 플러그가 425 내지 450℃ 범위를 이용하는 종래 기술에 비해 보다 낮은 온도에서 획득될 수 있도록 화학 기상 증착 챔버에 보다 최적의 가스종들의 결합, 가스 흐름 및 가스 흐름 시퀀스들이 요구된다. 현재의 화학 기상 증착 챔버에 대한 하드웨어 변경이 거의 없는 경우 또한 이로울 것이다. 대신에, 가스 흐름 및 가스종들의 결합 및 가스 흐름 시퀀스의 변경은 보다 좋은 설계에 대한 선택사항이다.
본 발명의 목적은 약 360 내지 390℃의 저온에서 텅스텐을 저-K 유전체 물질을 갖는 반도체 기판상에 증착하는 방법을 제공하는 것이다.
본 발명에 따라, 반도체 기판 상에 텅스텐을 증착하는 방법은 다수의 분리된 증착 스테이션들을 갖는 화학 기상 증착 챔버 내의 제 1 증착 스테이션에 반도체 기판을 수납하는 단계를 포함한다. 반도체 기판은 약 360 및 약 390℃ 사이의 온도로 가열된다. 시작 가스들은 비결정질 실리콘 분자막을 형성하기 위해서 제 1 증착 스테이션 내로 도입된다. 시작 가스들은 분당 약 40 내지 약 48 표준 3제곱 센티미터 비율로 흐르는 실란(SiH4) 가스를 포함한다.
핵형성 가스들은 실란 환원된 텅스텐 층을 형성하기 위해서 제 1 증착 스테이션 내로 도입된다. 이들 핵형성 가스들은 분당 약 20 내지 약 30 표준 3제곱 센티미터로 흐르는 실란 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터의 비율로 흐르는 텅스텐 헥사플루라이드(WF6) 가스를 포함한다.
수소 환원 가스 흐름이 수소 환원된 벌크 텅스텐 층을 형성하도록 도입된다. 수소 환원 가스 흐름은 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 흐르는 수소 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드 가스를 포함한다. 수소 환원된 벌크 텅스텐은 연속적인 증착 스테이션에서 증착된다.
본 발명의 또다른 양상에서, 연속적으로 흐르는 아르은 시작 및 핵형성 가스 흐름으로 시작된다. 아르곤은 시작 및 핵형성 가스가 분당 약 10000 내지 약 12000 표준 3제곱 센티미터로 연속적으로 흐르는 비율로 시작될 수 있다. 본 발명의 일 양상에서, 5개의 증착 스테이션들이 존재하며, 아르곤은 모든 증착 스테이션들에서 분당 약 10000 내지 약 12000 표준 3제곱 센티미터로 연속적으로 흐르는 비율로 도입된다. 총 텅스텐 막 두께는 약 3000옹스트롬일 수 있다. 수소 가스는 시작 가스 흐름이 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 흐르는 가스로 시작될 수 있다. 본 발명의 또다른 양상에서, 반도체 기판은 약 375℃로 가열된다.
본 발명의 또다른 양상에서, 반도체 기판 상에 텅스텐을 증착하는 프로세스는 다수의 분리된 증착 스테이션들을 갖는 화학 기상 증착 챔버 내의 제 1 증착 스테이션에 반도체 기판을 수납하는 단계를 포함한다. 반도체 기판은 약 360 및 약 390℃ 사이의 온도로 가열된다. 시작 가스들은 비결정질 실리콘 분자막을 형성하기 위해서 제 1 증착 스테이션 내로 약 10초 동안 도입된다. 시작 가스들은 분당 약 40 내지 약 48 표준 3제곱 센티미터 비율로 흐르는 실란(SiH4) 가스를 포함한다.
핵형성 가스들은 약 400 옹스트롬의 실란 환원된 텅스텐 층을 형성하기 위해서 제 1 증착 스테이션 내로 약 10초 동안 도입된다. 핵형성 가스들은 분당 약 20 내지 약 30 표준 3제곱 센티미터로 흐르는 실란 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드(WF6) 가스를 포함한다. 수소 환원 가스 흐름은 약 370 옹스트롬의 수소 환원된 벌크 텅스텐 층을 형성하기 위해서 제 1 증착 스테이션 내로 약 20초 동안 도입된다. 수소 환원 가스는 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 흐르는 수소 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드 가스를 포함한다.
반도체 기판은 연속적인 증착 스테이션들 내로 수납된다. 각 연속적인 증착 스테이션에서, 가스 흐름은 수소 환원된 벌크 텅스텐을 증착시키기 위해서 약 30초 동안 도입된다. 가스 흐름은 분당 약 300 내지 약 350 표준 3제곱 센티미터 비율로 흐르는 텅스텐 헥사플루라이트 가스 및 분당 약 7000 내지 약 8500 표준 3제곱 센티미터 비율로 흐르는 수소를 포함한다.
본 발명의 또다른 양상에서, 반도체 기판은 가열된 플래튼(platen)을 구비한 다수의 분리된 증착 스테이션들을 갖는 화학 기상 증착 챔버 내의 제 1 증착 스테이션의 가열된 플래튼 상에 수납된다. 가열된 플래튼들은 반도체 기판을 약 360 내지 약 390℃ 사이로 가열하며, 바람직하게는 약 375℃로 가열한다. 동시에, 후방 가스 흐름은 후방 증착을 방지하고 전방 에지 증착, 예컨대 에지 배제를 방지하고 그리고 다음 프로세싱에서 후방 가스 흐름을 유지하기 위해 반도체 기판 및 가열된 플래튼에 대항해 도입된다.
본 발명은 약 360℃ 내지 약 390℃의 저온에서 저-K 유전체를 포함하는 장치를 텅스텐 화학 기상 증착하게 하기 때문에 유익하다. 본 발명은 또한 이 저온이 빈 곳을 보다 쉽게 채우는 그레인 크기의 텅스텐을 형성하기 때문에 유익하다. 또한, 본 발명을 실행하는데 필요한 주요한 어떤 하드웨어적인 수정들도 없다. 이들 유전체들은 낮은 열적 버짓(budget)을 가지며, 이들 공지된 기술들 및 약 425℃ 내지 약 450℃의 보다 높은 온도의 텅스텐 증착 온도를 이용하는 종래의 방법으로는 처리될 수 없다. 본 발명의 방법으로 화학 기상 증착된 텅스텐 플러그는 당업자들에게 공지된 유기 스핀-온(spin-on) 유전체들과 같은 저-K 유전체 물질을 갖는 반도체 물질로 제조될 수 있다. 이들 유전체들은 본 발명의 프로세스 내에 통합될 수 있다.
당업자들에게 공지된 것처럼, 이들 저-K 유기 스핀-온 유전체 및 다른 유사한 유전체들은 전술된 약 375℃ 내지 약 390℃의 열적 안정 문제를 갖는다. 본 발명의 결과적인 막 구조는 가스 상태의 텅스텐 핵형성에 의해 야기되는 결함을 감소시키는 경향이 있으며, 층들(볼카노(volcano)) 아래의 Ti 상의 WF6공격에 대한 강성을 보장하며, 저압 막을 제공한다. 이들 인자들은 종래의 텅스텐 증착 프로세스와 함께 이용되는 경우 안정도를 잃어버리는 저-K 유전체 물질을 성공적으로 통합하는데 필요한 CVD-W 증착 프로세스를 제공한다.
도 1은 본 발명을 위해 이용될 수 있는 다중-스테이션의 순차적인 화학 기상 증착 챔버의 단면도,
도 2는 도 1에 도시된 화학 기상 증착 챔버의 상면도,
도 3은 본 발명의 기본 프로세스를 예시하는 순서도.
도면의 주요 부분에 대한 부호의 설명
12a-e : 받침대 14a-e : 스테이션
22 : 전달 스테이션 20 : 로드 락 챔버
30 : 가스 분산 헤드
도 1은 본 발명과 함께 이용될 수 있는 화학 기상 증착 챔버(10)를 예시한다. 챔버(10)는 도 2에 도시된 것처럼 다중-스테이션의 순차적인 증착 구조를 이용하며, 여기에서 5개의 받침대(12a-e)는 각각 5개의 받침대에 대응하는 5개의 증착 스테이션들(14a-e)을 형성하기 위해서 원형 배열로 배치된다. 각 받침대는 "W"로 표시되는 반도체 기판 또는 웨이퍼를 홀딩하는 플래튼으로서 형성된다. 다수의 웨이퍼들은 순차적으로 처리되어 개별적으로 분리된 증착 스테이션들의 총 증착 두께의 일부분을 수납할 수 있다. 본 발명에 이용될 수 있는 화학 기상 증착 챔버 유형에 대한 예는 Novellus Altus Concept Two 화학 기상 증착 챔버다.
임의의 웨이퍼를 연속적인 스테이션들(14a-e)로 이동시킴에 따라, 완성된 웨이퍼가 제거되는 동안 새로운 웨이퍼가 화학 기상 증착 챔버(10) 내로 도입된다. 각 웨이퍼는 각 스테이션에서 동일한 프로세싱 환경으로 노출된다. 이러한 유형의 다중-스테이션의 순차적인 증착 구조가 미세한 스케일로 재생할 수 있게한다. 텅스텐 막이 스테이션들(14a-e) 중 하나에서 연속적인 단계에 걸쳐 느리게 증착되기 때문에, 이러한 유형의 구조는 랜덤 프로세스 변형을 평균하여 균일한 막을 양산한다. 예컨대, 부분 증착이 발생하여 일 받침대에 어떤 흠집이 야기되는 경우, 다음 받침대의 증착은 증착 흐름을 균일하게 하여 결함을 보정할 것이다.
다중 스테이션의 순차적인 증착 구조는 편리하며, 0.25 이하의 극소 기술을 다루는 것이 가능하다. 얇은 막의 경우에 시간당 110개(WPH)가 넘는 웨이퍼를 산출할 수 있다. 챔버는 시스템 처리량을 증가시키기 위해서 이중 로드 락(dual load lock) 및 개선된 웨이퍼 핸들링을 포함한다.
도 1의 단면도 및 도 2의 상면도에 도시된 것처럼, 화학 기상 증착 챔버(10)는 웨이퍼가 도입되어 챔버(10) 내로 및 로부터 수납되는 로드 락 챔버(20)와 통신한다. 5개의 증착 또는 프로세스 스테이션들(14a-e)이 챔버 내에 위치한다. 전달 스테이션(22)이 로드 락 챔버(20)로부터 챔버(10)로 및 플래튼으로 웨이퍼를 전달하게 한다. 본래, 챔버는 가스를 적절히 분출시키는 포트를 포함한다.
각 증착 스테이션은 플래튼 반도체 기판에 열을 가하기 위해서 24에 도시된 히터 어셈블리에 의해 가열되는 받침대(12a-e)를 포함한다. 또한 산업체에서 쇼워 헤드(shower head)로 불리는 가스 분산 헤드(30)는 각 받침대(12a-e) 위에 배치되고 그리고 프로세스 가스들을 웨이퍼 위에 도입한다. 각 받침대 또는 플래튼이 웨이퍼를 지지한다. 받침대 베이스(32)는 플래튼을 가열하고 웨이퍼에 열을 가하기 위해서 히터 어셈블리(24)를 지지한다. 핀 리프트 메커니즘은 32에서 점선으로 표시되며, 웨이퍼를 받침대로 연속적으로 이동시키는 웨이퍼 운반 메커니즘(34)과 함께 처리 및 작업하기 위해서 플래튼의 웨이퍼를 올리고 내리도록 형성된다. 웨이퍼 운반 메커니즘은 웨이퍼를 연속적인 스테이션들 내로 들어올리고 이동시키는 회전 팔(36)(도 2)로서 형성될 수 있다.
본 발명과 함께 이용될 수 있는 여러 가지 유형의 챔버 설계물들의 예는 미국 특허 번호 5,374,594, 5,679,405 및 5,882,417에 도시된 설계 유형들이며, 이 발표물은 전체적으로 인용참조로서 여기에 통합된다. 가스 흐름 프로세싱 동안, 후방 가스 흐름은 당업자들에게 공지된 것처럼, 후방 가스 흐름 방전 포트(40)를 통해 그리고 후항 증착 및 전방 에지 증착을 방지하기 위해 채널(40a)을 통해 웨이퍼 후방으로 도입된다.
도 3의 순서도를 참조하여, 본 발명의 기본적인 방법이 예시된다. 간략화를 위해, 용어 웨이퍼 및 반도체 기판은 상호 교환되어 이용될 수 있다. 본 발명의 프로세스 및 방법에 따라, 프로세스가 시작되고(블록(100)) 그리고 웨이퍼가 로드 락 챔버로 들어가서 전달 받침대 또는 스테이션 상으로 전달된다(블록(102)). 반도체 기판 또는 웨이퍼가 전달되어, 챔버 내 받침대 상의 제 1 증착 스테이션에 수납된다(블록(104)). 반도체 기판은 약 360℃ 및 약 390℃ 사이의 온도, 바람직하게는 히터 어셈블리 및 플래튼을 통해 약 375℃로 가열된다(블록(106)). 플래튼은 반도체 웨이퍼, 즉 기판 보다 약 15도 큰 온도에서 설정된다. 시작 가스는 비결정질 실리콘 분자막을 형성하기 위해서 반도체 기판 상의 제 1 증착 스테이션 내로 약 10초 동안 도입된다(블록(108)). 이 시작 가스는 분당 약 40 내지 약 48 표준 3제곱 센티미터 비율로 흐르는 실란(SiH4) 가스를 포함한다. 또한, 아르곤은 분당 약 10000 내지 약 12000 표준 3제곱 센티미터 비율로 연속적으로 흐른다. 이 시퀀스는 7개의 층들 중 제 1 층을 형성한다. 제 1 3개의 층들은 제 1 스테이션(14a)에서 발생한다. 이러한 제 1의 스스로 제한하는 비결정질 실리콘 층은 티타늄 질화물(TiN) 시드(seed) 층 꼭대기의 화학적으로 기상 증착된 텅스텐의 긴 인큐베이션(incubation) 시간을 방지하는데 요구된다. 이러한 제 1 층은 또한 그 다음 텅스텐 막 증착 비율 및 막 균일성을 증가시키며, 이어서 일어나는 막 압력을 감소시킨다.
동시에, 후방 가스는 후방 증착 및 전방 에지 증착을 방지하기 위해 가열된 플래튼을 통해 반도체 기판에 대항하여 도입된다. 이러한 후방 가스 흐름이 다음 프로세싱에서 유지된다. 이러한 후방 가스 흐름이 모든 받침대들에 있는 모든 증착 스테이션들(14a-e)에서 산출된다. 후방 가스 흐름은 당업자들에게 공지된 것처럼, 아르콘 가스 흐름 및 수소 가스 흐름을 포함한다. 본래, 쇼워 헤드로부터 흐르는 아르곤 가스의 총량은 변할 수 있으며, 반응 비율을 제어하는데 이용될 수 있다. 그러나, 본 발명의 경우에, 분당 약 10000 내지 12000 표준 3제곱 센티미터 비율로 흐르는 아르곤 가스는 유익한 것으로 발견됐다.
그후 시작 가스는 종결되고 그리고 핵형성 가스(블록(110))가 실란 환원된 텅스텐 층을 형성하기 위해서 제 1 증착 스테이션에 도입된다. 핵형성 가스는 분당 약 20 내지 약 30 표준 3제곱 센티미터로 흐르는 실란 가스 및 분당 약 300 내지 약 350의 표준 3제곱 센티미터 비율로 흐르는 텅스텐 헥사플루라이드(WF6) 가스를 포함한다. 이러한 가스 흐름 비율은 약 10초 동안 발생한다. 텅스텐 성장 동안 실란을 이용함으로써 텅스텐 헤사플루라이드 및 티타늄 규화물 간의 반응을 방지하는 것을 돕는다. 이러한 단계 동안, 수소는 또한 흐르고 그리고 분당 약 7000 내지 약 8500 표준 3제곱 센티미터 비율로 도입된다.
실란 및 텅스텐 헥사플루라이드 간의 이러한 반응이 빠르게 발생하기 때문에, 텅스텐 헥사플루라이드의 수소 환원 반응을 보다 느리게하는 것이 필요하다. 7개의 층들 중 제 3 층은 하나의 받침대(12a)에 있는 제 1 증착 스테이션(14a)에서 산출되며, 수소 환원된 벌크 텅스텐 증착 층의 제 1 층이다(블록(112)). 370 옹스트롬의 텅스텐 막은 분당 7000 내지 8500 표준 3제곱 센티미터로 흐르는 텅스텍 헥사플루라이드 및 수소를 분당 300 내지 350 표준 3제곱 센티미터로 흐르게함으로써 텅스텐 헥사플루라이드를 20초 동안 환원하여 형성된다.
이러한 제 3 층이 일단 완성되는 경우, 웨이퍼 운반 메커니즘은 층들(4-7)이 벌크 텅스텐 증착을 수납하는(블록들(114,116,118,120)) 받침대들(2-5)에 있는 각각의 증착 스테이션들 내로 반도체 기판을 이동시킨다. 각 받침대(12b,12c,12d,12e)에, 수소 환원된 벌크 텅스텐의 560 옹스트롬의 막이 증착된다. 텅스텐 헥사플루라이드는 분당 300 내지 350 표준 3제곱 센티미터로 흐르는 비율로 도입될 수 있으며, 수소는 분당 7000 내지 8500 표준 3제곱 센티미터로 흐르는 비율로 도입될 수 있으며, 각각은 약 30초 동안 진행된다. 이러한 30초의 비율은 3000 옹스트롬의 총 텅스텐 막 두께를 토대로한다. 그러나, 이 벌크를 채우는 지속기간을 선형으로 증가시키거나 또는 감소시킴으로써, 당업자들에게 공지된 것처럼 텅스텐 두께를 각각 증가시키거나 감소시키는 것이 가능하다. 일단 최종 막 층이 증착되면, 반도체 기판은 챔버(10)로부터 내려진다.
본 발명은 이로운 것이며, 다중-스테이션의 순차적인 증착 시스템에서 저온 텅스텐 화학 기상 증착을 허락하는 새롭고도 명백한 프로세스를 제공한다. 따라서, 가스 상태의 텅스텐 핵형성에 의해 야기된 결함들을 감소시키고 그리고 층들(볼카노) 아래의 Ti의 WF6공격에 대항해 강성을 보장하고, 저온 애플리케이션들에서 저압 막들 제공하는 것이 가능하다.
아래 테이블은 본 발명과 함께 이용될 수 있는 것으로서 종래 프로세스 대 저온 프로세스의 동작 매개변수들 중 일부를 보여준다.
본 발명에 대한 다수의 수정들 및 다른 실시예들은 전술된 설명 및 관련 도면들에 제공된 지침들의 도움을 받는 당업자들에게 쉽게 이해될 것이다. 따라서, 본 발명은 발표된 특정 실시예들로 제한되는 것이 아니고, 본 발명의 수정들 및 실시예들은 청구함의 범주에 포함된다.
본 발명의 결과적인 막 구조는 가스 상태의 텅스텐 핵형성에 의해 야기되는 결함을 감소시키는 경향이 있으며, 층들(볼카노) 아래의 Ti 상의 WF6공격에 대한 강성을 보장하며, 저압 막을 제공한다. 이들 인자들은 종래의 텅스텐 증착 프로세스와 함께 이용되는 경우 안정도를 잃어버리는 저-K 유전체 물질을 성공적으로 통합하는데 필요한 CVD-W 증착 프로세스를 제공한다.

Claims (28)

  1. 반도체 기판 상에 텅스텐을 증착하는 방법에 있어서,
    다수의 분리된 증착 스테이션들을 갖는 화학 기상 증착 챔버 내의 제 1 증착 스테이션에 상기 반도체 기판을 수납하는 단계,
    섭씨 약 360 및 약 390도 사이의 온도로 상기 반도체 기판을 가열하는 단계,
    비결정질 실리콘 분자막을 형성하기 위해서 상기 제 1 증착 스테이션 내로 시작 가스들 - 상기 시작 가스들은 분당 약 40 내지 약 48 표준 3제곱 센티미터 비율로 흐르는 실란(SiH4) 가스를 포함한다 - 을 도입하는 단계,
    실란 환원된 텅스텐 층을 형성하기 위해서 상기 제 1 증착 스테이션 내로 핵형성 가스들 - 상기 핵형성 가스들은 분당 약 20 내지 약 30 표준 3제곱 센티미터로 흐르는 실란 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드(WF6) 가스를 포함한다 - 을 도입하는 단계,
    수소 환원된 벌크 텅스텐 층을 형성하기 위해서 수소 환원 가스 - 상기 수소 환원 가스는 분당 약 7000 내지 약 8500 표준 3제곱 센티미터 비율로 흐르는 수소 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터 비율로 흐르는 텅스텐 헥사플루라이드 가스를 포함한다 - 를 도입하는 단계,
    연속적인 증착 스테이션들에서 수소 환원된 벌크 텅스텐을 증착하는 단계를 포함하는 텅스텐 증착 방법.
  2. 제 1 항에 있어서,
    상기 시작 및 핵형성 가스와 함께 아르곤 가스를 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  3. 제 2 항에 있어서,
    상기 시작 및 핵형성 가스와 함께 아르곤 가스를 분당 약 10000 내지 약 12000 표준 3제곱 센티미터 비율로 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  4. 제 2 항에 있어서,
    모든 증착 스테이션들에서 아르곤을 분당 약 10000 내지 약 12000 표준 3제곱 센티미터 비율로 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  5. 제 1 항에 있어서,
    상기 증착 스테이션이 5개의 증착 스테이션들을 포함하는 텅스텐 증착 방법.
  6. 제 1 항에 있어서,
    7개의 층들에서 총 텅스텐을 3000 옹스트롬 두께로 형성하는 단계를 더 포함하는 텅스텐 증착 방법.
  7. 제 1 항에 있어서,
    상기 핵형성 가스와 함께 수소 가스를 분당 약 7000 내지 약 8500의 표준 3제곱 센티미터 비율로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  8. 제 1 항에 있어서,
    상기 반도체 기판이 섭씨 약 375도로 가열되는 텅스텐 증착 방법.
  9. 반도체 기판 상에 텅스텐을 증착하는 방법에 있어서,
    다수의 분리된 증착 스테이션들을 갖는 화학 기상 증착 챔버 내의 제 1 증착 스테이션에 상기 반도체 기판을 수납하는 단계,
    섭씨 약 360 및 약 390도 사이의 온도로 상기 반도체 기판을 가열하는 단계,
    비결정질 실리콘 분자막을 형성하기 위해서 상기 제 1 증착 스테이션 내로 약 10초 동안 시작 가스들 - 상기 시작 가스들은 분당 약 40 내지 약 48 표준 3제곱 센티미터로 흐르는 실란(SiH4) 가스를 포함한다 - 을 도입하는 단계,
    약 400 옹스트롬 두께의 실란 환원된 텅스텐 층을 형성하기 위해서 상기 제 1 증착 스테이션 내로 약 10초 동안 핵형성 가스들 - 상기 핵형성 가스들은 분당 약 20 내지 약 30 표준 3제곱 센티미터로 흐르는 실란 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드(WF6) 가스를 포함한다 - 을 도입하는 단계,
    약 370 옹스트롬의 수소 화원된 벌크 텅스텐 층을 형성하기 위해서 상기 제 1 증착 스테이션 내로 약 20초 동안 수소 환원 가스 - 상기 수소 환원 가스는 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 흐르는 수소 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드 가스를 포함한다 - 를 도입하는 단계,
    상기 반도체 기판을 연속적인 증착 스테이션들 내로 수납하는 단계,
    수소 환원된 벌크 텅스텐을 증착하기 위해서 약 30초 동안 각각의 연속적인 증착 스테이션에 가스 - 상기 가스는 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드 및 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 흐르는 수소를 포함한다 - 를 도입하는 단계를 포함하는 텅스텐 증착 방법.
  10. 제 9 항에 있어서,
    상기 시작 및 핵형성 가스와 함께 아르곤을 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  11. 제 10 항에 있어서,
    상기 시작 및 핵형성 가스와 함께 아르곤을 분당 약 10000 내지 약 12000 표준 3제곱 센티미터로 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  12. 제 10 항에 있어서,
    모든 증착 스테이션들에서 아르곤을 분당 약 10000 내지 약 12000 표준 3제곱 센티미터로 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  13. 제 9 항에 있어서,
    상기 증착 스테이션이 5개의 증착 스테이션들을 포함하는 텅스텐 증착 방법.
  14. 제 9 항에 있어서,
    각 증착 스테이션이 상기 반도체 기판이 배치되는 가열 플래튼을 포함하는 텅스텐 증착 방법.
  15. 제 9 항에 있어서,
    후방 증착 및 전방 에지 증착을 방지하기 위해 상기 반도체 기판 및 가열된 플래튼에 대항하여 후방 가스 흐름을 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  16. 제 9 항에 있어서,
    각 반도체 기판이 섭씨 약 375도로 가열되는 텅스텐 증착 방법.
  17. 제 1 항에 있어서,
    3000 옹스트롬의 총 텅스텐 막 두께를 형성하는 단계를 더 포함하는 텅스텐 증착 방법.
  18. 제 9 항에 있어서,
    상기 후방 가스 흐름이 아르곤 및 수소로 이루어진 가스 흐름을 포함하는 텅스텐 증착 방법.
  19. 제 9 항에 있어서,
    상기 핵형성 가스와 함께 수소를 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  20. 반도체 기판 상에 텅스텐을 증착하는 방법에 있어서,
    다수의 분리된 증착 스테이션들을 갖는 화학 기상 증착 챔버 - 각 증착 챔버는 섭씨 약 360 및 약 390도 사이에서 상기 반도체 기판을 가열하는 가열된 플래튼을 갖는다 - 내의 제 1 증착 스테이션의 가열된 플래튼 상에 상기 반도체 기판을 수납하는 단계,
    비결정질 실리콘 분자막을 형성하기 위해서 상기 제 1 증착 스테이션 내로 시작 가스들 - 상기 시작 가스들은 후방 증착 및 전방 에지 증착을 방지하고 그리고 다음 프로세싱에서 후방 가스 흐름을 유지하기 위해 상기 반도체 기판 및 가열된 플래튼에 대항해 상기 후방 가스 흐름을 도입하면서, 분당 약 40 내지 약 48 표준 3제곱 센티미터로 흐르는 실란(SiH4) 가스를 포함한다 - 을 도입하는 단계,
    실란 환원된 텅스텐 층을 형성하기 위해서 상기 제 1 증착 스테이션 내로 핵형성 가스들 - 상기 핵형성 가스들은 분당 약 20 내지 약 30 표준 3제곱 센티미터로 흐르는 실란 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드(WF6) 가스를 포함한다 - 을 도입하는 단계,
    수소 환원된 벌크 텅스텐 층을 형성하기 위해서 수소 환원 가스 - 상기 수소 환원 가스는 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 흐르는 수소 가스 및 분당 약 300 내지 약 350 표준 3제곱 센티미터로 흐르는 텅스텐 헥사플루라이드 가스를 포함한다 - 를 도입하는 단계,
    연속적인 증착 스테이션들에 수소 환원된 벌크 텅스텐을 증착하는 단계를 포함하는 텅스텐 증착 방법.
  21. 제 20 항에 있어서,
    상기 시작 및 핵형성 가스와 함께 아르곤을 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  22. 제 21 항에 있어서,
    상기 시작 및 핵형성 가스와 함께 분당 약 10000 내지 약 12000 표준 3제곱 센티미터로 연속적으로 흐르는 아르곤을 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  23. 제 21 항에 있어서,
    모든 증착 스테이션들에서 아르곤을 분당 약 10000 내지 약 12000 표준 3제곱 센티미터로 연속적으로 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  24. 제 20 항에 있어서,
    상기 증착 스테이션이 5개의 증착 스테이션들을 포함하는 텅스텐 증착 방법.
  25. 제 20 항에 있어서,
    상기 핵형성 가스와 함께 분당 약 7000 내지 약 8500 표준 3제곱 센티미터로 흐르는 수소를 도입하는 단계를 더 포함하는 텅스텐 증착 방법.
  26. 제 20 항에 있어서,
    상기 후방 가스 흐름이 아르곤 및 수소 가스 흐름을 포함하는 텅스텐 증착 방법.
  27. 제 20 항에 있어서,
    섭씨 약 375도로 각 플레이트를 가열하는 단계를 더 포함하는 텅스텐 증착 방법.
  28. 제 26 항에 있어서,
    3000 옹스트롬의 총 텅스텐 막 두께를 형성하는 단계를 더 포함하는 텅스텐 증착 방법.
KR1020000027214A 1999-05-24 2000-05-20 텅스텐 막을 화학 기상 증착하는 방법 KR20000077359A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13556499P 1999-05-24 1999-05-24
US60/135,564 1999-05-24
US9/517,965 2000-03-03
US09/517,965 US6294468B1 (en) 1999-05-24 2000-03-03 Method of chemical vapor depositing tungsten films

Publications (1)

Publication Number Publication Date
KR20000077359A true KR20000077359A (ko) 2000-12-26

Family

ID=26833447

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000027214A KR20000077359A (ko) 1999-05-24 2000-05-20 텅스텐 막을 화학 기상 증착하는 방법

Country Status (4)

Country Link
US (1) US6294468B1 (ko)
EP (1) EP1055746A1 (ko)
JP (1) JP2001007048A (ko)
KR (1) KR20000077359A (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100326253B1 (ko) * 1999-12-28 2002-03-08 박종섭 반도체 소자의 캐패시터 형성방법
KR100379108B1 (ko) * 2001-03-30 2003-04-07 삼성전자주식회사 디클로로 실란의 이상성장 제어가 가능한 두개의 층을갖는 반도체 박막형성방법
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8049178B2 (en) * 2007-08-30 2011-11-01 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
CN111095488A (zh) 2017-08-14 2020-05-01 朗姆研究公司 三维竖直nand字线的金属填充过程
CN108039497B (zh) * 2017-12-20 2020-11-06 北京理工大学 一种具有高{110}晶面份额的钨涂层的制备方法
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2582596B2 (ja) 1987-12-17 1997-02-19 富士通株式会社 半導体装置の製造方法
US4985371A (en) 1988-12-09 1991-01-15 At&T Bell Laboratories Process for making integrated-circuit device metallization
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5230741A (en) 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5470768A (en) * 1992-08-07 1995-11-28 Fujitsu Limited Method for fabricating a thin-film transistor
EP0651436A1 (en) 1993-10-22 1995-05-03 AT&T Corp. Tungsten conductors formation process for semiconductor integrated circuits
JP3744554B2 (ja) 1994-09-09 2006-02-15 キヤノンアネルバ株式会社 薄膜形成方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
JPH09232423A (ja) * 1996-02-21 1997-09-05 Nec Corp 半導体装置およびその製造方法
DE19704533C2 (de) 1997-02-06 2000-10-26 Siemens Ag Verfahren zur Schichterzeugung auf einer Oberfläche
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films

Also Published As

Publication number Publication date
US6294468B1 (en) 2001-09-25
JP2001007048A (ja) 2001-01-12
EP1055746A1 (en) 2000-11-29

Similar Documents

Publication Publication Date Title
KR20000077359A (ko) 텅스텐 막을 화학 기상 증착하는 방법
US10741435B2 (en) Oxidative volumetric expansion of metals and metal containing compounds
US9978685B2 (en) Conformal amorphous silicon as nucleation layer for W ALD process
US11594415B2 (en) PECVD tungsten containing hardmask films and methods of making
US10854461B2 (en) Tungsten deposition without barrier layer
US8513116B2 (en) Atomic layer deposition of tungsten materials
US6827978B2 (en) Deposition of tungsten films
US6218301B1 (en) Deposition of tungsten films from W(CO)6
JP2009024252A (ja) タングステン材料の原子層堆積法
WO2017223323A1 (en) Flowable amorphous silicon films for gapfill applications
CN108140562B (zh) 共形且间隙填充非晶硅薄膜的沉积
KR102474163B1 (ko) 실리사이드화에 의한 금속-함유 막들의 부피 팽창
US10854511B2 (en) Methods of lowering wordline resistance
KR100639458B1 (ko) TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
US11869806B2 (en) Methods of forming molybdenum contacts
US11367614B2 (en) Surface roughness for flowable CVD film
KR102489425B1 (ko) 장벽 층이 없는 텅스텐 증착
WO2022205462A1 (en) Nucleation layers for growth of gallium-and-nitrogen-containing regions
US20220375747A1 (en) Flowable CVD Film Defect Reduction

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid