JP7187700B2 - 半導体プロセス用のf3noを含まないfnoガス及びf3noを含まないfnoガス混合物の貯蔵及び供給のためのシステム及び方法 - Google Patents

半導体プロセス用のf3noを含まないfnoガス及びf3noを含まないfnoガス混合物の貯蔵及び供給のためのシステム及び方法 Download PDF

Info

Publication number
JP7187700B2
JP7187700B2 JP2021534699A JP2021534699A JP7187700B2 JP 7187700 B2 JP7187700 B2 JP 7187700B2 JP 2021534699 A JP2021534699 A JP 2021534699A JP 2021534699 A JP2021534699 A JP 2021534699A JP 7187700 B2 JP7187700 B2 JP 7187700B2
Authority
JP
Japan
Prior art keywords
fno
gas
f3no
free
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021534699A
Other languages
English (en)
Other versions
JP2022515063A (ja
Inventor
綾香 西山
二郎 横田
スー・チユウ
シェン・ポン
スタッフォード、ネイサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2022515063A publication Critical patent/JP2022515063A/ja
Application granted granted Critical
Publication of JP7187700B2 publication Critical patent/JP7187700B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/083Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms
    • C01B21/084Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms containing also one or more oxygen atoms, e.g. nitrosyl halides
    • C01B21/0842Halides of nitrogen oxides
    • C01B21/0844Nitrosyl fluoride
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/80Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70
    • C01P2002/82Crystal-structural characteristics defined by measured data other than those specified in group C01P2002/70 by IR- or Raman-data
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

関連出願の相互参照
本出願は、あらゆる目的のためにその全体を参照により本明細書に援用される、2018年12月20日出願の米国特許出願第16/227,623号の便益を主張するものである。
半導体プロセスのための、FNOを含まないFNO、FNOを含まないFNO/N、FNOを含まないFNO/F、又はFNOを含まないFNO/F/N等などの、FNOを含まないガス及びFNOを含まないガス混合物を貯蔵する及び供給するためのシステム及び方法、並びに半導体構造物をエッチするためのFNOを含まないガス及びFNOを含まないガス混合物を使用するためのシステム及び方法が開示される。
フッ素含有化合物は、半導体材料をエッチするために使用されてきた。フッ素化ニトロシル(FNO)は、熱エッチングガスとして使用され得る高反応性フッ素化エッチング化合物の例である。
様々な方法が、FNOを製造するために開示されている。例えば、C.Woolf(“Oxyfluoride of Nitrogen”,Adv.Fluorine Chem.5(1965),p1-30)は、FNOを製造するために出発原料一酸化窒素(NO)及びフッ素(F)を使用することを開示している。FNOを製造するためにNOを出発原料として使用すると、二酸化窒素(NO)、亜酸化窒素(NO)等などの、窒素酸素化合物の痕跡ガス不純物がNO中に存在し得る。C.Woolfに関与する反応には、下記:
2NO+F→2FNO、
O+2F→NF+FNO、
NF+NO→1/2N+FNO
が含まれる。
C.Woolfは、また、FNOを製造するための、ニトロシル化合物と金属フッ化物との間の反応、例えばNOBF+NaF→FNO+NaBFを開示している。J.H.Hollowayら(Advances in inorganic chemistry and radiochemistry Vol.27,p157-195)は、C.Woolfによって示された方法に沿ってFNOを製造するために、AgFによるNOClのフッ素化及びXeF又はXeFによるNOのフッ素化を開示している。Stepaniukらに付与された米国特許第4996035号明細書は、FNOを製造するために穏和な条件で窒化物をフッ化水素と混合することを開示している。Lipscombらに付与された米国特許第3043662号明細書は、NF、N及びFNOを製造する、電気アークを使った1000℃超の温度での出発原料CF又はCOF及び窒素の2成分酸化物、すなわち、NO、NO、N及びNOの使用を開示している。
FNO又はFNOガス混合物は、エッチングガス又は洗浄剤として使用されている。例えば、Sonobeらに付与される国際公開第2008/117258号パンフレットは、F2(過剰)+NO→F+FNOで製造されFNOを使用する低温熱洗浄方法を開示している。McDonaldらに付与された米国特許第4536252号明細書は、半導体表面をエッチするために用いられるレーザー誘導方法によってFNOが調製されることを開示している。Kamedaらに付与される米国特許出願公開第2014/0248783号明細書は、フィルムを基材上に形成した後にCVD反応室における堆積物を除去するための洗浄剤としてFとFNOとの混合物であって、FNOがFとNOとの反応から製造される混合物を使用することを開示している。Satoらに付与される米国特許出願公開第2013/0220377号明細書は、加熱しながらF及びNOを使用するフィルム形成装置の洗浄方法を開示している。Khanらに付与された米国特許第6318384号明細書は、半導体基材上にエッチングフィルムを含むシリコン基材に深い溝を形成する自己洗浄方法及びFNOを含むFC化合物でのエッチ室の洗浄を開示している。Akiraらに付与される米国特許出願公開第2003/0143846号明細書は、ケイ素を含む堆積で汚染されたフィルム堆積室の内部を洗浄するためのガス組成物であって、FNO又はFNOとO及び/若しくは不活性ガスとの組み合わせを含む、或いはFNO又はFNOとO及び/若しくは不活性ガスとの組み合わせを含むガス組成物;並びにまたシリコン含有化合物のフィルム、例えば半導体材料のフィルムをエッチするための類似のガス組成物を開示している。
これらの先行技術の中で、最も簡単なFNO調製方法は、高いFNO収率及び低い不純物生成を有すると期待される、FとNOとの間の直接反応である。しかしながら、F及びNOを出発原料として使用すると、反応条件に依存して、FNOの代わりに、FNO(三フッ化ニトロシル又はトリフルオロアミンオキシド)をも製造し得る。例えば、Maxwellら(米国特許第3341292号明細書)は、FとNOとの間の反応からのFNOの製造方法であって、F及びNOの供給速度並びにFとNOとの割合がFとNOとの自動的に起こる発熱反応を維持するように制御される方法を開示している。Maxwellらは、達成される反応のメカニズムが理解されていないけれども、全体反応を1.5F+NO→FNO+熱としてまとめた。
NOは、様々な条件で様々な出発原料を使用して製造されてきた。Maxwellら(米国特許第3341292号明細書)によって開示されているようにFとNOとの間の反応からFNOを製造することに加えて、他の出発原料がFNOを製造するために使用されている。例えば、Foxら(米国特許第3306834号明細書)は、25~50℃の温度範囲で紫外光照射でのFNOとFとの混合がFNOを生み出すこと、すなわち、
Figure 0007187700000001
を開示している。Foxら(米国特許第3392099号明細書)は、また、反応器の反応ゾ-ンでの放電で、出発原料NF及びOを使ったFNOの製造を開示している。Grossら(米国特許第3554699号明細書)は、次のとおり:
NF+O→FNO+O
NF+NO→FNO+N
グロー放電の存在下にNFと酸化酸素又はNOとの間の反応によってFNOが調製されることを開示している。
Yonemuraら(“Evaluation of FNO and FNO as Substitute Gases for Semiconductor CVD Chamber Cleaning”,J.Electrochem.Soc.2003 150(11):G707-G710)(2003))は、Yonemuraらから複製された図1に示されるように、FNOがFNOよりもSi材料に対して高い反応性を有することを開示している。
加えて、FNOは腐食性であり、それは、エッチングガス容器及びパイプライン、エッチング室、エッチされるべき基材等を腐食させ、且つ、半導体デバイス性能を低下させ得ることが知られている。
したがって、制御された量のFNO形成で半導体表面をエッチするために、それが使用される現場で又はその場所にごく接近してFNOを製造するための手順を提供すること並びにFNOの貯蔵及び配送のために有効な材質適合性を提供することが必要とされている。
NOを含まないFNO含有ガスの貯蔵及び供給のためのシステムが開示される。開示されるシステムは、FNOを含まないFNO含有ガスを貯蔵するように配置構成された及び適応した、研磨された内表面を持ったNiP被覆鋼シリンダーと、FNOを含まないFNO含有ガスをシリンダーから放出するように配置構成された及び適応した、シリンダーと流体連結した、シリンダーバルブと、FNOを含まないFNO含有ガスをターゲット反応器に配送するように配置構成された及び適応した、シリンダーバルブの下流の、圧力調整器及びライン構成要素を含む、マニホールドアセンブリとを含み、ここで、圧力調整器は、マニホールドアセンブリを圧力調整器の上流の第1圧力ゾーンと圧力調整器の下流の第2圧力ゾーンとに分割するように、マニホールドアセンブリにおけるFNOを含まないFNO含有ガスを圧抜きするように配置構成されている及び適応している。
NOを含まないFNO含有ガスの貯蔵及び供給のための方法が開示される。本方法は、FNOを含まないFNO含有ガスを、研磨された内表面を持ったNiP被覆鋼シリンダー中に貯蔵する工程と、FNOを含まないFNO含有ガスを、シリンダーからマニホールドアセンブリに、シリンダー及びマニホールドアセンブリと流体連結したシリンダーバルブを活性化することによって放出する工程と、マニホールドアセンブリを圧力調整器の上流の第1圧力ゾーンと圧力調整器の下流の第2圧力ゾーンとに分割するように、マニホールドアセンブリにおける圧力調整器を活性化することによって、FNOを含まないFNO含有ガスを圧抜きする工程と、圧抜きしたFNOを含まないFNO含有ガスを、第2圧力ゾーンの下流のターゲット反応器に供給する工程とを含む。
また、エッチングシステムが開示される。開示されるシステムは、エッチされるべき基材を反応器の中に保持するように配置構成された及び適応した、反応器と、加圧エッチングガス(FNOを含まないFNO)を貯蔵するように配置構成された及び適応した、NiP被覆鋼シリンダーと、エッチングガス(FNOを含まないFNO)をNiP被覆鋼シリンダーから放出するように配置構成された及び適応した、シリンダーと流体連結した、シリンダーバルブと、エッチングガス(FNOを含まないFNO)を反応器に配送するように配置構成された及び適応した、シリンダーバルブの下流に、圧力調整器及びライン構成要素を含む、マニホールドアセンブリとを含み、ここで、マニホールドアセンブリにおける圧力調整器は、マニホールドアセンブリを圧力調整器の上流の第1圧力ゾーンと圧力調整器の下流の第2圧力ゾーンとへ分割するようにエッチングガス(FNOを含まないFNO)を圧抜きするように配置構成されている及び適応している。
開示されるシステム及び方法のいずれも、以下の態様の1つ以上を含み得る:
・ FNOを含まないFNOガスは、より少ない~ゼロのFNO不純物を含有すること;
・ FNOを含まないFNOガスは、およそ1容積%のFNOを含有すること;
・ FNOを含まないFNOガスは、0.1容積%未満のFNOを含有すること;
・ FNOを含まないFNOガスは、0.01容積%未満のFNOを含有すること;
・ FNOを含まないとは、1%未満のFNO不純物を有するガスを言うこと;
・ FNOを含まないFNOガス含有ガス中に含有されるFNOを含まないFNOガスは、およそ1容積%未満のFNOを有すること;
・ 前もって合成されたFNOは、99%以上の純度を有すること;
・ F及びNOが、2NO+F→2FNOの反応で、現場でFNOを含まないFNOガスを製造するための出発原料であること;
・ 出発原料NOは、純粋であること;
・ 出発原料NOは、およそ99.9容積%~およそ100.0容積%にあること;
・ 出発原料NOは、およそ99.99容積%~100.00容積%にあること;
・ 出発原料NOは、およそ99.999容積%~100.000容積%にあること;
・ 出発原料NOガスは、およそ0容積ppm~およそ600容積ppmのNOガス以外のNO含有ガスと共におよそ0.0容積%~およそ0.1容積%の痕跡ガス不純物を含有すること;
・ 出発原料NOガスは、およそ0容積ppm~およそ600容積ppmのNOと共におよそ0.0容積%~およそ0.1容積%の痕跡ガス不純物を含有すること;
・ 出発原料NOガスは、およそ0容積ppm~およそ600容積ppmのNOと共におよそ0.0容積%~およそ0.1容積%の痕跡ガス不純物を含有すること;
・ FとNOとを化学量論的条件下又はそれ未満の比F/NO(F/NO≦1/2)で混合すること;
・ FNOを含まないFNOガスは、必要とされるような濃度のFNOを含まな
いFNOガスを得るために、N、Ar、He、Ne、Kr、Xe、又はそれらの混合物などの、不活性ガスに希釈されること;
・ FNOを含まないFNOガスは、必要とされるような濃度のFNOを含まないFNOガスを得るためにNに希釈されること;
・ N中のFNOを含まないFNOガスの濃度は、0.01%~80%の範囲であること;
・ N中のFNOを含まないFNOガスの濃度は、0.01%~30%の範囲であること;
・ N中のFNOを含まないFNOガスの濃度は、3%であること;
・ N中のFNOを含まないFNOガスの濃度は、15%であること;
・ FNOを含まないFNOガス混合物は、FNOを含まないFNO/F/Nのガス混合物であること;
・ FNOを含まないFNO/F/Nガス混合物中のFNOを含まないFNOガスの濃度は、0.01%~80%の範囲であること;
・ FNOを含まないFNO/F/Nガス混合物中のFNOを含まないFNOガスの濃度は、0.01%~30%の範囲であること;
・ FNOを含まないFNO/F/Nガス混合物中のFNOを含まないFNOガスの濃度は、3%であること;
・ FNOを含まないFNO/F/Nガス混合物中のFNOを含まないFNOガスの濃度は、15%であること;
・ FNOを含まないFNO/F/Nガス混合物中のFの濃度は、0%~80%の範囲であること;
・ FNOを含まないFNO/F/Nガス混合物中のFの濃度は、0%~20%の範囲であること;
・ FNOを含まないFNO/F/Nガス混合物中のFの濃度は、0%であること;
・ FNOを含まないFNO/F/Nガス混合物中のFの濃度は、10%であること;
・ FNO/F/Nのガス混合物中のFNOを含まないFNOガスの濃度は15%であり、FNO/F/Nのガス混合物中のFの濃度は10%であること;
・ 2段階のF混合プロセスでFNO/F/Nのガス混合物を製造すること;
・ 2段階のF混合プロセスは、i)FNOを含まないFNOガスを製造するためにFとNOとが化学量論的条件下又はそれ未満(F/NO≦1/2)で混合される及びii)追加のFが製造されたFNOを含まないFNOガスに添加されるのを含むこと;
・ 2段階のF混合プロセスは、FNOの形成を抑えること;
・ FNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、NiP被覆鋼シリンダーに貯蔵されること;
・ NiP被覆鋼シリンダーは、鋼でできた炭素鋼シリンダーであること;
・ NiP被覆鋼シリンダーは、合金4130Xでできた炭素鋼シリンダーであること;
・ NiP被覆鋼シリンダーは、NiP被覆内表面を持った合金4130Xでできた炭素鋼シリンダーであること;
・ NiP被覆鋼シリンダーのNiP被覆内表面は、研磨されていること;
・ NiP被覆鋼シリンダーと流体連結したシリンダーバルブは、ニッケル材料でできていること;
・ NiP被覆鋼シリンダーと流体連結したシリンダーバルブは、ニッケル合金でできていること;
・ NiP被覆鋼シリンダーと流体連結したシリンダーバルブは、少なくとも14重量%のニッケル含量を有するニッケル合金でできていること;
・ シリンダーバルブは、Ceodeux D306 Ni body Niダイアフラムであること;
・ マニホールドアセンブリは、圧力調整器によって第1圧力ゾーンと第2圧力ゾーンとへ分割されていること;
・ 第1圧力ゾーンの圧力は、第2圧力ゾーンの圧力よりも大きいこと;
・ 第1圧力ゾーンの圧力は、0.8MPa~3.5MPaの範囲であること;
・ 第1圧力ゾーンの圧力は、0.99MPaであること;
・ 第2圧力ゾーンの圧力は、0.1MPa~0.8MPaの範囲であること;
・ 第圧力ゾーンの圧力は、0.5MPaであること;
・ 第1圧力ゾーンにおけるライン構成要素は、高ニッケル含量材料からなること;
・ 第1圧力ゾーンにおけるライン構成要素は、MONEL(登録商標)からなること;
・ 第1圧力ゾーンにおけるライン構成要素は、INCONEL(登録商標)からなること;
・ 第1圧力ゾーンにおけるライン構成要素は、HASTELLOY(登録商標)C-22(登録商標)合金からなること;
・ 高ニッケル含量材料は、少なくとも14重量%のニッケルを含有すること;
・ 第1圧力ゾーンにおけるライン構成要素は、鉄含有合金からならないこと;
・ 第1圧力ゾーンにおけるライン構成要素は、ステンレス鋼(SS)からならないこと;
・ 第1圧力ゾーンにおけるライン構成要素は、Mn-鋼からならないこと;
・ 第2圧力ゾーンにおけるライン構成要素は、14重量%未満のニッケルを含有する低ニッケル含量材料からなること;
・ 第2圧力ゾーンにおけるライン構成要素は、ニッケルを全く含有しない低ニッケル含量材料からなること;
・ 第2圧力ゾーンにおけるライン構成要素は、任意の金属又は金属合金からなること;
・ 第2圧力ゾーンにおけるライン構成要素は、金属又は金属合金でできていること;
・ 第2圧力ゾーンにおけるライン構成要素は、ステンレス鋼でできていること;
・ ステンレス鋼は、SS316Lであること;
SS316Lは、14%以下のニッケルを含有すること;
SS316Lは、FNO単独に適合すること;
SS316Lは、FNOを含まないFNO/F/Nに適合しないこと;
SS316Lは、F又はFNOを使用する不動態化後に第2圧力ゾーンにおいてFNOを含まないFNO/F/Nに適合すること;
SS316Lは、エッチングガスがFを含有しない場合に第2圧力ゾーンにおけるライン構成要素を製造するのに好適であること;
・ FNOを含まないFNOガス含有ガスは、FNOを含まないFNOガス、FNOを含まないFNOガスと不活性ガスとの混合物、FNOを含まないFNOガスと追加のガスとの混合物、並びにFNOを含まないFNOガスと不活性ガス及び追加のガスとの混合物からなる群から選択されること;
・ FNOを含まないFNOガス含有ガスは、FNOを含まないFNOガスであること;
・ FNOを含まないFNOガス含有ガスは、FNOを含まないFNOガスと不活性ガスとの混合物であること;
・ FNOを含まないFNOガス含有ガスは、FNOを含まないFNOガスと追加のガスとの混合物であること;
・ FNOを含まないFNOガス含有ガスは、FNOを含まないFNOガスと不活性ガス及び追加のガスとの混合物であること;
・ FNOを含まないFNOガス混合物は、FNOを含まないFNOガス、FNOを含まないFNOガスと不活性ガスとの混合物、FNOを含まないFNOガスと追加のガスとの混合物、並びにFNOを含まないFNOガスと不活性ガス及び追加のガスとの混合物からなる群から選択されること;
・ FNOを含まないFNOガス混合物は、FNOを含まないFNOガスであること;
・ FNOを含まないFNOガス混合物は、FNOを含まないFNOガスと不活性ガスとの混合物であること;
・ FNOを含まないFNOガス混合物は、FNOを含まないFNOガスと追加のガスとの混合物であること;
・ FNOを含まないFNOガス混合物は、FNOを含まないFNOガスと不活性ガス及び追加のガスとの混合物であること;
・ 不活性ガスは、N、Ar、He、Ne、Kr、Xe、又はそれらの混合物であること;
・ 不活性ガスは、Nであること;
・ 追加のガスは、F、HF、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、SO、NO、O、CO、CO、NO、NO、O、Cl、H、HBr、及びそれらの組み合わせからなる群から選択されること;
・ 追加のガスは、Fであること;
・ 酸化剤は、FNOを含まないFNOガス又はFNOを含まないFNOガス含有ガス混合物に添加されること;
・ 酸化剤は、O、O、CO、CO、COS、NO、NO、NO、SO、及びそれらの組み合わせであること;
・ 酸化剤とFNOを含まないFNOガス又はFNOを含まないFNOガス含有ガス混合物とは、反応室又はエッチング室への導入の前に混ぜ合わせられること;
・ 酸化剤は、チャンバーへ導入される混合物のおよそ0.01容積%~およそ99.99容積%を含むこと(99.99容積%が代わりの連続導入についてほとんど純粋な酸化剤の導入を表す状態で)
・ 酸化剤は、反応室へ連続的に導入されること及びエッチングガスは、パルスで反応室へ導入されること;
・ NOガスとFガスとを、1/2以下のFガス対NOガスの比率及び少なくとも99.9容積%のNOガスの純度で混合することによってFNOを含まないFNOガス含有ガス中に含有されるFNOを含まないFNOガスを製造すること(ここで、製造されたFNOを含まないFNOガスは、およそ1%未満のFNOを含有する);
・ 次の工程:
とNOとを、1/2以下のF/NOの比率及び少なくとも99.9容積%のNOの純度で混合してFNOを含まないFNOガスを製造する工程;
製造されたFNOを含まないFNOガスを追加の量のFと混合してFNOを含まないFNOガスとFとのガス混合物を生み出す工程;並びに
NOを含まないFNOガスとFとのガス混合物をNに希釈してFNOを含まないFNOガス、F及びNのガス混合物を形成する工程
を含む2段階F混合手順によってFNOを含まないFNOガス、F及びNのガス混合物を製造すること;
・ マニホールドアセンブリをF2で不動態化すること;
・ マニホールドアセンブリをFNOで不動態化すること;
・ マニホールドアセンブリの第1圧力ゾーンをFで不動態化すること;
・ マニホールドアセンブリの第1圧力ゾーンをFNOで不動態化すること;
・ マニホールドアセンブリの第2圧力ゾーンをFで不動態化すること;
・ マニホールドアセンブリの第2圧力ゾーンをFNOで不動態化すること;
・ マニホールドアセンブリに平行な第1ガスライン;
・ 第1ガスラインは、追加のエッチングガスをエッチング室に供給すること、ここで、追加のエッチングガスは、F、HF、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、SO、NO、O、CO、CO、NO、NO、O、Cl、H、HBr、及びそれらの組み合わせからなる群から選択され;
・ 第1ガスラインは、追加のエッチングガスをNiP被覆鋼シリンダーに供給すること、ここで、追加のエッチングガスは、F、HF、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、SO、NO、O、CO、CO、NO、NO、O、Cl、H、HBr、及びそれらの組み合わせからなる群から選択され;
・ 第1ガスラインは、Fを供給すること;
・ FNOを含まないFNOエッチングガスと追加のガス(例えば、F)とは、反応室への導入の前に混合されること;
・ 不活性ガスをマニホールドアセンブリの第1圧力ゾーンに供給するための第2ガスライン、ここで、FNOを含まないFNOガスは、希釈されたFNOを含まないFNOガスを生み出すために不活性ガスと混合され、ここで、不活性ガスは、N、Ar、He、Ne、Kr、Xe、又はそれらの混合物であり;
・ Nをマニホールドアセンブリの第1圧力ゾーンに供給するための第2ガスライン;
・ 不活性ガスをNiP被覆鋼シリンダーに供給するための第2ガスライン、ここでFNOを含まないFNOガスは、希釈されたF3NOを含まないFNOガスを製造するために不活性ガスと混合され、ここで、不活性ガスは、N、Ar、He、Ne、Kr、Xe、又はそれらの混合物であり;
・ NをNiP被覆鋼シリンダーに供給するための第2ガスライン;
・ ガスシリンダー中のFNOを含まないFNOガスは、不活性ガスを含有すること;
・ ガスシリンダー中のFNOを含まないFNOガスは、Nを含有すること;
・ エッチングプロセスは、熱エッチングプロセスであること;
・ エッチングプロセスは、プラズマ乾式エッチングプロセスであること;
・ エッチング室は、ある温度に加熱されること;
・ エッチング室の温度は、20℃~1000℃の範囲であること;
・ エッチング室の温度は、室温~1000℃の範囲であること;
・ エッチング室の温度は、100℃~600℃の範囲であること;
・ エッチング室の温度は、100℃~300℃の範囲であること;
・ エッチング室の温度は、100℃であること;
・ エッチング室の温度は、500℃であること;
・ エッチング室の温度は、600℃であること;
・ 第1圧力ゾーンの圧力は、0.8MPa~3.5MPaの範囲であること;
・ 第2圧力ゾーンの圧力は、0.1MPa~0.8MPaの範囲であること;
・ 第1圧力ゾーンの圧力は、0.99MPaであること;
・ 第2圧力ゾーンの圧力は、0.5MPaであること;
・ エッチング室の圧力は、およそ0.1ミリトール~およそ1000トールの範囲である;
・ FNOを含まないFNO含有エッチングガスの流量は、およそ.1sccm~およそ30slmの範囲であること;
・ 反応室は、熱エッチング室であること;
・ 反応室は、プラズマエッチング室であること;
・ 反応室は、堆積室であること;
・ 反応器中の基材は、エッチされるべきフィルムを含有すること;
・ エッチング室中の基材は、エッチされるべきフィルムを含有すること;
・ 反応器の内表面は、エッチされるべき堆積物を含有すること;
・ 反応器の内表面は、エッチされるべきフィルムを含有すること;
・ 堆積室の内表面は、エッチされる又は除去されるべき堆積物の層を内表面上に含有すること;
・ 堆積室の内表面は、エッチされる又は除去されるべきフィルムを内表面上に含有すること;
・ 堆積室の内表面は、取り除かれるべき堆積物を内表面上に含有すること;
・ 堆積室の内表面は、取り除かれるべきフィルムを内表面上に含有すること;
・ 第1圧力ゾーンにおけるライン構成要素には、ガスフィルター、圧力センサー、圧力調整器、ガスバルブ、パイプ、及びそれらの組み合わせが含まれること;
・ 第2圧力ゾーンにおけるライン構成要素には、ガスフィルター、圧力センサー、ガスバルブ、マスフローコントローラー(MFC)、パイプ、及びそれらの組み合わせが含まれること;
・ 高ニッケル含量材料は、少なくとも14重量%のニッケルを有するニッケル合金であること;
・ 高ニッケル含量材料は、純ニッケルであること;
・ 高ニッケル含量材料は、ニッケル合金であること;
・ 高ニッケル含量材料は、MONEL(登録商標)、INCONEL(登録商標)又はHASTELLOY(登録商標)C-22(登録商標)合金であること;
・ 低ニッケル含量材料は、14重量%未満のニッケルを有するニッケル合金であること;並びに
・ 低ニッケル含量材料は、ステンレス鋼であること。
また、半導体応用のためのガス組成物が開示される。ガス組成物は、およそ1容積%未満のFNO不純物を含有するFNOを含まないFNOガスと;FNOを含まないFNOガス中のFNO不純物の濃度を抑えることができる不活性ガスとを含む。開示されるガス組成物は、以下の態様の1つ以上を含む:
・ FNOを含まないFNOガスは、およそ1%容積%未満のFNO不純物を含有すること;
・ 不活性ガスは、N、Ar、He、Ne、Kr、Xe、又はそれらの混合物であること;
・ 不活性ガスは、Nであること;
・ 不活性ガスは、FNOを含まないFNOガス中のFNO不純物の濃度を抑えることができること;
・ Nは、FNOを含まないFNOガス中のFNO不純物の濃度を抑えることができること;
・ FNOを含まないFNOガスは、99容積%の純度を有すること;
・ FNOを含まないFNOガスは、およそ99容積%~およそ99.999容積%の範囲の純度を有すること;
・ FNOを含まないFNOガスは、1容積%未満の痕跡ガス不純物を含有すること;
・ 痕跡ガス不純物は、水を含むこと;
・ 痕跡ガス不純物は、NOを含むこと;
・ 痕跡ガス不純物は、NOを含むこと;
・ 痕跡ガス不純物は、FNOを含むこと;
・ FNOを含まないFNOガスは、1容積%未満のFNOを含有すること;並びに
・ FNOを含まないFNOガスは、20ppmw未満の含水量を有すること。
表記法及び命名法
以下の詳細な説明及び特許請求の範囲は、当技術分野において一般に周知であり、及び下記を含む、多数の略語、記号、及び用語を利用する。
本明細書で用いるところでは、不定冠詞「1つの(a)」又は「1つの(an)」は、1つ以上を意味する。
本明細書で用いるところでは、本文における又は特許請求の範囲における「約(about)」又は「約(around)」又は「およそ」は、表示値の±10%を意味する。
本明細書で用いるところでは、本文における又は特許請求の範囲における「より少ない~ゼロの」は、およそ1%~無の範囲を有する表示値を意味する。
本明細書で用いるところでは、本文における又は特許請求の範囲における「室温」は、およそ20℃~およそ25℃を意味する。
用語「周囲温度」は、環境温度およそ20℃~およそ25℃を言う。
用語「FNOを含まない」又は「FNOのない」は、ガス混合物が1%未満のFNO不純物を含有することを言う。
商標「HASTELLOY(登録商標)」は、腐食への高い耐性を示すニッケル系鋼合金の系統を言う。HASTELLOY(登録商標)は、ニッケル-モリブデン合金である。数個の数で番号が付けられていることもある、B、C、D、M、NS、W、X…22文字でマークされる100もの異なるHastelloy(登録商標)合金がある。それらの多くがニッケル-クロム-モリブデン合金である、多くの異なるグレードのHastelloy(登録商標)がある。これらのグレードのそれぞれは、具体的な目的のために最適化されているが、それらの全てが腐食に高度に耐性がある。HASTELLOY(登録商標)は、高度に酸化性及び還元性の試剤に対して傑出した耐性を有し、それを、中程度から厳しい腐食環境に関し素晴らしい選択にする。HASTELLOY(登録商標)合金のうちで最も用途の広いものは、HASTELLOY(登録商標)C-22(登録商標)合金などの、「C-タイプ」合金である。
商標「HASTELLOY(登録商標)C-22(登録商標)合金」は、周知の、十分に実績のあるニッケル-クロム-モリブデン材料の1つを言い、その最重要な特質は、酸化性及び非酸化性化学物質の両方への耐性、並びに孔食、隙間攻撃、及び応力腐食割れからの防護である。HASTELLOY(登録商標)C-22(登録商標)合金中のニッケルの組成は、56重量%である。
商標「MONEL(登録商標)」は、少量の鉄、マンガン、炭素、及びケイ素入りの、主としてニッケル及び銅からなる、群のニッケル合金を言う。純ニッケルよりも強い、MONEL(登録商標)合金は、速く流れる海水などの、多くの試剤による腐食に耐性がある。MONEL(登録商標)中のニッケルの組成は、63~65重量%又は67重量%までさえである。
商標「INCONEL(登録商標)」は、ニッケル-鉄-クロム超合金の系統を言う。入手可能な多くの異なるグレードのINCOLOY(登録商標)がまた存在する。INCONEL(登録商標)合金は、圧力及び熱に曝される極限環境での使用に好適な、酸化-腐食に耐性のある材料である。INCONEL(登録商標)は、高温用途にとって魅力的な、広い温度範囲にわたって強度を保持する。INCONEL(登録商標)は、製造業に見出される最も厳しい使用条件のいくつかのために特に最適化されている材料である。INCONEL(登録商標)の高温強度並びに海水、塩水、酸性ガス、及び塩化物への耐性は、それを、石油ガス産業での使用にとって理想的なものにする。INCONEL(登録商標)中のニッケルの組成は、50~80重量%のニッケルである。
用語「高ニッケル含量材料」は、少なくとも14重量%のニッケルを含有するニッケル合金を言う。
用語「低ニッケル含量材料」は、14重量%未満のニッケルを含有する又はニッケルを全く含有しない材料を言う。
用語「ステンレス鋼316(SS316)」又は「スチールユースステンレス316(SUS316」(SUS、日本産業規格(JIS)からの頭字語)は、タイプ316と呼ばれる、海洋グレードのステンレス鋼を言い、一定タイプの相互作用に耐性がある。316 L、F、N、H、及びいくつかの他のものなどの、様々な異なるタイプの316ステンレス鋼がある。それぞれは、異なるNi含量を有する。「L」称号は、SS316よりも少ない炭素を有するSS316Lを意味する。SS316Lは、14%までのNiを含有する。
用語「Ceodeux D306」は、主な本体材料がニッケル及びHASTELLOY(登録商標)でできた、タイドダイアフラムシール型であり及び超高純度ガス(例えば、純度≧99.999%)用に使用される高圧シリンダーバルブを言う。
用語「合金4130X」は、Society of Automotive Engineers(SAE)によって規定されるような、SAE鋼グレードの41xx鋼系統の合金を言う。合金化元素には、クロム及びモリブデンが含まれ、結果として、これらの材料は、多くの場合、クロミルスチールと非公式に言われる。
「金属」という用語は、典型的には硬質の、光沢のある、加鍛性の、可融性の、及び延性のある、良好な導電率及び熱伝導率を持った、固体材料を言う。金属は、鉄、金、銀、銅、及びアルミニウムなどの化学元素、又はステンレス鋼などの合金であり得る。
「金属合金」という用語は、金属の組み合わせ又は金属と別の元素との組み合わせでできた金属を言う。合金は、金属元素の固溶体又は金属相の混合物であり得る。
用語「エッチングシステム」は、反応室の内部で起こるフィルムを除去する(すなわち、エッチする又は取り除く)システムを言う。反応室は、熱若しくはプラズマエッチング室又は堆積室であり得る。フィルムは、基材ホルダーがエッチング室の内部に置かれた状態で基材上にあり得、それは、エッチングプロセスを言う。フィルムは、除去される必要がある堆積室の内表面上の堆積物の層であり得る。堆積室の内表面上の堆積物の層の除去は、また、クリーニングプロセスを言う。
用語「NiP被覆鋼シリンダー」は、ニッケルめっき(NiP)の内部表面コーティングを持った鋼シリンダーを言い、NiPの内部表面は、研磨されている。鋼シリンダーは、合金4130Xでできた炭素鋼シリンダーであり得る。
用語「研磨する」又は「研磨された」は、機械的又は電気機械的研磨によって表面を滑らかにする及び光沢のあるものにすることを言う。
用語「基材」は、それに関してプロセスが行われる材料又は複数材料を言う。基材は、それに関してプロセスが行われる材料又は複数材料を有するウェハーを言い得る。基材は、半導体、光起電力、平面パネル、又はLCD-TFTデバイス製造に使用される任意の好適なウェハーであり得る。基材は、また、前の製造工程からその上に既に堆積した異なる材料の1つ以上の層を有し得る。例えば、ウェハーには、シリコンウェハー(例えば、結晶性、非晶質、多孔質等)、シリコン含有層(例えば、SiO、SiN、SiON、SiCOH等)、金属含有層(例えば、銅、コバルト、タングステン、白金、パラジウム、ニッケル、ルテニウム、金等)又はそれらの組み合わせが含まれ得る。さらに、基材は、平面であっても又はパターン化されていてもよい。基材は、有機パターン化フォトレジストフィルムであり得る。基材には、MEMS、3D NAND、MIM、DRAM、若しくはFeRamデバイス用途において誘電材料として使用される酸化物の層(例えば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三成分酸化物系材料等)又は電極として使用される窒化物系フィルム(例えば、TaN、TiN、NbN)が含まれ得る。当業者は、本明細書で用いられる用語「フィルム」又は「層」が、表面上にある又は表面一面に広がった、ある厚さのいくつかの材料を言うこと及び表面が溝又はラインであり得ることを認めるであろう。本明細書及び特許請求の範囲の全体にわたって、ウェハー及びそれの上の任意の関連層は、基材と言われる。
用語「ウェハー」又は「パターン化ウェハー」は、基材上のシリコン含有フィルムの積重ねと、パターンエッチのために形成されたシリコン含有フィルムの積重ね上のパターン化ハードマスク層とを有するウェハーを言う。
用語「パターンエッチ」又は「パターン化エッチ」は、パターン化ハードマスク層の下のシリコン含有フィルムの積重ねなどの、非平面構造をエッチすることを言う。
本明細書で用いるところでは、用語「エッチ」又は「エッチング」は、等方性エッチングプロセス及び/又は異方性エッチングプロセスを言う。等方性エッチプロセスは、基材上の材料の一部が除去されることをもたらすエッチング化合物と基材との間の化学反応を伴う。エッチングプロセスは、複数のプロセスであり得るし、エッチングプロセスは、第1段階における表面を改質するための表面反応と、第2段階における改質表面層の除去とを伴う。このタイプのエッチングプロセスには、化学乾式エッチング、気相化学エッチング、熱乾式エッチング等が含まれる。等方性エッチプロセスは、横又は水平エッチプロファイルを基材中に生み出す。等方性エッチプロセスは、基材中に前もって形成された隙間の側壁上にくぼみ又は水平のくぼみを生み出す。異方性エッチプロセスは、基材に対して直角に、マスクされた形体のエッジに沿って垂直側壁が形成されるように、イオン衝撃が化学反応を垂直方向に加速するプラズマエッチングプロセス(すなわち、乾式エッチプロセス)を伴う(Manos and Flamm,Thermal etching an Introduction,Academic Press,Inc.1989 pp.12-13)。プラズマエッチングプロセスは、垂直エッチプロファイルを基材中に生み出す。プラズマエッチングプロセスは、垂直の隙間、溝、チャネルホール、ゲートトレンチ、ステアケースコンタクト、キャパシターホール、コンタクトホール等を基材中に生み出す。
用語「選択性」は、1つの材料のエッチ速度対別の材料のエッチ速度の比率を意味する。用語「選択的エッチ」又は「選択的にエッチする」は、1つの材料を別の材料よりも多くエッチすること、又は言い換えれば2つの材料間で1:1超若しくは未満のエッチ選択性を有することを意味する。
本明細書では、用語「フィルム」及び「層」が同じ意味で用いられ得ることに留意されたい。フィルムが層に相当し得る、又は層に関連し得ること、及び層がフィルムを言い得ることは理解される。さらに、当業者は、本明細書で用いられる用語「フィルム」又は「層」が、表面上にある又は表面一面に広がった、ある厚さのいくつかの材料を言うこと、及び表面が、全体ウェハーほどに大きいから溝又はラインほどに小さい範囲であり得ることを認めるであろう。
本明細書では、用語「エッチング化合物」及び「エッチングガス」は、エッチング化合物が室温及び周囲圧力でガス状態にある場合に同じ意味で用いられ得ることに留意されたい。エッチング化合物が、エッチングガスに相当し得る、又はエッチングガスに関連し得ること、及びエッチングガスがエッチング化合物を言い得ることは理解される。
本明細書で用いるところでは、略語「NAND」は、「否定AND」、又は「ノットAND」ゲートを言い;略語「2D」は、平面基材上の2次元ゲート構造を言い;略語「3D」は、ゲート構造が垂直方向に積み重ねられている、3次元又は垂直ゲート構造を言う。
元素の周期表からの元素の標準的な略語が本明細書では用いられる。元素がこれらの略語によって言及され得る(例えば、Siはケイ素を言い、Nは窒素を言い、Oは酸素を言い、Cは炭素を言い、Hは水素を言い、Fはフッ素を言う等)ことが理解されるべきである。
Chemical Abstract Serviceによって割り当てられるユニークなCAS登録番号(すなわち、「CAS」)は、開示される具体的な分子を特定するために提供される。
SiN及びSiOなどの、シリコン含有フィルムが、それらの適切な化学量論への言及なしに本明細書及び特許請求の範囲の全体にわたってリストアップされていることをご了承下さい。シリコン含有フィルムには、結晶性Siなどの、純シリコン(Si)層、ポリシリコン(p-Si若しくは多結晶Si)、又は非晶質シリコン;窒化ケイ素(Si)層;又は酸化ケイ素(Si)層;或いはそれらの混合物が含まれ得、ここで、k、l、m、及びnは、包含的に0.1~6の範囲である。好ましくは、窒化ケイ素は、Siであり、ここで、k及びlは、それぞれ、0.5~1.5の範囲である。より好ましくは、窒化ケイ素はSiである。本明細書では、以下の説明におけるSiNは、Si含有層を表すために用いられ得る。好ましくは、酸化ケイ素は、Si(ここで、nは、0.5~1.5の範囲であり、mは、1.5~3.5の範囲である)である。より好ましくは、酸化ケイ素は、SiOである。本明細書では、以下の説明におけるSiOは、Si含有層を表すために用いられ得る。シリコン含有フィルムは、また、有機系若しくは酸化ケイ素系の低k誘電材料などの酸化ケイ素系誘電材料、例えばSiOCHの式のApplied Materials,Inc.によって市場に出されているBlack Diamond II又はIII材料などであることができよう。シリコン含有フィルムには、また、Siが含まれ得、ここで、a、b、cは、0.1~6の範囲である。シリコン含有フィルムは、また、B、C、P、As及び/又はGeなどの、ドーパントを含み得る。
範囲は、本明細書では、約1つの特定の値から、及び/又は約別の特定の値までとして表され得る。そのような範囲が表される場合、別の実施形態は、前記範囲内の全ての組み合わせと一緒に、1つの特定の値から及び/又は他の特定の値までであることが理解されるべきである。
本明細書での「一実施形態」又は「ある実施形態」への言及は、その実施形態に関連して記載される特定の特徴、構造、又は特性が、本発明の少なくとも1つの実施形態に含まれ得ることを意味する。本明細書の様々な場所における語句「一実施形態において」の出現は、同じ実施形態に必ずしも全て言及するものではないし、他の実施形態を必然的に互いに除外した別個の又は代わりの実施形態でもない。同じことが用語「実施」に当てはまる。
本発明の本質及び目的のさらなる理解のために、添付の図面と併用される、以下の詳細な説明が言及されるべきであり、ここで、図面において似た要素は、同じ又は類似の参照番号を与えられる。
Yonemuraらから複製された図1は、FNO/Ar、FNO/Ar、NF/Ar及びC/Oについてガス濃度の関数としてのエッチ速度を表す; シリンダーから半導体応用室までのFNOを含まないFNOガス及び/又はFNOを含まないFNOガス混合物の例示的なパッケージングの略図である; 中のFNOを製造するためのF、NO及びNを混合する種々の順番である; に富む条件下での現場合成から製造されたN中の30%のFNOに対する化学量論的条件下での現場合成から製造されたN中の30%のFNO中のFNO不純物のFT-IRスペクトルの比較である; NOを含まないFNO/F/Nのガス混合物を製造するためのF、NO及びNを混合する種々の順番である; の全量に対する第1F供給量(%)でのFNO形成のデータセットである; の全量に対する第1N供給量(%)でのFNO形成のデータセットである; 異なるF混合順のFNO及びFガス混合物でSiNエッチ後のFTIRシグナル及びエッチ速度である; 異なるN混合順でのFNO形成である; FNO濃度に対するFTIRシグナル及びエッチ速度である; エッチ時間に対するFTIRシグナル及びエッチ速度である; 異なる組成のモニタリングのFTIR結果である; エッチング性能のモニタリングの結果である。
熱エッチング及び/又はプラズマ乾式エッチングガスとして使用して半導体構造物をエッチするための、FNOを含まないFNOガス及びFNO/F、FNO/F/Nなどの、FNOを含まないFNOガス混合物を貯蔵する及び供給するためのシステム及び方法が開示される。FNOを含まないFNOガス及びFNOを含まないFNOガス混合物を使用して半導体構造物を熱的にエッチする及び/又はプラズマ乾式エッチするためのシステム及び方法も開示される。さらに、熱エッチングガスとして使用して半導体構造物をエッチするためのFNOを含まないFNOガス及びFNOを含まないFNOガス混合物の製造方法が開示される。FNOを含まないFNOガス及びFNOを含まないFNOガス混合物を製造するための開示される方法は、99%以上の純度のFNOのFNOを含まないFNOガス、及び1%未満の不純物を提供し得る。
FNO(フッ化ニトロシル、CAS番号:7789-25-5、沸点:-72.4℃(-98.3°F))及び/又はFNOと、F、HF、cC、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、SO等などの、他のエッチングガスとの混合物は、高度に反応性のフッ素化熱エッチングガスとして使用され得る。出願人は、半導体構造物を熱的にエッチするために使用されるFNOガスがより少ない~ゼロのFNO(トリフルオロアミンオキシド、CAS番号:13847-65-9、沸点:-87.6℃)不純物を含有すべきであることを発見した。したがって、開示されるFNOを含まないFNOガスは、FNOを含まないFNOガスを言う、より少ない~ゼロのFNO不純物を含有する。FNOを含まないは、本明細書では、1%未満のFNO不純物を有するガスを言う。FNOを含まないはまた、FNOを含まないと同じ定義を有するFNOのないとも言い得る。半導体応用において、FNOは、必要とされるような濃度のFNOを得るために、N、Ar、He、Ne、Kr、Xe、又はそれらの組み合わせなどの、不活性ガスに希釈され得る。FNOガス混合物FNO/F/Nは、例示的なFNOガス混合物の1つである。FNOを含まないFNOが不活性ガスで希釈された場合、混合物中のFNO不純物は、1%未満でさえである。例えば、FNOを含まないFNOとNとのガス混合物中の15%のFNOは、0.15%未満のFNO不純物を有し得る。
半導体応用において、FNOガスは、エッチャントとしての使用のために前もって合成されてもよいか又はそれが半導体構造物をエッチするために使用される現場で若しくはその場所にごく接近して製造されてもよい。前もって合成されたFNOガスに関しては、99%のFNOの純度が得られ得、FNO中に存在するFNO不純物は、他の不純物がFNOガス中に存在し得ることを考慮に入れて1%未満である。
とNOとを現場で混合するとFNOを製造することが知られている。しかしながら、FNOを製造するためにF及びNOを出発原料として使用すると、生成物FNO中に存在する副生成物として、FNO、FNO、NF、NO、NO等などの他の生成物を生成し得る。NOとFとの混合に伴う反応には、次の反応が含まれ得る。
2NO+F→2FNO
2NO+3F→2FNO
FNO+F→FNO
O+2F→NF+FNO
O及びNOは、不純物として出発原料NO中に存在し得る。
したがって、それがエッチングプロセスにおいて使用されるときに現場でFがNOと混合されてFとNOとのガス混合物を形成し、加えて所望のFNOエッチングガスを形成する場合に、FNOなどの、基F-N-Oを含有する窒素のオキシフッ化物はまた、FとNOとのガス混合物中に不純物として形成され得る。出願人は、F対NOの比率が非常によく制御されてい場合に、FとNOとを混合することによってFNOを製造するときにFNOがFとNOとの混合物中に存在しないことを見出した。
我々が知っている限りでは、前もって合成されたFNOガス中に及び/又は現場でFとNOガスとを混合することによって製造されるなどの、現場で製造されたFNO生成物中に、のどちらかで不純物としてのFNOの存在に言及した既存の研究は、これまで全くなかった。半導体構造物のエッチングについて、図1に示されるように、FNOは、Si含有材料に対してFNOよりも高い反応性を有するので、製造されたエッチングガスFNOは、FNOを含まないものであるべきである。FNOエッチング組成物中に存在するFNOは、基材上に形成された粒子及び基材中に生じる亀裂などの、エッチングプロセスへの有害な影響を有し得、それは、以下の実施例において見られ得る。FNOエッチング組成物中に存在するFNOは、また、選択性及びエッチ速度制御性などの、エッチング性能に影響を及ぼし得る。したがって、FNOの製造におけるFNO形成の制御は、半導体業界において正確なエッチングプロセスを行うために必要とされる。この点で、エッチング化合物として使用されるFNOは、最小限のFNOレベルと共に、高純度にあらねばならない。
半導体応用において、窒素のオキシフッ化物、すなわち、FNO、FNO及びFNOなどの、基F-N-Oを含有する化合物は、エッチングガス容器及びパイプライン、エッチング室、エッチされるべき基材等に対して腐食性であり得、半導体デバイス性能を低下させ得る。加えて、FNO含有ガスの貯蔵及びエッチング室へ配送のための容器、パイプライン及びパイプラインに沿った構成要素の材料、並びにエッチング室の材料は、FNOと適合しなければならない。これは、エッチングガスへの汚染を引き起こす及び基材がエッチされることを引き起こす可能性がある腐食が全く及びFNOと上記の材料との間の反応が全く起こらないことを意味する。FNOが前駆体/出発原料(例えば、NO及びF)から製造されるとき、エッチングガスとして使用されるその時に、前駆体は、また、生成物FNOとは異なる貯蔵及びハンドリング問題を生み出し得る。結果として、FNOを製造するときに、出発原料(例えば、F及びNO)並びにFNOそれ自体さえと、容器(例えば、シリンダー)、バルブ、マニホールド及び反応室との間の材質適合性は、短期若しくは長期使用でのそれのエッチング性能低下と一緒に重要である。
材質適合性試験は、開示されるFNOを含まないFNO及びFNOを含まないFNOガス混合物のいずれかの成分が容器(例えば、シリンダー)、バルブ、マニホールド及びチャンバーの材料と反応するかどうか、並びに開示されるFNOを含まないFNO及びFNOを含まないFNOガス混合物のいずれかの成分が短期若しくは長期使用でそれのエッチング性能を低下させるかどうかを判定するために重要である。材質適合性は、材料がF、NO、FNO、FNO等などの、化学物質と接触するときの材料の腐食、錆又は汚れへの耐性を言う。時々、容器(例えば、シリンダー)、バルブ、マニホールド及びチャンバーの材料は、熱エッチングのために、それらの劣化を高め得る、高温、例えば、20℃超で、及び高圧、例えば、1気圧超でこれらの化学物質に曝される。
NOを含まないFNOガスを製造するための開示される方法は、出発原料FとNOとを、FとNOとの混合比を制御することによって混合することを含む。様々な濃度のFNOを含まないFNOガスを得るために、N、Ar、Kr及びXeなどの、不活性ガス、好ましくはNが、製造されたFNOを含まないFNOガスを、ターゲット濃度のFNOを含まないFNOガスに希釈するために添加され得る。加えて、不活性ガスの添加は、以下の実施例を参照すれば現場でFNOを含まないFNOガスを製造する方法においてFNO形成を低減するのに役立つ。開示される混合方法を使って、FとNOとの間の反応中のFNOの形成は抑えられ得る。我々が知っている限りでは、開示される混合方法(すなわち、混合比)は、F及びNOを使ってFNOを製造するための先行技術には見出されなかった。FとNOとの間の直接反応がFNOを製造するための最も簡単な方法として開示されていることは知られている。しかしながら、FNO中の不純物としてFNOに言及する過去の研究は全くなく、一方、出願人は、FとNOとの間の反応からのFNOの存在が、様々なエッチングプロセスにおけるFNOガスの使用にとって有害であることを発見した。出願人は、また、混合物中のFNO量を制御してFNOを含まないFNOガスを製造するためにNあり又はなしでのFとNOとの混合方法を発見した。これは、正確なFNO不純物制御でFNOガス混合物を製造するために有益である。
NOを含まないFNOガス混合物を製造するための開示される方法は、FとNOとの混合比を制御することによって出発原料FとNOとを混合する工程と、次いでF、NO及び追加のガスの混合順を制御することによって追加のガスと混合する工程とを含む。追加のガスは、F、HF、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、SO、NO、O、CO、CO、NO、NO、O、Cl、H、HBr、及びそれらの組み合わせからなる群から選択され得る。好ましくは、追加のガスはFである。FNOを含まないFNOガス混合物中の様々な濃度のFNOを含まないFNOガスを得るために、N、Ar、Kr及びXeなどの、不活性ガス、好ましくはNが、製造されたFNOを含まないFNOガス混合物をターゲット濃度のFNOを含まないFNOガスに希釈するために添加され得る。同様に、不活性ガスの添加は、以下の実施例を参照すれば現場でFNOを含まないFNOガス混合物を製造する方法においてFNO形成を低減するのに役立つ。開示される混合方法を使って、Fと、NOと追加のガスとの間の反応中のFNOの形成は抑えられ得る。我々が知っている限りでは、開示される混合方法(すなわち、混合比の制御及び混合順の制御)は、F及びNOを使ってFNOガス及びFNOガス混合物を製造するための先行技術において見出されなかった。出願人は、混合物中のFNO量を制御してFNOを含まないFNOガス混合物を製造するためにNあり又はなしのFと、NOと追加のガスとの混合方法を発見した。これは、正確なFNO不純物制御でFNO含有ガス混合物を製造するために有益である。
開示される混合方法は、Fが現場でNOと混合される場合にFNO不純物の形成を抑えるための方法を提供する。FとNOとからFNOを含まないFNOを製造するための開示される混合方法は、FとNOとを化学量論的条件以下の比F/NO(F/NO≦1/2)で混合する工程を含む。このようにして、製造されたFNOを含まないFNO中のFNO不純物の形成は抑えられ得る。製造されたFNOを含まないFNOは、応用要件に従ってN中の異なる濃度のFNOを含まないFNOを形成するために、さらに、N、Ar、Kr及びXeなどの、不活性ガス、好ましくはNに希釈され得る。
とNOとからFNOを含まないFNOガス混合物(例えば、FNO/F)を製造するための開示される混合方法は、2段階のF混合プロセスを含む。第1段階において、FとNOとは、FNOを含まないFNOガスを製造するために化学量論的条件以下(F/NO≦1/2)で混合される。第2段階において、追加のFが、FNOを含まないFNO及びFガス混合物を生み出すために製造されたFNOを含まないFNOガスに添加される。このようにして、製造されたFNOを含まないFNO及びFガス混合物中のFNO不純物の形成が抑えられ得る。製造されたFNOを含まないFNO及びFガス混合物は、応用要件に応じてN中の異なる濃度のFNOを含まないFNO及びFを形成するために、さらに、N、Ar、Kr及びXeなどの不活性ガス、好ましくはNに希釈され得る。
NOガスは、安定ではなく、不安定性から生じた、NO、NO等などの、窒素酸素化合物の痕跡ガス不純物を含有し得る。一旦NOがFと混合されると、痕跡ガス不純物は、次の反応:F+NO→FNO又はF+NO→FNOに示されるように、Fと反応して最終的にFNOの生成物中にFNOを生み出し得る。それ故、NO及びNOのような低不純物を指定される高純度NOを使用することが非常に好ましい。FNOの形成を抑えるために、FNOを製造するために本明細書で使用されるNOガスは、可能な限り純粋であるべきである。好ましくは、NOの純度は、およそ99.9容積%~およそ100.0容積%、より好ましくはおよそ99.99容積%~およそ100.00容積%、さらにより好ましくはおよそ99.999容積%~およそ100.000容積%で提供される。加えて、NOガスは、およそ0.0容積%~およそ0.1容積%の痕跡ガス不純物を含有する可能性があり、それと共に前記痕跡ガス混合物中に含有される、およそ0容積ppm~およそ600容積ppmの、NO、NO等などの、NOガス以外のN-O含有ガスを含有する可能性がある。
開示される混合方法は、FNOの形成を抑えることができるので、FNO中の不純物FNOは、開示されるFNOを含まないFNOガスを熱エッチング及び/又はプラズマ乾式エッチングガスとして使用する場合に、エッチング性能に影響を及ぼし得ない。
開示されるシステム及び方法は、また、FNOと容器、マニホールド、パイプライン、エッチング室等との間の適合性材料を使用することによって、FNOを含まないFNOガス及び/又はFNOを含まないFNOガス混合物の貯蔵及び配送のためのシステム及び方法を含み得る。
NOを含まないFNO及び/又はN、Ar、Kr及びXeなどの不活性ガス、好ましくはNに希釈されたFNOを含まないFNOの貯蔵及び配送のための開示される方法は、腐食性ガスFNOを含まないFNO又はFNOを含まないFNO/N混合物を、NiP被覆内表面を持った合金4130Xでできた鋼シリンダー中に貯蔵する工程と、腐食性ガスFNOを含まないFNO又はFNOを含まないFNO/N混合物を、マニホールドアセンブリを通して応用反応器に配送する工程とを含む。合金4130Xでできた鋼シリンダーの内部表面は、ニッケルめっきで被覆され、及びニッケルめっきの内表面は、低い含水率をもたらす滑らかな表面を有するように研磨されている。本明細書では以下、ニッケルめっきの内表面が研磨されたニッケルめっきの内表面コーティングを持った合金4130Xでできた鋼シリンダーは、NiP被覆鋼シリンダーと言う。
シリンダー及びマニホールドアセンブリと流体連結したシリンダーバルブは、ニッケル又はニッケル合金でできている。シリンダーと応用反応器との間の圧力差のために、マニホールドアセンブリは、圧力調整器又は減圧装置によって、シリンダーバルブと流体連結した高圧ゾーンと、応用室と流体連結した低圧ゾーンとに分割される。マニホールドアセンブリは、2つの圧力ゾーンへ分割されることに限定されない。マニホールドアセンブリは、それぞれが異なる減圧を有する複数の圧力ゾーンへ分割され得る。したがって、複数の圧力ゾーンを使って、マニホールドアセンブリは、それぞれが異なる減圧を必要とする異なる反応室にガスを配送することができる。
腐食性ガスFNOを含まないFNO又はFNOを含まないFNO/N混合物の圧力は、低圧ゾーンに入る前に圧力調整器によって低下させられる。高圧ゾーンにおけるライン構成要素は、高ニッケル含量材料からなり得る。低圧ゾーンにおけるライン構成要素は、低ニッケル含量材料、金属又は金属合金からなり得る。高圧及び低圧ゾーンにおけるライン構成要素には、ガスフィルター、圧力センサー、ガスバルブ、マスフローコントローラー(MFC)、パイプ等が含まれる。高ニッケル含量材料は、少なくとも14重量%のニッケルを含有するニッケル合金、例えば、MONEL(登録商標)、INCONEL(登録商標)又はHASTELLOY(登録商標)C-22(登録商標)合金を言う。低ニッケル含量材料は、14重量%未満のニッケルを含有するか又はニッケルを全く含有しない材料、例えば、ステンレス鋼を言う。このようにして、FNO不純物及び設備の劣化は低減され得る。NiP被覆鋼シリンダーは、0.5L~49Lの範囲のサイズのNiP被覆鋼シリンダーであり得るが、それに限定されない。シリンダーバルブは、Ceodeux D306 Ni body Niダイアフラムであり得る。シリンダーバルブは、HASTELLOY(登録商標)C-22(登録商標)合金、MONEL(登録商標)、INCONEL(登録商標)、純ニッケル、又は任意の他の高ニッケル含量材料製であり得る。
マニホールドアセンブリの高圧ゾーンは、およそ0.8MPa~およそ10MPa、より好ましくは、およそ0.8~およそ3.5MPaの範囲の圧力を有し得る。マニホールドアセンブリの低圧ゾーンは、およそ0.1MPa~およそ0.8MPaの範囲の圧力を有し得る。マニホールドアセンブリは、2つの圧力ゾ-ンにおいて次のライン構成要素:圧力調整器、圧力センダー、バルブ、ガスフィルター、パイピング等を含む。高圧ゾーンにおけるライン構成要素は、MONEL(登録商標)、INCONEL(登録商標)又はHASTELLOY(登録商標)C-22(登録商標)合金などの、高ニッケル含量材料からなり得る。高ニッケル含量材料は、少なくとも14%のニッケルを含有し得る。典型的には、14%以上のニッケルを含有するいかなる材料も、高圧ゾーンにおけるライン構成要素を製造するために使用され得るが、ステンレス鋼(SS)などの、Fe含有合金は使用され得ない。一方、低圧ゾーンにおいて、ライン構成要素は、14%未満のニッケルを含有するか又はニッケルを全く含有しない低ニッケル含量材料からなり得る。低圧ゾーンにおけるライン構成要素は、また、高ニッケル含量材料を含めて、任意の金属又は任意の金属合金製であり得る。低圧ゾーンにおけるライン構成要素は、ステンレス鋼製であり得る。
下記は、開示されるFNOを含まないFNOガス及び/又はFNOを含まないFNOガス混合物の開示される貯蔵システム及び材質適合性が考慮されるターゲット応用反応器(例えば、エッチング用のエッチング室又は洗浄用の堆積室)への配送のための配送システムの例示的な実施形態である。
一実施形態において、シリンダーから半導体応用、例えば、エッチング室までのFNOを含まないFNOガスのパッケージングが図2に示される。このパッケージングは、2つの圧力ゾーンを含有するマニホールド101を含み、1つは高圧ゾーン102であり、他は低圧ゾーン104である。圧力ゾーン102の圧力は、圧力ゾーン104のそれよりも高い。圧力ゾーン102の圧力範囲は、およそ0.8MPa~10MPaである。圧力ゾーン104の圧力範囲は、およそ0.1MPa~0.8MPaである。1つの例示的な実施形態において、圧力ゾーン102の圧力は、0.99MPaであり;圧力ゾーン104の圧力は、0.5MPaである。加圧エッチングガス(FNOを含まないFNO)(例えば、0.8MPa~3.5MPa)を含有するシリンダー106は、シリンダーバルブ108によって圧力ゾーン102に流体連結されている。シリンダー106に貯蔵されたFNOを含まないFNOガスは、F及びNOを出発原料として使用して合成され得るか又は前もって合成されたFNOであり得る。シリンダー106に貯蔵されたFNOを含まないFNOガスは、99%の純度を有する。或いはまた、シリンダー106に貯蔵されたFNOを含まないFNOガスは、不活性ガス(N、Ar、Kr及びXe)に希釈され、例えば、Nガスに希釈されて、FNOを含まないFNOとNとの混合物を形成し得る。シリンダー106は、ニッケルめっきの内部表面コーティング及び研磨されたコーティング表面を持った合金4130Xでできた炭素鋼シリンダー(すなわち、NiP炭素鋼シリンダー)である。被覆ニッケルめっきの内部表面は、滑らかな表面が空気からの水分の汚染を低減し得るので重要である。シリンダーバルブ108は、エッチングガス(FNOを含まないFNO)が圧力ゾーン102からパイプライン110を通って圧力ゾーン104に配送されるのを制御し、ここで、バルブ112、圧力センサー114及び圧力調整器116は、パイプライン110に流体連結されている。圧力センサー114は、圧力ゾーン102の圧力を読み取る。不活性ガス(例えば、N)が、希釈されたFNOを含まないFNOガスを生み出すために反応ゾーン102においてFNOを含まないFNOガスに添加され得る。例えば、Nガスは、圧力ゾーン102におけるバルブ118を通ってFNOを含まないFNOガスの流れに添加され、そこでFNOを含まないFNOとNとの混合物を形成する。シリンダー106が既に希釈されたFNOを含まないFNOガス(例えば、N中の50%のFNO)を含有する場合、Nガスは、圧力ゾーン102におけるバルブ118を通って既に希釈されたFNOを含まないFNOガスの流れに添加され、既に希釈されたFNOを含まないFNOガスをさらに希釈させるであろう。このようにして、FNOを含まないFNOガスの濃度は、応用要件に応じて調整され得る。圧力調整器116は、FNOを含まないFNOとNとのガス混合物が圧力ゾーン104に入る前に、FNOを含まないFNOとNとのガス混合物の圧力を低下させる。圧力センサー120は、圧力ゾーン104の圧力を読み取る。圧力ゾーン102からのFNOを含まないFNOとNとのガス混合物は、次いで圧抜きされ、パイプライン130を通って圧力ゾーン104におけるマスフローコントローラー126に送られる。マスフローコントローラー126は、エッチングプロセスのためのエッチング室128に供給されるFNOを含まないFNOとNとのガス混合物の流量を制御する。バルブ122及び124は、マスフローコントローラー126の下流及び上流に設置され得る。
図2に示される、シリンダー、バルブ、マニホールド、チャンバー等に含まれる重要な材料には、NiP被覆鋼、ニッケル、ニッケル合金などの高ニッケル含量材料、及びステンレス鋼などの低ニッケル含量材料が含まれる。FNOを含まないFNOガスは、およそ0.8~およそ10MPaの圧力範囲内のシリンダー106に満たされた。シリンダー106は、容器、シリンダー又は任意の圧力容器(圧力範囲0.1MPa~10MPa)であり得る。高ニッケル含量バルブ108付きのシリンダー106は、圧力調整器、圧力センサー、バルブ、ガスフィルター、パイピング等などの、配送ライン構成要素を含むマニホールド101であって、エッチング室128と流体連結しているマニホールド101と流体連結している。シリンダー106は、99%の純度を有するFNOガスを含有する。シリンダー106は、NiP被覆鋼でできている。シリンダー106は、ニッケルめっきの内部表面コーティングを持った合金4130Xでできた炭素鋼シリンダーであり、ニッケルめっきの内部表面は、研磨されている。
シリンダーバルブ108は、14%超のニッケル含量を有する合金であり得、好ましくは、シリンダーバルブ108は、HASTELLOY(登録商標)又は他のニッケル合金である。1つの例示的な実施形態において、シリンダーバルブ108は、金属不純物(Fe、Ni、Cr、Mnなどの)が1ng/mL未満であるHASTELLOY(登録商標)材料を特に使用し得る。高圧FNO又はFNO/N混合物は、低圧のものよりも腐食性である。したがって、高圧FNO/N混合物は、特別なパッケージにおいて、圧力調整器116が圧力を低下させるために適用される、圧力調整器116までNiP被覆鋼シリンダー106をニッケル合金マニホールド101と連結させるように設計される。このように、圧抜きされたFNO/N混合物は、低圧ゾーン104及びエッチング室128をより少なく腐食する。このセットアップで、ニッケルからなるシリンダーバルブ108は、より少ない腐食/粉末形成を有することが見出された。NiP被覆鋼からなるシリンダー106は、非常に滑らかな表面及びより低い水分を有する。
図2に示されるパッケージングは、また、FNOを含まないFNOガスをFなどの、追加のエッチングガスと混合することによって形成されたFNOを含まないFNOガス混合物を貯蔵する及び配送するために用いられ得る。この場合は、FNOを含まないFNOガス混合物は、FNOを含まないFNO及びFである。
NOを含まないFNOガス及びFNOを含まないFNOガス混合物(例えば、FNOを含まないFNOとFとのガス混合物)の貯蔵及び配送のための開示されるシステムは、エッチング室128への金属不純物の配送を低減するために、シリンダー106、シリンダーバルブ108、マニホールドアセンブリ101の低圧ゾーン104との不動態化プロセスを含む。不動態化プロセスは、FNOガス又はFガスで行われ得る。高圧ゾーン102においては、ライン構成要素のための不動態化プロセスは、取り組まれても、又は高圧のために取り組まれなくてもよい。したがって、高ニッケル含量材料を、高圧ゾーンにおけるライン構成要素の製造のために適用できる。低圧ゾーン104においては、不動態化プロセスが適用され得る。
開示されるシステム及び方法は、また、開示されるFNOを含まないFNOガス及び/又はFNOを含まないFNOガス混合物を使用して半導体構造物をエッチするシステム及び方法を含む。開示されるエッチングシステム及び方法には、熱エッチング、ALE(原子層エッチング)などのプラズマ乾式エッチング等が含まれる。開示されるFNOを含まないFNOガス及び/又はFNOを含まないFNOガス混合物が、熱エッチング及びプラズマ乾式エッチングプロセスに適用される。開示されるFNOを含まないFNOガスは、単独の(純粋な)エッチングガスとして使用され得るか又は不活性ガス、例えば、N、Ar、He、Xe等に希釈され得る。希釈されたFNOを含まないFNOの濃度は、15%未満、好ましくは10%未満、より好ましくは5%未満、さらにより好ましくは1%未満であり得る。一実施形態において、希釈されたFNOを含まないFNOの濃度は、0.01%に希釈され得る。開示されるFNOを含まないFNOガスは、また、F、HF、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、SO、NO、O、CO、CO、NO、NO、O、Cl、H、HBr、及びそれらの組み合わせなどの、追加のエッチングガスと混合されたエッチングガスとして使用され得る。好ましくは、開示されるFNOを含まないFNOガスは、Fと混合されたエッチングガスとして使用される。
例示的な他のガスには、限定なしに、O、O、CO、CO、COS、NO、NO、NO、SO、及びそれらの組み合わせなどの酸化剤が含まれる。開示されるエッチングガスと酸化剤とは、反応室又はエッチング室への導入に前に混ぜ合わせられ得る。
或いはまた、酸化剤は、反応室へ連続的に及び反応室へ導入され得、エッチングガスは、パルスで反応室へ導入され得る。或いはまた、酸化剤及びエッチングガスは両方とも連続的に反応室へ導入され得る。酸化剤は、チャンバーへ導入される混合物のおよそ0.01容積%~およそ99.99容積%(99.99重量%が代わりの連続導入のためのほとんど純粋な酸化剤の導入を表す状態で)を占め得る。
一実施形態において、開示されるFNOを含まないFNOガスは、Nに希釈される(すなわち、FNO/N)及び追加のエッチングガスFと混合される(すなわち、FNO/N/F混合物)。開示されるFNOを含まないFNOガス混合物FNO/N/Fは、10容積%超のFNO、好ましくは15容積%超のFNOを含み得る。
開示されるFNOを含まないFNOエッチングガスと追加のガス(例えば、F)とは、反応室への導入の前に混合され得る。追加のエッチングガスは、チャンバーへ導入される混合物のおよそ0.01容積%~およそ99.99容積%を占め得る。
開示されるFNOを含まないFNOガスは、99容積v/v%以上の純度で、好ましくは99.99容積v/v%超の純度で、より好ましくは99.999容積v/v%超の純度で提供される。開示されるFNOを含まないFNOガスは、1容積%以下の痕跡ガス不純物を含有し、150容積ppm未満の、HO、NO、NO及び/又はCOなどの、不純物ガスが前記痕跡ガス不純物に含有される。好ましくは、開示されるFNOを含まないFNOガス中の含水量は、20重量ppm未満である。
開示されるFNOを含まないFNOガスは、1容積%未満、好ましくは0.1容積%未満、より好ましくは0.01容積%未満のFNOを含有し、それは、正確なエッチング性能及びより良好なプロセス再現性を提供し得る。
開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、3D NANDフラッシュメモリ又はDRAMメモリなどの、半導体構造物の最上部上にキャップされた、SiNフィルムなどの、シリコン含有フィルムを熱エッチする又はプラズマ乾式エッチするために使用され得る。開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、また、SiN層などの、基材上のシリコン含有フィルムを熱エッチする又はプラズマ乾式エッチするために使用され得る。開示される熱エッチング又はプラズマ乾式エッチング方法は、NAND若しくは3D NANDゲート又はFlash若しくはDRAMメモリ又はフィン型電界効果トランジスタ(FinFET)などのトランジスタ、横型Gate-All-Around(LGAA)デバイス及び縦型Gate-All-Around(VGAA)デバイス、バルク相補型金属酸化物半導体(Bulk CMOS)、完全に空乏化したシリコン・オン・インシュレータ-(FD-SOI)構造物、Monolithich 3D(M3D)などの半導体デバイスの製造において有用であり得る。開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、異なるフロントエンド(FEOL)及びバックエンド(BEOL)エッチアプリケーション並びにその上低kアプリケーションなどの、他の領域のアプリケーションに使用され得る。さらに、開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、また、基材上でメモリを論理回路に相互接続するための3Dシリコン貫通隙間(through silicon aperture)(TSV)エッチアプリケーションにおいてSiをエッチするためにも使用され得る。開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、堆積プロセス後に堆積室の内表面上に形成された堆積物の層又はフィルムを除去するために使用され得る。そのようなプロセスは、堆積後クリーニングプロセスを言う。
開示されるエッチング方法は、その上に配置されたフィルム又はチャンバー壁の内部表面上に堆積物(若しくはフィルム)を有する基材を有する反応室を提供する工程を含む。反応室は、プラズマエッチング、例えば、反応性イオン・エッチング(RIE)、単一若しくは多重周波数RF源の容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)若しくはマイクロ波プラズマ反応器、又はシリコン含有フィルムの一部を選択的に除去することができる他のタイプのエッチングシステムなどのために使用される任意のチャンバー又はエンクロージャなどの、及び限定なしに、その中でエッチング方法が行われるデバイス内の任意のエンクロージャ又はチャンバーであり得る。チャンバーは、異なる前駆体用の1つ以上のガス入口を持った堆積プロセス用のチャンバーでもあることができる。堆積用のチャンバーは、通常、基材ホルダー関して制御可能な温度を有し、反応室とガス入口との間の緩衝室であり得る。チャンバーの圧力は、ポンプシステムによって制御される。好適なプレ合成反応室としては、商標eMAXTMで販売されるApplied Materials磁気強化型反応性イオンエッチャー、商標2300(登録商標)FlexTMで販売されるLam Research CCP反応性イオンエッチャー誘電体エッチ製品系統又は商標INDYTM、INDY PLUSTM及びNT333TMで販売されるTokyo Electronが挙げられるが、それらに限定されない。反応室は、室温~およそ1000℃、好ましくは室温~600℃、より好ましくは100~300℃の範囲の温度に加熱され得る。アプリケーションターゲットに応じて、温度は、およそ100℃、500℃又は600℃であり得る。この種の熱エッチャーは、フロースルー、シャワーヘッド、又は他の設計などの異なるやり方によって分子を導入することができる。チャンバーの圧力を制御するポンピングシステムにつながるガス出口が存在するであろう。
開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、熱エッチング及びプラズマ乾式エッチングを含めて、半導体構造物をエッチする、例えばシリコン含有フィルム基材中にチャネルホール、ゲートトレンチ、ステアケースコンタクト、キャパシターホール、コンタクトホール等などエッチするのに好適である。熱エッチングについては、開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、熱反応器における等方性エッチング目的のために適用され得る。プラズマエッチングについては、開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、開示されるFNOを含まないFNOガス及び混合物が、高アスペクト比構造物の良好なプロファイルと一緒に、マスクへのダメージをほとんど~全く誘導しないので、現在入手可能なマスク材料に適合するのみならず、将来世代のマスク材料にも適合する。言い換えれば、開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物は、最小限のパターン崩壊又は粗さを有する垂直エッチングされたパターンを生み出し得る。好ましくは、開示されるFNOを含まないFNOガス及びFNOを含まないFNOガス混合物エッチング組成物は、反応器/チャンバーへの配送のためのエッチングプロセスの間中好適にも安定である。
反応室は、1つ若しくは2つ以上の基材を含有し得る。基材は、半導体、光起電力、平面パネル又はLCD-TFTデバイス製造において使用される任意の好適な基材であり得る。好適な基材の例としては、シリコン、シリカ、ガラス、又はGaAsウェハーなどの、ウェハーが挙げられる。ウェハーは、シリコン含有フィルム又は層などの、前の製造工程からの複合フィルム又は層をその上に有するであろう。層は、パターン化されていても又はされていなくてもよい。
開示されるFNOを含まないFNOエッチングガスは、基材を含有する反応室へ導入される。ガスは、およそ0.1sccm~およそ30slmの範囲の流量でチャンバーに導入され得る。当業者は、流量がツールによって及びアプリケーションによって異なり得ることを認めるであろう。
開示されるFNOを含まないFNOエッチングガスは、ニート形態でかそれともN、Ar、He、Xe等などの、不活性ガスとのブレンドで供給され得る。開示されるFNOを含まないFNOエッチングガスは、ブレンド中に様々な濃度で存在し得る。
FTIR、顕微鏡分析、圧力モニタリング(圧力センサー)、エリプソメーター、エネルギー分散X線分光法(EDX)、誘導結合プラズマ質量分析法(ICP-MS)、分析電子顕微鏡法(AEM)、X線光電子分光法(XPS)、走査電子顕微鏡法(SEM)、透過電子顕微鏡法(TEM)又は他の測定ツールが、半導体構造物をエッチするために開示されるFNOを含まないFNOエッチングガスを使用する組成の変化及びエッチング性能をモニターするために、及びまた、マニホールドアセンブリにおけるシリンダー、シリンダーバルブ及びライン構成要素材料の劣化を判定するためにチャンバー排出ガスからの熱活性化エッチングガスをモニターするために用いられ得る。
開示されるFNOを含まないFNOエッチングガスは、反応室への導入の前かそれとも反応室の内部で他のガスと混合され得る。好ましくは、ガスは、入るガスの一様な濃度を提供するためにチャンバーへの導入の前に混合され得る。
別の代替案では、開示されるFNOを含まないFNOエッチングガスは、2つ以上のガスが反応する場合などに、他のガスとは独立してチャンバーへ導入され得る。
別の代替案では、開示されるFNOを含まないFNOエッチングガス及び不活性ガスが、エッチングプロセス中に使用されるたった2つのガスである。
反応室内の温度及び圧力は、基材上のフィルムがエッチングガスと反応するのに好適な条件に保持される。例えば、チャンバーの圧力は、エッチングパラメータによって要求されるように、およそ0.1ミリトール~およそ1000トール、好ましくはおよそ1トール~およそ400トールに保持され得る。同様に、チャンバー中の基材温度は、プロセス要件に応じて約およそ室温~およそ1000℃、好ましくは室温~600℃、より好ましくは100~300℃の範囲であり得る。アプリケーションターゲットに応じて、温度は、およそ100℃、500℃又は600℃であり得る。
以下の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は、包括的であることを意図しないし、且つ、本明細書に記載される本発明の範囲を限定することを意図しない。
以下の実施例において、FTIRスペクトルは、セル長さ:6.4m;セル温度:40℃;セル圧力:10トール;スキャン:10回及び2cm-1解像度のThermo
NICOLET6700で集めた。以下の実施例において、エッチングガスは、FNOを含まないFNOだけ及び/又はFNOを含まないFNO/F/Nガス混合物から選択した。FNOを含まないFNO/F/Nガス混合物は、N中に約15%のFNOを含まないFNOと約10%のFとを含有した。
実施例1 前もって合成されたFNOを含まないFNO
前もって合成されたFNOを含まないFNOガスは、99%のFNOの純度を有する。前もって合成されたFNOを含まないFNOガス中の不純物には、FNO、NO、NO等が含まれ得る。NO及びNOは、NOシリンダー老化に由来し得る。FNO不純物は1%未満である。以下の実施例から、不活性ガス、例えば、Nガスに希釈されたFNOは、F及びNOを使って現場でFNOを製造する場合にFNO形成を抑え得る。さらに、半導体応用に応じて、FNOガスは、1つ以上の追加エッチングガスと混合されるかそれとも不活性ガスに希釈される。したがって、前もって合成されたFNOを含まないFNOによって形成されるFNO含有エッチングガスは、さらにより少ないFNO不純物を含有するであろう。例えば、前もって合成されたFNOを含まないFNOによって形成されるFNO含有エッチングガスが、15%の前もって合成されたFNOを含まないFNOを含有する場合、F NO不純物は、0.15%未満であろう。したがって、前もって合成されたFNOを含まないFNOによって形成されたFNO含有エッチングガスは、より少ない~ゼロのFNOを含有するであろう。
実施例2 現場で製造されるFNOを含まないFNO
前もって合成されたFNOを含まないFNOに加えて、F NOを含まないFNOは、F+2NO→2FNOの反応によって出発原料F及びNOを使って現場で製造され得る。生成物FNO中のFNO不純物の形成を抑えるために、FとNOとの反応は、化学量論的条件においてである、すなわち、反応剤FとNOとの比率は、およそ1/2に等しい。形成されるより少ない~ゼロのFNOを確実にするために、反応剤FとNOとの比率は、およそお1/2未満であり得る。
製造されたFNOを含まないFNOガスは、半導体応用においてエッチングガスとして使用するために不活性ガスに希釈され得る。不活性ガスは、N、Ar、He、Ne、Kr、Xeであり得る。一実施形態において、FNOを含まないFNOガスは、Nで希釈されてFNOを含まないFNO及びNガス混合物を形成し得る。FNOを含まないFNO及びNガス混合物は、FNO濃度のアプリケーション要件に応じて必要とされる量のNと共に1/2以下のF/NOのモル比でF、NO及びNを混合することによって製造され得る。FNOを含まないFNO及びNガス混合物を形成するためのF、NO及びNを混合する順番は、図3(a)~図3(d)に示される。混合順についての重要点は、F対NOの比率が化学量論的条件にある、すなわち、およそ1/2に等しいか、又はおよそ1/2未満にあることである。図3(a)は、3つの成分F、NO及びNが同時に反応器において混合され、次いで過剰のNが反応器に添加されることを示す。これは、反応剤F及びNOがN中のFNO生成物を製造するために最初にNに希釈され、製造されたFNOが、次いでNにさらに希釈されることに等しい。反応方程式は、2NO+F→2FNOである。反応剤中の当量以下のFで、FNOが製造され、FNOの形成は制御され得る。例えば、7.5sccmのF、15sccmのNO及び75sccmのNで形成された、F、NO及びNの混合物を反応器に供給すると、そこでFとNOとの間の反応が起こってNに希釈された生成物FNOを形成する。F対NOの比率は1/2に等しいので、全てのFは、FNOを製造するために消費され、FNOを生成するためのFは、全く残っていないであろう。このようにして、FNO形成は、抑えられ得、製造されたFNOは、FNOを含まないFNOである。追加のN(10sccm)が、次いで反応器に添加され、Nに希釈されたFNOを含まないFNOをもたらし、それによってN中の15%のFNOを含まないFNOのガス混合物を形成する。
或いはまた、FNOを含まないFNOとNとのガス混合物は、1/2以下のF/NOのモル比でF/NとNOとを混合することによって製造され得る。F、N及びNOを混合する順番は、図3(b)に示される。FとNとの混合物が先ず形成され、次いでNOがこの混合物へ添加され、その中でFとNOとの反応が起こってN中のFNOを製造する。追加のNが次いで生成物FNOに添加され、Nに希釈されたFNOを形成する。反応方程式は、2NO+F→2FNOである。反応剤中の当量以下のFで、FNOの形成が制御され得る。例えば、FとNとの混合物が、反応器に供給される7.5sccmのFと75sccmのNとで形成される。この混合物は、次いで反応器中で15sccmのNOと混合され、そこでFとNOとの間の反応が起こって生成物FNOを含まないFNOを形成する。生成物FNOを含まないFNOが、次いで10sccmのNのNに希釈されてN中の15%のFNOのガス混合物を形成する。
或いはまた、FNOを含まないFNOとNとのガス混合物は、1/2以下のF/NOのモル比でFとNO/Nとを混合することによって製造され得る。F、N及びNOを混合する順番は、図3(c)に示される。NOとNとの混合物が先ず形成され、次いでFがこの混合物へ添加され、その中でFとNOとの反応が起こってN中のFNOを製造する。追加のNが、次いで生成物FNOに添加され、Nにさらに希釈されたFNOを形成する。反応方程式は、2NO+F→2FNOである。反応剤中の当量以下のFで、生成物FNOが製造され、FNOの形成が制御され得る。
或いはまた、FNOを含まないFNOとNとのガス混合物は、その中で、F及びNOが、それぞれ、Nに希釈されている、F/NとNO/Nとを、1/2以下のF/NOの条件で混合することによって製造され得る。F、N及びNOを混合する順番は、図3(d)に示される。FとNとの混合物が先ず形成され、次いでNOとNとの混合物が、FとNとの混合物へ添加され、その中でFとNOとの反応が起こってN中のFNOを製造する。追加のNが、次いでN中の生成物FNOに添加され、N中の異なる濃度のFNOを形成する。反応方程式は、2NO+F→2FNOである。反応剤中の当量以下のFで、生成物FNOが製造され、FNOの形成が制御され得る。
実施例3 化学量論的条件対Fに富む条件
実施例2からの結果として生じた生成物を、FT-IRによって分析し、F対NOの比率が1/2以下であり、全てのFがFNOを製造するために消費され、FがFNOを生成するために全く残っていないので、生成物中により少ない~ゼロの痕跡FNOを確認した。図4は、それぞれ、化学量論的条件及びFに富む条件で製造されたN中の30%のFNOのFTIRの比較である。上方のスペクトルは、化学量論的条件で製造された30%のFNOであり;下方のスペクトルは、Fに富む条件で製造された30%のFNOである。FNOが化学量論的条件下で製造される場合、FNOピークは全く検出されなかった。
実施例4 現場でのN中のFNOを含まないFNOとFとのガス混合物の製造(I)
現場で製造されたFNOを含まないFNOガスは、半導体応用におけるエッチングガスとして使用するために、Fなどの、追加のエッチングガスと混合され得る。FNO/F/Nのガス混合物を製造するプロセスにおけるFNOの形成を抑えるために、混合手順は、F混合順を管理しながら行った。
NOを含まないFNO/F/Nのガス混合物は、F、NO及びNの異なる混合順によって製造され得る。図5(a)は、F、NO及びNが先ず混ぜ合わせられ、次いで追加のNが添加されることを示す。FNOを含まないFNO/F/Nのガス混合物中のターゲットF組成を得るために、F/NO比は1/2超でなければならない。或いはまた、FNOを含まないFNO/F/Nのガス混合物は、図5(b)に示されるように、FとNとを先ず混合し、次いでF/NO≦1/2の条件でNOを添加してFNOを製造し、次いで追加のF、及び追加のNを添加することによって製造され得る。この場合は、N及びNOの混合順は、置き換え可能であり得る。すなわち、FとNOとを先ず混合し、次いでNを添加する(括弧を参照されたい)。或いはまた、FNOを含まないFNO/F/Nのガス混合物は、図5(c)に示されるように、NOとNとを先ず混合し、次いでFを添加し、次いで追加のNを添加することによって製造され得る。この場合は、FNOを含まないFNO/F/Nのガス混合物中のターゲットF組成に達するために、F/NO比はまた1/2超でなければならない。或いはまた、FNOを含まないFNO/F/Nのガス混合物は、図5(d)に示されるように、FとNとを先ず混合し、次いでNOとNとの混合物をF/NO=1/2の条件で添加し、次いで追加のNを添加することによって製造され得る。同様に、この場合は、FNOを含まないFNO/F/Nのガス混合物中のターゲットF組成に達するために、F/NO比はまた1/2超でなければならない。
図5(a)、図5(c)及び図5(d)に示される混合順は、全て、Fに富む条件での1段階F混合手順である。図4に示されるように、Fに富む条件下では、FNOが生み出され、抑えられ得ない。1段階F混合手順での過剰のFとNOとの混合は、2段階F混合手順でのFとNOとの混合よりも多くのFNOを生み出す。図5(b)に示される混合順は、FNOを含まないFNO/F/Nのガス混合物中の最終F組成を狙うためのF又はF/Nの後供給を含む、2段階F混合手順である。第1段階においてF/NO≦1/2であり、FNOが抑えられるので、さらなる量のFを追加してもFNOを生み出さないであろう。したがって、図5(b)に示される混合手順のみが、FNOを含まないFNO/F/Nのガス混合物におけるより少ない~ゼロのFNO生成を提供する。
NOを含まないFNO/F/Nのガス混合物を合成するプロセスにおいて、FNO生成がF及びNO供給モル比並びにF混合手順/順番に依存することが分かる。FNO形成のために必要とされるようなF量の供給(すなわち、化学量論的条件)は、最小のFNO不純物を生み出す。FNO/F/Nのガス混合物を製造するために、2段階F混合手順が適用できる。2段階F混合手順は、i)Nへの希釈あり又はなしで先ず化学当量のFとNOとを混合することによってFと純NO(少なくとも99.9%純度)の混合物を形成すること、次いでii)余分なFガスをNへの希釈あり又はなしで混合物へ添加することである。2段階F混合手順によって、FNO/F/Nのガス混合物の形成においてより少ない~ゼロのFNOがFT-IRによって検出された。
ある例、残りのNガス中の15%-FNOと10%-Fとのガス混合物を、図5(b)に示されるような2段階F混合手順によって調製し、表1に記載した。N及びNO供給を、それぞれ、10mol及び2molに固定した。第1F供給対第2F供給(第1F/第2F)の比率は変えたが、Fの全流量は、FNO/F/Nガス混合物の同じ最終組成を狙うために2.3molに固定した。FNO/F/Nガス混合物中のFNO量をFTIRでモニターして、図6に示されるように、F混合順の影響をチェックした。
Figure 0007187700000002
第1段階において、第1F/全F供給の比率は43%であり、FNO形成のために必要とされるF対NOの比率は、F/NO=0.5である。第2段階において、第2Fの後供給が、FNO/F/Nガス混合物中の最終F組成(この場合は、10%のF)を狙うためにFとNOとの混合物に供給される。図6は、FNO形成がF供給量(第1F_%)と共に変化する(FTIRシグナル)ことを示す。43%の第1F/全F供給で、F/NOが化学量論条件にあるので、FNOは全く形成されなかった。第1F/全F供給量の他のもの、66%、83%及び100%は、全てFNOを生成する。
実施例5 現場でのN中のFNOを含まないFNOとFとのガス混合物の製造(II)
残りのNガス中の3.42%-FNOと2.31%-Fとのガス混合物(FNOを含まないFNO/F/N)を、表2の記載されるような、第1F及び第2Fの様々な混合量で図5(b)に示されるような、Fの2段階供給によって調製した。第1F、NO及び第2F供給量を、それぞれ、1mol、2mol、及び1.35molに固定した。ガス混合物の同じ最終組成を狙うためにNの全流量を55.13molに固定しながら第1N/第2Nの比率を変えた。FNO量をFTIRでモニターして、Nが2つの供給、第1N及び第2Nへ分けられている、図5(b)に示されるようなN混合順の影響をチェックした。FNOを含まないFNO/F/Nのガス混合物が、1/2でのF/NO比のプレミックスされたF/NとNOとの間の反応によって得られ得る。
Figure 0007187700000003
図7は、N供給及びN供給量(第1N_%)でのFNO形成の変化(FTIRシグナル)を示す。N供給なしで、FNOが生成した。第1N供給対全N供給の比率の増加と共に、FNO形成は次第に少なくなり、第1N供給が100%に達したときにほとんどゼロであった。したがって、Nの添加は、FNO形成を低減するために有益である。
実施例6 現場混合製造されたFNOを含まないFNOでのエッチング効果
エッチング効果を、現場混合製造されたFNOを含まないFNOをエッチングガスとして使用してSiNフィルムに関して行った。
第1F供給のエッチング効果
を、図5(b)に示されるように、2段階によって供給した。SiNフィルムをエッチするためのFNOとFとの様々な混合物を製造するために第1F対第2Fの比率が変わった。エッチング条件は、次のとおりである。圧力は20トールであり;温度は70℃であり;エッチング時間は2分であり;全流量は固定された1slmであり;エッチング組成物濃度:FNO/F=1.48であり;FNOは固定された3.42%であり、Fは固定された2.31%であり;全Fは40.2sccmであった。4つのSiN試料(1、2、3及び4)を、異なる第1F供給量でエッチした。表3にリストアップされる、全7つのSiNフィルムを、様々なエッチング試験のために使用した。
Figure 0007187700000004
図8は、異なる第1F供給量でのFNO及びFガス混合物でSiNがエッチされた後のFTIRシグナル及びエッチ速度である。明らかに、より多くの第1F供給によって生成したより多くのFNOが、より高いSiNエッチ速度をもたらすが、SiNフィルム表面上に一様でないエッチング結果(示されていない)をもたらす。43%の第1F供給での、試料1は、最低量のFNOを有し;57%及び72%の第1F供給での、試料2及び3は、徐々に増加するFNOを有した。1段階のF混合プロセスを意味する第2F供給を全く持たなかった、100%の第1F供給での試料4は、最高量のFNOを有する。4つの試料について、エッチ速度は、FNOの増加と共に増加した。試料1は、最低のFNO形成及び、他の3つの試料及び元のSiNフィルムと比較して良好なエッチング表面(示されていない)を有する。したがって、FNO中のより少ない~ゼロのFNO不純物又はFNOとFとのガス混合物中のより少ない~ゼロのFNO不純物は、エッチン性能のプラスになる。
供給のエッチング影響
エッチング条件は、次のとおりである。圧力は20トールであり;温度は70℃であり;エッチング時間は2分であり;全流量は固定された1slmであり;エッチング組成物濃度:FNO/F=1.48であり;FNOは固定された3.42%であり、Fは固定された2.31%であり;全Nは942.7sccmであった。Nは、図5(a)及び図5(c)に示されるように、2段階によって供給した。第1N対第2Nの比率は、SiNフィルムをエッチするためのFNO及びFNOとFとの混合物を生み出すために変わった。図9に示されるように、N希釈なしでは、FNOが生じた。したがって、F/NO反応のためのN希釈は、FNO形成を低減する。
FNO及びF濃度のエッチング影響
エッチング組成物は、FNOとFとを含有した。FNO濃度は、3.42%から9.80%まで変わった。F濃度は、2.31%から6.62%まで変わった。エッチング条件は、次のとおりである。圧力は20トールであり;温度は70℃であり;エッチング時間は2分であり;全流量は固定された1slmであり;エッチング組成物濃度:全Fの43%の第1F供給量でFNO/F=1.48であった。
図10に示されるように、FNO濃度の増加は、表3の試料1及び5に言及したFNO量を増加させない。試料5についてのSiNエッチ速度の増加は、試料1のそれよりも高いFNOの濃度のためである。試料5についてのエッチング表面色は、試料(1)(示されていない)とは全く異なり、FNO及びFの低濃度がエッチング性能のプラスになることを意味する。
エッチ時間の影響
エッチング条件は、次のとおりである。圧力は20トールであり;温度は70℃であり;全流量は固定された1slmであり;エッチング組成物濃度:FNO/F=1.48であり;FNOは固定された3.42%であり、Fは固定された2.31%であり;全Fは40.2sccmであった。エッチ時間は、2分から5分まで変化した。図5(b)に示されるような、2段階F混合法を適用してFNO/F/Nのガス混合物を形成した。
図11及び表3に言及すると、43%の第1Fでの試料1及び6は、低いFNOを有し;100%の第1Fでの試料4及び7は、高いFNOを有した。示されるように、FNO及びFN濃度に関して5分以内でのエッチ時間の影響は全くなかった。
実施例7 高圧でのFNOを貯蔵するためのシリンダーについての及びライン構成要素についての材質適合性
材質適合性試験は、エッチングガス混合物FNO/F/Nと、貯蔵シリンダー106並びに図2に示される高圧ゾーン102における構成要素例えば、シリンダーバルブ108、パイプライン110、バルブ112、圧力センサー114及び圧力調整器116との間の材質適合性の試験を含んだ。
試験される試料は、圧力0.99MPaでのHASTELLOY(登録商標)C-22(登録商標)、NiP、ステンレス鋼ガスケット(ステンレス鋼316L(SS316L)などの)及びNiガスケットであった。
XPS結果は、SS316L材料でできた容器において12000ÅまでのF浸透を示す。したがって、SS316L材料は、エッチングガス混合物FNO/F/Nに適合し得ない。
XPS結果は、HASTELLOY(登録商標)C-22(登録商標)材料でできた容器においておよそ6000ÅまでのF浸透を示す。材料HASTELLOY(登録商標)C-22(登録商標)は、SS316Lよりも良好である。
XPS結果は、NiP被覆鋼材料でできた容器においておよそ50Å未満のF浸透を示す。したがって、NiP被覆鋼材料は、エッチングガス混合物FNO/F/Nに適合する。
XPS結果は、ニッケル材料でできた容器においておよそ800Å未満のF浸透を示す。ニッケル材料は、NiP被覆鋼材料ほど良好ではないが、ニッケル材料は、エッチングガス混合物FNO/F/Nに幾分適合する。
要約すれば、高圧ゾーン(例えば、0.99MPa)において、NiP被覆鋼は、シリンダー本体を製造するのに良好である、純ニッケル又はニッケル合金は、シリンダーバルブ用に使用され得る。高圧ゾーンにおける他のライン構成要素(例えば、圧力調整器、バルブ、ガスフィルター、パイピング)は、MONEL(登録商標)又はINCONE
L(登録商標)HASTELLOY(登録商標)C-22(登録商標)などの、ニッケル合金を使用することが好ましく、それらは、高Ni含量を含有することが好ましいかもしれない。又はFNOでの不動態化プロセスが、高圧ゾーンにおいて適用され得る。不動態化プロセスは、圧力を徐々に高めるプロセスを含む。
実施例8 低圧でのライン構成要素についての材質適合性試験
材質適合性試験は、また、エッチングガス混合物FNO/F/Nと、図2に示される低圧ゾーン104における構成要素、例えば、圧力センサー120、パイプライン130、バルブ122及び124との間の材質適合性を試験することを含んだ。
SS316L及びNiの材質適合性
本明細書で使用される容器は、それぞれ、Niガスケット試料及び1つ又は2つのSSガスケット(すなわち、SS316Lガスケット)試料を含有するNi容器であった。試料を、17日及び21日の期間、エッチングガス(FNOを含まないFNO/F/N)を使って0.50MPaで試験した。
SS試料を粒子で覆い、FNOを含まないFNO/F/Nに曝されたときに腐食を観察した。したがって、SS試料は、低圧でさえもFNOを含まないFNO/F/Nに適合しない。腐食は、ニッケル材料に関しては全く観察されなかった。
FNOだけについては、SS試料は、観察される腐食なしで低圧ではFNOだけに適合すると分かったが、FNOを含まないFNO/F/Nについては、それは、低圧ゾーンにおいて適合しないと分かった。しかしながら、F又はFNOを使用する不動態化後に、SS試料は、低圧ゾーンにおいてエッチングガス(FNOを含まないFNO/F/N)に適合し得る。或いはまた、エッチングガスがFを含有しない場合、SSは、低圧ゾーンにおけるライン構成要素を製造するのに好適である。
低レベルのFNOありの又はFNOを含まないFNO及びF
2つのSS試料を、0.5MPaで20日間、それぞれ、3つの容器のそれぞれに取り付けた。1つの容器にFNOだけを供給し、他の2つに、比較のために、N中の15%のFNOを含まないFNOと10%のFとのガス混合物、及び半分濃度のN中の15%のFNOを含まないFNOと10%のFのガス混合物を供給した。FNOを含まないでさえも、N中の15%のFNOを含まないFNOと10%のFとのガス混合物は、0.5MPaでSS316Lに腐食をもたらしたが、FNOだけではSS316L表面上に腐食を全くもたらさなかった。SS316Lは、N中の15%のFNOを含まないFNOと10%のFとのガス混合物に適合しない。したがって、FNOを含まないF/FNO/Nに対しては低圧ゾーンにおけるF又はFNO不動態化が必要とされる。SS316Lは、F又はFNO不動態化後にエッチングガス(FNOを含まないFNO/F/N)に適合し得る。SS316Lは、FなしのFNOとNとのガス混合物に適合し得る。
実施例9 材質適合性のまとめ
高圧及び低圧ゾーンの両方についての材質適合性試験条件及び結果を表4にリストアップする。要約すれば、NiP被覆鋼、純ニッケル又はニッケル合金などの高含量ニッケル材料は、高圧ゾールに適合し得る。SS316Lは、低圧ゾーンにおいてFNO及びNガス混合物に適合する。しかしながら、F又はFNO不動態化ありで、SS316Lは、低圧ゾーンにおいてFNO/F/Nガス混合物に適合し得る。さらに、金属、ニッケル含量なしの金属、金属合金、又は高ニッケル含量若しくは低ニッケル含量の金属合金は、低圧ゾーンに適合し得る。
Figure 0007187700000005
表4において、「A」は、優れた適合性又は使用するのに良好なことを意味し;「A*」は、優れた適合性又は使用するのに良好な、しかし実際の試験は行われなかったことを意味し;「B」は、限定あり又は限定されて許容できることを意味し;「B*」は、限定あり又は限定されて許容できるが、しかし実際の試験は行われなかったことを意味し、「C」は、不十分又は適合しないことを意味し;「-」は、実際の試験なしを意味することに留意されたい。非被覆鋼は、Mn-鋼などの、表面上にNiPコーティングのない任意のタイプの鋼であり得る。SS316Lは、14%以下のニッケルを含有する。
実施例10 安定性(貯蔵寿命)試験
10LサイズNiP被覆鋼シリンダー及びCeodeux D306 Ni body Niダイアフラムシリンダーバルブを安定性試験のために使用した。シリンダーを、先ず真空ベーキングで前処理し、次いでFで不動態化した。実施例3において記載されたように、F、NO及びNを混合することによる15%のFNO/Nを、0.99MPa(G)で10LサイズNiP被覆鋼シリンダーに満たした。貯蔵寿命試験は、6ヶ月間FT-IRでFNO及び不純物(NO、HF、FNO)をモニターすることによって行った。エッチング性能試験は、6ヶ月間SiNエッチ速度を定期的にチェックすることによって行い、生成物の安定性は、組成及びSiNエッチング性能の観点から6ヶ月まで確認した。
図12は、FT-IRによる異なる組成のモニタリングの結果である。図13は、経時エッチング性能のモニタリングの結果である。エッチング性能は、温度100℃、圧力20トールで20%のFと1%のFNOとのエッチングガスを使って行った。エッチング時間は1分であった。図12及び図13からの結果は、FNO及び不純物に関する何の有意な濃度変化も並びに何の有意なエッチング性能の変化も示さず、6ヶ月安定性が確かであり、長期安定性が期待できることを意味する。
実施例11 FNOを含まないFNO含有ガスのための貯蔵及び供給パッケージング
図2に言及すると、半導体業界における熱エッチング及びプラズマ乾式エッチング応用等のためのFNOを含まないFNO含有ガスの貯蔵及び供給のためのパッケージングは、FNOを含まないFNO含有ガスの貯蔵のためのNiP被覆鋼シリンダーを含み得る。NiP被覆鋼シリンダーは、ニッケルめっき(NiP)の内部表面コーティング及びNiPコーティングの研磨された研磨表面を持った合金4130Xでできた炭素鋼シリンダーであり得る。供給パッケージングは、NiP被覆鋼シリンダーから圧力調整器によって分割された高圧ゾーンと低圧ゾーンとを有するマニホールドアセンブリへのFNOを含まないFNO含有ガスの配送を制御するためのニッケルシリンダーバルブをさらに含む。高圧ゾーンにおけるライン構成要素は、少なくとも14重量%のニッケルを有する高ニッケル含量材料/合金でできている。高圧ゾーンにおけるライン構成要素には、圧力調整器、バルブ、ガスフィルター、パイピング、圧力センサー等が含まれる。高ニッケル含量合金は、MONEL(登録商標)、INCONEL(登録商標)、HASTELLOY(登録商標)C-22(登録商標)等であり得る。高圧ゾーンは、徐々に圧力を増加させる状態でF又はFNOで不動態化され得る。低圧ゾーンにおけるライン構成要素は、任意の金属、又は高ニッケル含量材料/合金、低ニッケル含量材料/合金若しくはゼロニッケル含量材料/合金、例えば、ステンレス鋼などの任意の金属合金製であり得る。低圧ゾーンは、F又はFNOで不動態化され得る。
現場で前もって合成されたFNOを含まないFNO(FNO不純物は1%未満である)を使って、N中の様々なFNOの濃度のFNOを含まないFNO/Nガス混合物を生み出すためにFNOとNとが現場で混合され得る。したがって、FNOを含まないFNOガスは、NiP被覆鋼シリンダー中でNに希釈され、貯蔵され得る。FNOを含まないFNO/Nの混合物中のFNOの濃度は、およそ0.01%~およそ80%の範囲であり得る。好ましくは、FNOを含まないFNO/F/Nの混合物中のFNOの濃度は、およそ0.01%~およそ30%の範囲であり得る。一実施形態において、FNOを含まないFNO/Nの混合物中のFNOの濃度は、およそ3%である。別の実施形態において、FNOを含まないFNO/Nの混合物中のFNOの濃度は、およそ15%である。
現場で前もって合成されたFNOを含まないFNO(FNO不純物は1%未満である)を使って、N中のFNO及びFの様々な濃度のFNOを含まないFNO/F/Nガス混合物を生み出すためにFNOとFとが現場で混合され得る。FNOを含まないFNO/F/Nの混合物中のFNOの濃度は、およそ0.01%~およそ80%の範囲であり得、FNOを含まないFNO/F/Nの混合物中のFの濃度は、およそ0%(Fなし)~およそ80%の範囲であり得る。好ましくは、FNOを含まないFNO/F/Nの混合物中のFNOの濃度は、およそ0.01%~およそ30%の範囲であり得、FNOを含まないFNO/F/Nの混合物中のFの濃度は、およそ0%~およそ20%の範囲であり得る。
一実施形態において、FNOを含まないFNO/F/Nの混合物中のFNOの濃度は、およそ15%であり、FNOを含まないFNO/F/Nの混合物中のFの濃度は、およそ10%である。FNOを含まないFNOガスは、先ず、NiP被覆鋼シリンダー中でNに希釈され、貯蔵され得る。次いで、純FかそれともN中の希釈されたFが、希釈されたFNOを含まないFNOと混合され、半導体応用におけるエッチングガスとして使用するためのN中のFNOを含まないおよそ15%のFNO及びおよそ10%のFガス混合物を生み出す。生み出されたN中のFNOを含まないおよそ15%のFNO及びおよそ10%のFガス混合物は、NiP被覆鋼シリンダー中に貯蔵され得る。FNOを含まないFNO/F/Nのガス混合物を生み出すために前もって合成されたFNOを含まないFNOを供給する利点は、i)FNOとFとを混合することによる無発熱反応;ii)生成するより少ない~ゼロの不純物FNO;iii)上記の実施例において示されたエッチング性能のより良好な再現性である。
或いはまた、FNOを含まないFNO/F/Nガス混合物は、実施例3において上で記載されたような2段階F混合法でNO(純度少なくとも99.9%)とFガスとを混合することによって現場で製造され得る。製造されたFNOを含まないFNO/F/Nガス混合物は、半導体応用におけるエッチングガスとしての使用又は他の目的のためにNiP被覆鋼シリンダー中に貯蔵され得る。NOとFとを混合することによってFNOを含まないFNO/F/Nガス混合物を製造する利点は、FNOを含まないFNO/F/Nガス混合物中のFNOの濃度が、エッチングアプリケーションの要件に応じて調節できることである。
本発明の本質を説明するために本明細書で記載され及び例示されてきた、詳細、材料、工程、及び部品の配置の多くの追加の変更が、添付の特許請求の範囲に表されるような本発明の原理及び範囲内で当業者によって行われる得ることは理解されるであろう。したがって、本発明は、上で示された実施例及び/又は添付の図面での具体的な実施形態に限定されることを意図されない。
本発明の実施形態が示され、記載されてきたが、それらの修正は、本発明の主旨又は教示から逸脱することなしに当業者によって行われ得る。本明細書で記載された実施形態は、例示的であるにすぎず、限定的ではない。組成及び方法の多くの変形及び修正は可能であり、且つ、本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されず、以下の特許請求の範囲によって限定されるにすぎず、その範囲は、特許請求の範囲の主題の全ての同等物を包含するものとする。

Claims (4)

  1. NOを含まないFNO含有ガスの貯蔵及び供給のための方法であって、前記方法が、
    前記FNOを含まないFNO含有ガスを、研磨された内表面を持ったNiP被覆鋼シリンダー中に貯蔵する工程と;
    マニホールドアセンブリをF 又はFNOで不動態化する工程と;
    前記FNOを含まないFNO含有ガスを、前記シリンダーから前記マニホールドアセンブリに、前記シリンダー及び前記マニホールドアセンブリと流体連結したシリンダーバルブを活性化することによって放出する工程と;
    前記マニホールドアセンブリにおける圧力調整器を活性化することによって、前記マニホールドアセンブリを前記圧力調整器の上流の第1圧力ゾーンと前記圧力調整器の下流の第2圧力ゾーンとに分割するように、前記FNOを含まないFNO含有ガスを圧抜きする工程と;
    前記圧抜きしたFNOを含まないFNO含有ガスを、前記第2圧力ゾーンの下流のターゲット反応器に供給する工程と
    を含む方法。
  2. 1/2以下のFガス対NOガスの比率及び少なくとも99.9容積%NOガスの純度でNOとFガスとを混合することによって前記FNOを含まないFNO含有ガス中に含有されるFNOを含まないFNOを製造する工程であって、前記製造されたFNOを含まないFNOが、1容積%未満のFNOを含有する工程をさらに含む、請求項に記載の方法。
  3. 前記FNOを含まないFNO含有ガスが、
    前記製造されたFNOを含まないFNOガスを追加量のFと混合して前記FNOを含まないFNOガスとFとのガス混合物を生み出す工程と;
    前記FNOを含まないFNOガスとFとの前記ガス混合物をNに希釈してFNOを含まないFNOガス、F及びNのガス混合物を形成する工程と
    によって製造された、フィルムをエッチするためのFNOを含まないFNOガス、F及びNのガス混合物である、請求項に記載の方法。
  4. 前記第1圧力ゾーンにおける、前記シリンダーバルブ、前記圧力調整器及びライン構成要素が、少なくとも14重量%のニッケルを有するニッケル含有材料でできている、請求項1に記載の方法。
JP2021534699A 2018-12-20 2019-12-12 半導体プロセス用のf3noを含まないfnoガス及びf3noを含まないfnoガス混合物の貯蔵及び供給のためのシステム及び方法 Active JP7187700B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/227,623 US20200203127A1 (en) 2018-12-20 2018-12-20 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US16/227,623 2018-12-20
PCT/US2019/065915 WO2020131556A1 (en) 2018-12-20 2019-12-12 Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Publications (2)

Publication Number Publication Date
JP2022515063A JP2022515063A (ja) 2022-02-17
JP7187700B2 true JP7187700B2 (ja) 2022-12-12

Family

ID=71097745

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021534699A Active JP7187700B2 (ja) 2018-12-20 2019-12-12 半導体プロセス用のf3noを含まないfnoガス及びf3noを含まないfnoガス混合物の貯蔵及び供給のためのシステム及び方法

Country Status (6)

Country Link
US (2) US20200203127A1 (ja)
EP (1) EP3900021A4 (ja)
JP (1) JP7187700B2 (ja)
KR (1) KR102560205B1 (ja)
CN (1) CN113056811A (ja)
WO (1) WO2020131556A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US10862031B2 (en) * 2019-03-01 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method to effectively suppress heat dissipation in PCRAM devices
US20240153778A1 (en) * 2021-03-09 2024-05-09 Resonac Corporation Etching gas and etching method
WO2023195421A1 (ja) * 2022-04-05 2023-10-12 株式会社レゾナック フッ素含有窒素化合物の保管方法
WO2023195422A1 (ja) * 2022-04-05 2023-10-12 株式会社レゾナック フッ素含有窒素化合物の保管方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003500551A (ja) 1999-05-28 2003-01-07 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 耐蝕性容器およびガス供給システム
WO2006101171A1 (ja) 2005-03-24 2006-09-28 Ulvac, Inc. 真空部品の製造方法、樹脂被膜形成装置及び真空成膜システム
JP2011247407A (ja) 2010-04-28 2011-12-08 Central Glass Co Ltd ハロゲンガス又はハロゲン化合物ガスの充填容器用バルブ
JP2012097892A (ja) 2010-10-08 2012-05-24 Central Glass Co Ltd ハロゲン含有ガス供給装置及びハロゲン含有ガス供給方法
JP2014179553A (ja) 2013-03-15 2014-09-25 Nagoya Univ エッチング方法およびエッチング装置
JP2014236055A (ja) 2013-05-31 2014-12-15 東京エレクトロン株式会社 エッチング方法
JP2017054909A (ja) 2015-09-09 2017-03-16 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、気相成長装置及びバルブ

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2504867A (en) * 1948-06-22 1950-04-18 Air Reduction Method of arc welding
US3043662A (en) 1959-08-20 1962-07-10 Du Pont Method for producing nitrogen trifluoride, dinitrogen difluoride and nitrosyl fluoride
GB1054330A (ja) 1962-08-15
US4536252A (en) * 1985-02-07 1985-08-20 The United States Of America As Represented By The Secretary Of The Army Laser-induced production of nitrosyl fluoride for etching of semiconductor surfaces
JP2602880B2 (ja) * 1988-03-05 1997-04-23 忠弘 大見 シリンダーキャビネット配管装置
US5203843A (en) * 1988-07-14 1993-04-20 Union Carbide Chemicals & Plastics Technology Corporation Liquid spray application of coatings with supercritical fluids as diluents and spraying from an orifice
IT1226908B (it) * 1988-08-03 1991-02-21 Ausimont Spa Alcossiimmine fluorurate e loro n-cloro ed n-bromo derivati e processo per la loro preparazione.
GB8822641D0 (en) * 1988-09-27 1988-11-02 Int Paint Plc Improvements related to coatings
US4996035A (en) 1989-02-27 1991-02-26 Mallinckrodt, Inc. Preparation of nitrosyl fluoride
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5199609A (en) * 1991-09-11 1993-04-06 Ash Jr William O Portable dispensing system
JP3809879B2 (ja) * 1996-03-07 2006-08-16 忠弘 大見 アルマイト処理によって形成されたアルミナ膜を有するレーザーチャンバーを備えたエキシマレーザー発振装置
JPH1079385A (ja) * 1996-09-04 1998-03-24 Sony Corp 絶縁膜の形成方法
US6343627B1 (en) * 1998-09-03 2002-02-05 Nippon Sanso Corporation Feed device for large amount of semiconductor process gas
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP3878972B2 (ja) 2000-09-25 2007-02-07 東京エレクトロン株式会社 反応器の内部をクリーニングするため、ならびにケイ素含有化合物の膜をエッチングするためのガス組成物
US6474077B1 (en) * 2001-12-12 2002-11-05 Air Products And Chemicals, Inc. Vapor delivery from a low vapor pressure liquefied compressed gas
US6869582B2 (en) * 2003-01-24 2005-03-22 Air Products And Chemicals, Inc. Process for the synthesis of BrSF5
US20050006310A1 (en) * 2003-07-10 2005-01-13 Rajat Agrawal Purification and recovery of fluids in processing applications
US20050082002A1 (en) 2003-08-29 2005-04-21 Yuusuke Sato Method of cleaning a film-forming apparatus and film-forming apparatus
US7036534B2 (en) * 2003-09-30 2006-05-02 Mcclure Thomas W Marine engine corrosion prevention system
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
EP1795565B1 (en) * 2005-12-06 2016-05-18 Solvay Specialty Polymers Italy S.p.A. Water-based fluoropolymer composition
US7736751B2 (en) * 2006-09-20 2010-06-15 The Boeing Company Coating for components requiring hydrogen peroxide compatibility
US20080236483A1 (en) * 2007-03-27 2008-10-02 Jun Sonobe Method for low temperature thermal cleaning
US8322569B2 (en) * 2007-12-06 2012-12-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Integrated valve regulator assembly and system for the controlled storage and dispensing of a hazardous material
US8308871B2 (en) * 2008-11-26 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal cleaning gas production and supply system
US20130221024A1 (en) * 2010-10-08 2013-08-29 Central Glass Company, Limited Halogen-containing gas supply apparatus and halogen-containing gas supply method
JP5888674B2 (ja) * 2012-02-28 2016-03-22 国立大学法人名古屋大学 エッチング装置およびエッチング方法およびクリーニング装置
CN108675273A (zh) 2012-12-21 2018-10-19 普莱克斯技术有限公司 用于碳离子注入的掺杂物组合物的储存和负压输送
JP2014170786A (ja) 2013-03-01 2014-09-18 Hitachi Kokusai Electric Inc クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP2016532289A (ja) * 2013-07-23 2016-10-13 インテグリス・インコーポレーテッド 化学試薬の遠隔送出
WO2015134197A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
KR101483276B1 (ko) * 2014-07-11 2015-01-15 한국종합철관(주) 부동태 피막형 피복 강관
CN114797403A (zh) * 2017-02-09 2022-07-29 应用材料公司 利用水蒸气和氧试剂的等离子体减量技术
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003500551A (ja) 1999-05-28 2003-01-07 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 耐蝕性容器およびガス供給システム
WO2006101171A1 (ja) 2005-03-24 2006-09-28 Ulvac, Inc. 真空部品の製造方法、樹脂被膜形成装置及び真空成膜システム
JP2011247407A (ja) 2010-04-28 2011-12-08 Central Glass Co Ltd ハロゲンガス又はハロゲン化合物ガスの充填容器用バルブ
JP2012097892A (ja) 2010-10-08 2012-05-24 Central Glass Co Ltd ハロゲン含有ガス供給装置及びハロゲン含有ガス供給方法
JP2014179553A (ja) 2013-03-15 2014-09-25 Nagoya Univ エッチング方法およびエッチング装置
JP2014236055A (ja) 2013-05-31 2014-12-15 東京エレクトロン株式会社 エッチング方法
JP2017054909A (ja) 2015-09-09 2017-03-16 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、気相成長装置及びバルブ

Also Published As

Publication number Publication date
WO2020131556A8 (en) 2021-05-14
US20200203127A1 (en) 2020-06-25
KR20210100186A (ko) 2021-08-13
US20220208517A1 (en) 2022-06-30
WO2020131556A1 (en) 2020-06-25
EP3900021A4 (en) 2022-10-05
KR102560205B1 (ko) 2023-07-26
CN113056811A (zh) 2021-06-29
EP3900021A1 (en) 2021-10-27
JP2022515063A (ja) 2022-02-17

Similar Documents

Publication Publication Date Title
JP7187700B2 (ja) 半導体プロセス用のf3noを含まないfnoガス及びf3noを含まないfnoガス混合物の貯蔵及び供給のためのシステム及び方法
CN111512420B (zh) 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻
TWI781210B (zh) 用於蝕刻多個堆疊層之化學過程
JP6997237B2 (ja) 3d nandフラッシュメモリを製造する方法
TWI525658B (zh) 設計製造用於微影蝕刻遮罩應用的富硼薄膜之方法
EP3375008B1 (en) Plasma-free etching process
JP2021108391A (ja) 半導体構造物をエッチングするための窒素含有化合物
KR102282188B1 (ko) 에칭 방법 및 에칭 장치
TW201642340A (zh) 乾式蝕刻方法、乾式蝕刻劑及半導體裝置之製造方法
TWI767661B (zh) 含矽及氮膜的製造方法
WO2019097964A1 (ja) ドライエッチング方法
US20210395884A1 (en) Silicon precursor compounds and method for forming silicon-containing films
TWI824098B (zh) 乾式蝕刻方法、乾式蝕刻劑、及其保存容器
TWI830206B (zh) 矽前驅物化合物及形成含矽膜之方法
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法
WO2024127901A1 (ja) 液化ガス入り容器および液化ガス入り容器の製造方法
TW202240004A (zh) 高通量沈積方法
TW202328159A (zh) 鉬前驅物化合物
TW202321513A (zh) 使用含矽氫氟烴之蝕刻方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210709

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210616

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20210624

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20210625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221025

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221130

R150 Certificate of patent or registration of utility model

Ref document number: 7187700

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150