CN111512420B - 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻 - Google Patents
用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻 Download PDFInfo
- Publication number
- CN111512420B CN111512420B CN201880084246.8A CN201880084246A CN111512420B CN 111512420 B CN111512420 B CN 111512420B CN 201880084246 A CN201880084246 A CN 201880084246A CN 111512420 B CN111512420 B CN 111512420B
- Authority
- CN
- China
- Prior art keywords
- etching
- gas
- silicon
- fluorine
- fno
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000005530 etching Methods 0.000 title claims abstract description 325
- 238000001035 drying Methods 0.000 title description 2
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 116
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 114
- 239000011737 fluorine Substances 0.000 claims abstract description 113
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 111
- 239000010703 silicon Substances 0.000 claims abstract description 111
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 110
- 238000000034 method Methods 0.000 claims abstract description 110
- UDOZVPVDQKQJAP-UHFFFAOYSA-N trifluoroamine oxide Chemical compound [O-][N+](F)(F)F UDOZVPVDQKQJAP-UHFFFAOYSA-N 0.000 claims abstract description 6
- 239000007789 gas Substances 0.000 claims description 224
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims description 96
- 238000006243 chemical reaction Methods 0.000 claims description 73
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 49
- 239000000758 substrate Substances 0.000 claims description 44
- 239000011261 inert gas Substances 0.000 claims description 39
- 239000000203 mixture Substances 0.000 claims description 38
- 238000010926 purge Methods 0.000 claims description 16
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 229910052739 hydrogen Inorganic materials 0.000 claims description 10
- 150000001875 compounds Chemical class 0.000 claims description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 230000003213 activating effect Effects 0.000 claims description 6
- ZEIYBPGWHWECHV-UHFFFAOYSA-N nitrosyl fluoride Chemical compound FN=O ZEIYBPGWHWECHV-UHFFFAOYSA-N 0.000 claims description 6
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 6
- WUSYAIQLOOSERH-UHFFFAOYSA-N N=O.F Chemical compound N=O.F WUSYAIQLOOSERH-UHFFFAOYSA-N 0.000 claims description 5
- 238000001020 plasma etching Methods 0.000 abstract description 20
- 239000010410 layer Substances 0.000 description 187
- 235000012431 wafers Nutrition 0.000 description 51
- 239000000463 material Substances 0.000 description 35
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 22
- 238000001312 dry etching Methods 0.000 description 22
- 229910052757 nitrogen Inorganic materials 0.000 description 14
- 238000001878 scanning electron micrograph Methods 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 12
- 239000012535 impurity Substances 0.000 description 11
- 229910052799 carbon Inorganic materials 0.000 description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 238000012360 testing method Methods 0.000 description 8
- 238000002156 mixing Methods 0.000 description 7
- 238000002161 passivation Methods 0.000 description 7
- 230000001965 increasing effect Effects 0.000 description 6
- 230000015654 memory Effects 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 238000001039 wet etching Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- SKBLJQADGZYMKA-UHFFFAOYSA-N OPOP Chemical compound OPOP SKBLJQADGZYMKA-UHFFFAOYSA-N 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- -1 nitrogen-containing fluorine compound Chemical class 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- 239000002033 PVDF binder Substances 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 238000001994 activation Methods 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000005065 mining Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000000678 plasma activation Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 229920002981 polyvinylidene fluoride Polymers 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 238000010791 quenching Methods 0.000 description 2
- 230000000171 quenching effect Effects 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 239000011593 sulfur Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- BCOSEZGCLGPUSL-UHFFFAOYSA-N 2,3,3-trichloroprop-2-enoyl chloride Chemical compound ClC(Cl)=C(Cl)C(Cl)=O BCOSEZGCLGPUSL-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910007991 Si-N Inorganic materials 0.000 description 1
- 229910006294 Si—N Inorganic materials 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000001636 atomic emission spectroscopy Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000005108 dry cleaning Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 229910000856 hastalloy Inorganic materials 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000001095 inductively coupled plasma mass spectrometry Methods 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000005272 metallurgy Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- XZWYZXLIPXDOLR-UHFFFAOYSA-N metformin Chemical compound CN(C)C(=N)NC(N)=N XZWYZXLIPXDOLR-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen(.) Chemical compound [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920002493 poly(chlorotrifluoroethylene) Polymers 0.000 description 1
- 239000005023 polychlorotrifluoroethylene (PCTFE) polymer Substances 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 102000004169 proteins and genes Human genes 0.000 description 1
- 108090000623 proteins and genes Proteins 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 238000005173 quadrupole mass spectroscopy Methods 0.000 description 1
- 229910001404 rare earth metal oxide Inorganic materials 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000005070 sampling Methods 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 238000005211 surface analysis Methods 0.000 description 1
- 238000010189 synthetic method Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000011179 visual inspection Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/01—Manufacture or treatment
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B69/00—Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
Abstract
披露了用于各向同性蚀刻HAR孔的侧壁上的含硅层的方法。该HAR孔通过等离子体蚀刻第一含硅层和第二含硅层的交替层的堆叠而形成,该第二含硅层与该第一含硅层不同。披露的方法包括以下步骤:a)将含氟蚀刻气体引入该反应器中,该含氟蚀刻气体选自由FNO、F3NO、FNO2及其组合组成的组;和b)通过用该含氟蚀刻气体相对于这些第一含硅层选择性地蚀刻这些第二含硅层来移除这些第二含硅层的至少一部分,以在该HAR孔的侧壁上产生在这些第一含硅层之间的凹槽。所披露的工艺是循环蚀刻工艺。
Description
相关申请的交叉引用
本申请要求2017年12月29日提交的美国申请号15/858,342的权益,出于所有目的将其全部内容通过引用合并于此。
技术领域
披露了用于3D NAND器件应用的用非等离子体干式蚀刻工艺相对于SiO2选择性地蚀刻SiN的方法。所披露的方法提供了用于通过非等离子体干式热蚀刻工艺使用含氟蚀刻气体相对于SiO2选择性地各向同性蚀刻SiN或Poly-Si(p-Si)材料的工艺,这些工艺伴有或不伴有对该含氟蚀刻气体的等离子体活化,该含氟蚀刻气体选自由亚硝酰氟(FNO)、三氟胺氧化物(F3NO)、硝酰氟(FNO2)及其组合组成的组。
背景技术
SiN是半导体工艺和器件中,诸如图案化技术和闪速存储器中常用的材料。使用SiN的挑战是蚀刻选择性和蚀刻速率。在半导体工艺中,SiN通常需要从围绕SiN的其他硅材料(例如SiO2)进行蚀刻。在3D NAND器件应用中,在交替的SiN和SiO2层(ONON)的堆叠结构中产生高纵横比(HAR)孔之后,需要在HAR孔内部移除SiN层。因此,在3D NAND器件应用中需要在HAR孔中的顶部和底部两者处以对SiO2的高选择性和相同的SiN蚀刻速率水平地各向同性蚀刻SiN。
目前,使用H3PO4的湿式蚀刻工艺可用于在工业中移除SiN。然而,当HAR沟槽变得更深时,由于表面张力效应,对于液体化学品可能存在到达HAR沟槽的底部的问题。因此,干式蚀刻成为开发的解决方案。干式蚀刻可以减少处理时间并且具有较少的杂质引入。
典型的干式蚀刻工艺利用产生各向异性蚀刻的等离子体。由于SiN的水平蚀刻需要各向同性蚀刻,因此需要等离子体可能不适合的各向同性蚀刻工艺。
已经开发了各种干式蚀刻方法来从SiO2进行各向同性蚀刻SiN。此外,亚硝酰氟(FNO)已用于蚀刻SiN或含硅材料。例如,授予Tamaoki等人的JP 4739709披露了利用F2+NO化学过程对SiN、SiC和石英的非等离子体清洁或蚀刻能力,并且示出SiN/石英的选择性为16的实例。授予Yanagida的US 5376234和US 5445712披露了利用FNO化学过程对SiN和SiO2进行热蚀刻,但没有对其他材料的选择性度量。授予Kameda等人的US 9,683,288和US 8,679,259披露了干式清洁工艺,其中通过使用含氟原子的气体和NO气体生成FNO并将该FNO供应到处理容器中。Kastenmeier等人(J.Vac.Sci.Technol.A[真空科学与技术杂志A],19(2001)25)披露了在含F2和NO的等离子体中的SiN蚀刻,但没有对其他材料的选择性度量。Yonemura等人(J.Electrochem.Soc.[电化学学会杂志],150(2003)G707)报道了对在含FNO和F3NO的气体中的SiO2蚀刻的评价,但没有对其他材料的选择性度量。Kigoshi等人(Journal of the Mining and Metallurgical Institute of Japan[日本的采矿与冶金学会志],89(1973)第799页)和Tajima等人(J.Phys.Chem.C[物理化学杂志C],117(2013)第20810页)报道了使用F2、NO和FNO气体对Si材料的非等离子体蚀刻。
蚀刻含Si、SiN或其他硅的膜的其他示例性实例包括US 3882040、US4536252、US6380095、US 20010020516、US 20080236482、US20080236483、US 20100132744和US20030143846。
因此,需要开发各向同性蚀刻方法以检验以良好选择性适用于特定蚀刻工艺的特定蚀刻气体的区别。
发明内容
披露了用于在反应腔室中各向同性蚀刻在基板上形成的高纵横比(HAR)孔的侧壁上的含硅层的至少一部分的方法,该HAR孔通过等离子体蚀刻第一含硅层和第二含硅层的交替层的堆叠而形成,该第二含硅层与该第一含硅层不同,该方法包括以下步骤:a)将含氟蚀刻气体引入该反应腔室中,该含氟蚀刻气体选自由亚硝酰氟(FNO)、三氟胺氧化物(F3NO)、硝酰氟(FNO2)及其组合组成的组;和b)通过用该含氟蚀刻气体相对于这些第一含硅层选择性地蚀刻这些第二含硅层来移除这些第二含硅层的至少一部分,以在该HAR孔的该侧壁上产生在这些第一含硅层之间的凹槽。
另外,披露了用于在反应腔室中各向同性蚀刻在基板上形成的高纵横比(HAR)孔的侧壁上的含硅层的至少一部分的方法,该HAR孔通过等离子体蚀刻第一含硅层和第二含硅层的交替层的堆叠而形成,该第二含硅层与该第一含硅层不同,该方法包括以下步骤:a)将FNO气体引入该反应腔室中;和b)通过用FNO气体相对于这些第一含硅层选择性地蚀刻这些第二含硅层来移除这些第二含硅层的至少一部分,以在该HAR孔的该侧壁上产生在这些第一含硅层之间的凹槽。
所披露的方法中的任一种可包括以下方面中的一项或多项:
·该含氟蚀刻气体是亚硝酰氟(FNO);
·该含氟蚀刻气体是三氟胺氧化物(F3NO);
·该含氟蚀刻气体是硝酰氟(FNO2);
·该含氟蚀刻气体含有一个氮;
·该含氟蚀刻气体含有氧;
·将附加气体引入该反应腔室中;
·该附加气体选自下组,该组由以下项组成:F2、NO、O2、COS、CO2、CO、NO2、N2O、SO2、O3、Cl2、HF、H2和HBr;
·该附加气体是NO;
·该附加气体是F2;
·该附加气体是过量F2;
·该附加气体占引入该反应腔室中的该含氟蚀刻气体和该附加气体的总体积的按体积计大约0.01%至按体积计大约99.9%;
·在引入该反应腔室之前将该含氟蚀刻气体和该附加气体混合以产生混合物;
·在引入该反应腔室之前将FNO气体和该附加气体混合以产生混合物;
·在引入该反应腔室之前将FNO气体和NO气体混合以产生混合物;
·在引入该反应腔室之前将F2气体和NO气体混合以产生混合物;
·将该含氟蚀刻气体与该附加气体分开地引入该反应腔室中;
·将NO和惰性气体引入该反应腔室中;
·将惰性气体和过量F2引入该反应腔室中;
·将惰性气体与该含氟蚀刻气体一起引入;
·在引入该反应腔室之前将该含氟蚀刻气体和该惰性气体混合;
·将该含氟蚀刻气体与该惰性气体分开地引入该反应腔室中;
·将惰性气体与FNO气体一起引入;
·该惰性气体是N2;
·该惰性气体是Ar;
·该含氟蚀刻气体的浓度在按体积计从0.1%至20%的范围内;
·FNO气体的浓度在按体积计从0.1%至20%的范围内;
·该HAR孔是由选自下组的等离子体蚀刻气体等离子体蚀刻的,该组由以下项组成:1,1,1,3,3,3-六氟丙烷(C3H2F6)、1,1,2,2,3,3-六氟丙烷(异-C3H2F6)、1,1,1,2,3,3,3-七氟丙烷(C3HF7)、以及1,1,1,2,2,3,3-七氟丙烷(异-C3HF7);
·该HAR孔是由选自下组的等离子体蚀刻气体等离子体蚀刻的,该组由以下项组成:cC4F8、C4F8、C4F6、C5F8、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、CFN、SO2及其组合;
·c)用惰性气体吹扫该反应腔室,以及d)重复a)至c);
·c)用惰性气体吹扫该反应腔室;d)将活化气体引入该反应腔室中;e)用惰性气体吹扫该反应腔室;以及f)重复a)至e);
·该活化气体选自由Ar、Kr、Xe、Ne、He和H2组成的组;
·c)用惰性气体吹扫该反应腔室;d)将蚀刻气体的混合物引入该反应腔室中;e)保持该第一含硅层的表面免于蚀刻;f)用惰性气体吹扫该反应腔室;以及g)重复a)至f);
·该蚀刻气体的混合物是FNO、FNO和NO的混合物、或F2和NO的混合物;
·该蚀刻气体的混合物是FNO;
·该蚀刻气体的混合物是FNO和NO的混合物;
·该蚀刻气体的混合物是F2和NO的混合物;
·该第二含硅层是SiN;
·该第二含硅层是p-硅;
·SiN相对于SiO2的蚀刻速率之比在从10至3000的范围内;
·SiN相对于SiO2的蚀刻速率之比在从20至2000的范围内;
·SiN相对于SiO2的蚀刻速率之比在从30-1000的范围内;
·对于热氧化形成的SiO2层,选择性达到3000;
·SiN相对于等离子体增强CVD SiO2的选择性在从30至1000的范围内;
·以在从大约0.1sccm至大约1slm范围内的流速将该含氟蚀刻气体引入该反应腔室中;
·该反应腔室具有在从大约1托至大约400托范围内的压力;
·该反应腔室具有在从大约1托至大约250托范围内的压力;
·该反应腔室具有在从大约1托至大约100托范围内的压力;
·该蚀刻步骤在从大约-196℃至1000℃范围内的温度下进行;
·该蚀刻步骤在从大约-196℃至室温范围内的温度下进行;
·该蚀刻步骤在从室温至大约1000℃范围内的温度下进行;
·该蚀刻步骤在从室温至大约400℃范围内的温度下进行;
·该蚀刻步骤在从室温至大约250℃范围内的温度下进行;并且
·通过四极质谱仪、光学发射光谱仪、FTIR或其他终点检测测量工具来测量该含氟蚀刻气体和该蚀刻表面。
还披露了具有氮和氧的含氟蚀刻气体。所披露的含氟蚀刻气体包括以下方面中的一项或多项:
·该含氟蚀刻气体是FNO;
·该含氟蚀刻气体是F3NO;
·该含氟蚀刻气体是FNO2;
·该含氟蚀刻气体含有一个氮;
·该含氟蚀刻气体含有氧;
·具有在按体积计从大约95%至大约99.999%范围内的纯度;
·包含按体积计在大约万亿分之10至大约5%之间的痕量气体杂质;
·这些痕量气体杂质包含水;
·这些痕量气体杂质包含CO2;
·这些痕量气体杂质包含N2;并且
·该含氟蚀刻气体具有小于20ppmw的水含量。
符号和命名法
以下详细说明和权利要求书利用了本领域中通常众所周知的许多缩写、符号和术语,并且包括:
如本文所用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如本文所用,在正文或权利要求书中的“约(about)”或“大约(around或approximately)”意指所述值的±10%。
如本文所用,在正文或权利要求书中的“室温”意指从大约20℃至大约25℃。
术语“基板”是指在其上进行工艺的一种或多种材料。基板可以是指具有在其上进行工艺的一种或多种材料的晶圆。基板可以是任何适用于制造半导体、光伏材料、平板或LCD-TFT器件的晶圆。基板还可以具有已从先前的制造步骤沉积在其上的一个或多个不同材料层。例如,晶圆可以包括硅层(例如,结晶的、非晶的、多孔的等)、含硅层(例如,SiO2、SiN、SiON、SiCOH等)、含金属层(例如,铜、钴、钌、钨、铂、钯、镍、金等)或其组合。此外,基板可以是平面的或图案化的。基板可以是有机图案化的光致抗蚀膜。基板可以包括用作MEMS、3D NAND、MIM、DRAM或FeRam器件应用中的介电材料的氧化物层(例如,基于ZrO2的材料、基于HfO2的材料、基于TiO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料等)或用作电极的基于氮化物的膜(例如,TaN、TiN、NbN)。本领域普通技术人员将认识到,本文所用的术语“膜”或“层”是指放置或铺展在表面上的一些材料的厚度并且该表面可为沟槽或线条。在整个说明书和权利要求书中,晶圆及其上的任何相关层被称为基板。
术语“晶圆”或“图案化晶圆”是指在基板上具有含硅膜的堆叠并且在所形成的含硅膜的堆叠上具有图案化硬掩模层以用于图案蚀刻的晶圆。
术语“图案蚀刻”或“图案化蚀刻”是指蚀刻非平面结构,诸如在图案化硬掩模层下方的含硅膜的堆叠。
如本文所用,术语“蚀刻(etch或etching)”是指各向同性蚀刻工艺和/或各向异性蚀刻工艺。各向同性蚀刻工艺涉及蚀刻化合物与基板之间的化学反应,从而导致基板上的部分材料被移除。这种类型的蚀刻工艺包括化学干式蚀刻、气相化学蚀刻、热干式蚀刻等。各向同性蚀刻工艺在基板中产生横向或水平蚀刻轮廓。各向同性蚀刻工艺在基板中预先形成的孔的侧壁上产生凹槽或水平凹槽。各向异性蚀刻工艺涉及等离子体蚀刻工艺(即,干式蚀刻工艺),其中离子轰击使垂直方向上的化学反应加速,使得沿着掩蔽特征的边缘以与基板成直角形成垂直侧壁(Manos和Flamm,Thermal etching an Introduction[热蚀刻导论],Academic Press,Inc.[学术出版社公司]1989第12-13页)。等离子体蚀刻工艺在基板中产生垂直蚀刻轮廓。等离子体蚀刻工艺在基板中产生垂直孔、沟槽、通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等。
术语“掩模”是指抵抗蚀刻的层。硬掩模层可位于待蚀刻的层的上方。
术语“纵横比”是指沟槽(或孔)的高度与沟槽的宽度(或孔的直径)之比。
术语“选择性”意指一种材料的蚀刻速率与另一种材料的蚀刻速率之比。术语“选择性的蚀刻(selective etch)”或“选择性地蚀刻(selectively etch)”意指蚀刻一种材料多于另一种材料,或换言之,在两种材料之间具有大于或小于1:1的蚀刻选择性。
在本文中需注意,术语“膜”和“层”可以互换使用。应理解的是,膜可以对应于层或者与层相关,并且该层可以是指该膜。此外,本领域普通技术人员将认识到,本文所用的术语“膜”或“层”是指放置或铺展在表面上的某种材料的厚度并且该表面可在从与整个晶圆一样大至与沟槽或线一样小的范围内。
在本文中需注意,术语“蚀刻化合物”和“蚀刻气体”在蚀刻化合物在室温和环境压力下呈气态时可以互换使用。应理解的是,蚀刻化合物可以对应于蚀刻气体或者与蚀刻气体相关,并且该蚀刻气体可以是指该蚀刻化合物。
术语“通孔(via)”、“孔(aperture)”和“孔洞(hole)”有时可互换使用,并且通常意指层间绝缘体中的开口。
如本文所用,缩写“NAND”是指“与非("Negated AND"或"Not AND")”门;缩写“2D”是指平面基板上的二维栅极结构;缩写“3D”是指三维或垂直栅极结构,其中栅极结构在垂直方向上堆叠。
本文使用元素周期表中元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳,H是指氢,F是指氟等)。
提供了由化学文摘服务指定的唯一的CAS登记号(即“CAS”)以识别所披露的特定分子。
请注意,含硅膜,诸如SiN和SiO,遍及本说明书和权利要求书列出,而不提及其恰当的化学计量学。含硅膜可以包括纯硅(Si)层,诸如结晶Si、多晶硅(p-Si或多晶Si),或非晶硅;氮化硅(SikNl)层;或氧化硅(SinOm)层;或其混合物,其中k、l、m和n包括性地在从0.1至6的范围内。优选地,氮化硅是SikNl,其中k和l各自在从0.5至1.5的范围内。更优选地,氮化硅是Si3N4。在本文中,以下描述中的SiN可以用于表示含SikNl的层。优选地,氧化硅是SinOm,其中n在从0.5至1.5的范围内并且m在从1.5至3.5的范围内。更优选地,氧化硅是SiO2。在本文中,以下描述中的SiO可以用于表示含SinOm的层。该含硅膜还可能是基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低k介电材料,诸如应用材料公司(AppliedMaterials,Inc.)的Black Diamond II或III材料(具有式SiOCH)。含硅膜还可以包括SiaObNc,其中a、b、c在从0.1至6的范围内。这些含硅膜还可以包括掺杂剂,诸如B、C、P、As和/或Ge。
附图说明
附图说明为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在所述附图中相似元件给予相同或类似的参考号,并且其中:
图1a是3D NAND堆叠中的示例性HAR孔的示例性截面侧视图;
图1b是形成于图1a中所示的HAR孔中的示例性各向同性蚀刻凹槽的示例性截面侧视图;
图2a是本文披露的循环蚀刻工艺的示意图;
图2b是本文披露的替代性循环蚀刻工艺的示意图;
图2c是本文披露的另一替代性循环蚀刻工艺的示意图;
图3a是用于各向异性等离子体蚀刻的图案化晶圆的SEM图像;
图3b是在进行各向异性等离子体蚀刻形成用于各向同性蚀刻的等离子体蚀刻孔之后图案化晶圆的SEM图像;
图3c是图3b中示出的等离子体蚀刻孔的SEM图像,这些孔具有标记的深度;
图3d是图3b中示出的等离子体蚀刻孔的SEM图像,这些孔具有标记的宽度;
图4是在各向同性蚀刻测试中应用的示例性管式反应器系统的截面侧视图;
图5a是在用FNO蚀刻之后平面SiN膜的元素的原子百分比相对于溅射循环次数的XPS图;
图5b是在用FNO蚀刻之后平面SiO2膜的元素的原子百分比相对于溅射循环次数的XPS图;
图6是平面晶圆的F2/FNO蚀刻速率相对于F2浓度的图;
图7是用FNO各向同性蚀刻之后的图案化晶圆的SEM图像;
图8a是在增加压力下用FNO各向同性蚀刻之后的图案化晶圆的SEM图像;
图8b是图8a中示出的标记区域的放大SEM图像;
图9a是在3min蚀刻时间下用FNO各向同性蚀刻之后的图案化晶圆的SEM图像;
图9b是在4min蚀刻时间下用FNO各向同性蚀刻之后的图案化晶圆的SEM图像;
图9c是在5min蚀刻时间下用FNO各向同性蚀刻之后的图案化晶圆的SEM图像;并且
图10是示例性钝化处理。
具体实施方式
披露了用于在3D NAND器件应用中非等离子体热干式蚀刻含硅膜的方法。披露了用于制造用于形成栅极沟槽、位存储器等的半导体结构的方法。此外,披露了用于在3DNAND器件应用中以在从10至3000范围内的选择性由另一含硅层选择性地各向同性蚀刻一个含硅层,诸如由SiO2蚀刻SiN的方法。还披露了用于由SiO2层选择性地各向同性蚀刻p-Si层的方法。
更具体地,披露了用于蚀刻沉积在基板上的含硅层的蚀刻结构的方法。蚀刻结构包括通过等离子体蚀刻基板上的第一含硅层和第二含硅层的交替层的堆叠而形成的高纵横比(HAR)孔,其中第二含硅层与第一含硅层不同。披露了用于选择性地各向同性蚀刻HAR孔的侧壁上的含硅层的至少一部分的方法。披露了用于在水平上选择性地各向同性蚀刻HAR孔的侧壁上的含硅层的至少一部分的方法。
所披露的方法提供了用于通过热蚀刻使用含氟蚀刻气体相对于SiO2选择性地各向同性蚀刻SiN或p-Si材料的工艺,这些工艺不伴有对含氟蚀刻气体的等离子体活化,该含氟蚀刻气体选自由亚硝酰氟(FNO)、三氟胺氧化物(F3NO)、硝酰氟(FNO2)及其组合组成的组。在此,可以等离子体活化含氟蚀刻气体。本领域普通技术人员将认识到,所披露的进行等离子体活化的含氟蚀刻气体将相对于SiO2选择性地各向同性蚀刻SiN或p-Si材料。
所披露的含氟蚀刻气体可以是含氟、氮和氧的蚀刻气体或化合物。所披露的含氟蚀刻气体可以是FNO。所披露的含氟蚀刻气体可以是F3NO。所披露的含氟蚀刻气体可以是FNO2。
所披露的含氟蚀刻气体仅仅具有F、N和O。所披露的含氟蚀刻气体是含氮的氟化合物并且含有一个氮。
所披露的含氟蚀刻气体是可商购的,并且它们的结构式、CAS编号和沸点也包括在表1中。本领域普通技术人员将认识到,可以使用所提供的CAS编号获得这些化合物的合成方法。
表1.可商购的蚀刻化合物
在本文中,所披露的含氟蚀刻气体可以蚀刻包括SiN层和多晶硅层的含硅膜。
所披露的含氟蚀刻气体可以提供相对于SiO2层、硬掩模层、蚀刻终止层和器件沟道材料蚀刻SiN或p-Si层的高选择性。在3D NAND器件应用中,相对于SiO2层蚀刻SiN或p-Si层的选择性可以在从10至3000的范围内,优选从20至2000,更优选从30至1000。选择性范围取决于工艺参数,诸如温度、压力、蚀刻气体浓度、SiO2膜形成工艺等。
所披露的含氟蚀刻气体以按体积计大于95%纯度、优选以按体积计大于99.99%纯度、并且更优选以按体积计大于99.999%纯度提供。所披露的含氟蚀刻气体含有按体积计小于5%的痕量气体杂质,其中在所述痕量气体杂质中含有按体积计小于150ppm的杂质气体,诸如N2和/或H2O和/或CO2。例如,FNO具有按体积计小于0.1%的痕量气体杂质,这些痕量气体杂质包括F2、HF和H2O。优选地,所披露的含氟蚀刻气体中的水含量是按重量计小于20ppmw。
所披露的含氟蚀刻气体适用于非等离子体热干式蚀刻SiN和p-Si膜。优选地,所披露的含氟蚀刻气体在用于输送到反应腔室中的蚀刻工艺期间是稳定的。
所披露的含氟蚀刻气体可以在微机电系统(MEMS)、DRAM和3D NAND器件应用中的高纵横比结构,诸如具有在从1:10至1000:1范围内的纵横比的那些中提供相对于SiO2蚀刻SiN或p-Si的高选择性。
材料相容性测试对于确定是否任何所披露的含氟蚀刻气体将与腔室材料反应并且降低具有短期或长期用途的腔室的性能是重要的。在腔室、阀等的部件中涉及的关键材料包括不锈钢、铝、镍、镍合金、聚三氟氯乙烯(PCTFE)、聚偏二氟乙烯(PVDF)、聚四氟乙烯(PTFE)和其他金属和聚合物或弹性体。有时,这些材料暴露于高温(例如高于室温)和高压(例如高于1atm),这可增强其降解。计量方法可以包括目视检查、重量测量、测量SEM中的纳米尺度变化、拉伸强度、硬度等。
可以在气体管线或气瓶柜中使用所披露的含氟蚀刻气体进行非等离子体热干式蚀刻工艺之前进行钝化处理以排除金属杂质。如下面的实例中所示,可以使用实例中的建议方法(如图10所示)来完成钝化。
所披露的含氟蚀刻气体可以用于非等离子体热干式蚀刻堆叠在具有SiN/SiO(ONON)或p-Si/SiO(OPOP)的交替层的3D NAND蚀刻结构中的SiN或p-Si膜。所披露的非等离子体热干式蚀刻方法可以用于蚀刻MEMS,诸如牺牲层。所披露的非等离子体热干式蚀刻方法可以在制造半导体器件,诸如NAND或3D NAND门或者闪存或DRAM存储器或晶体管,诸如鳍形场效应晶体管(FinFET)、块状互补金属氧化物半导体(块状CMOS)、完全耗尽型绝缘体上硅(FD-SOI)结构上是有用的。所披露的含氟蚀刻气体可用于其他应用领域,诸如不同的前段制程(FEOL)和后段制程(BEOL)蚀刻应用以及低k应用。另外,所披露的含氟蚀刻气体还可以用于在3D硅通孔(TSV)等离子体蚀刻应用中蚀刻Si以用于将存储器与基板上的逻辑电路互相连接。
所披露的非等离子体热干式蚀刻方法包括提供具有至少一个基板的反应腔室,该基板包括设置在其中的至少一种蚀刻结构。根据使用要求,反应腔室可以是自制或商用反应器。基板可以是含硅层、含钛层、含钽层等。反应腔室可以是其中进行蚀刻方法的器件内的任何附件或腔室,诸如并且不限于反应性离子蚀刻(RIE)、具有单一或多个频率RF源的电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、或微波等离子体反应器,或能够选择性地移除含硅膜的一部分或产生活性物种的其他类型的蚀刻系统。
还披露了使用所披露的含氟蚀刻气体在非等离子体热干式蚀刻条件下制造半导体结构的方法。所披露的方法提供了含氟蚀刻气体用于热蚀刻含Si膜的用途。所披露的方法还提供了当在孔、孔洞或沟槽的侧壁上形成凹槽时抑制对硬掩模层的损坏、保护硬掩模层或增强硬掩模层。所披露的方法在制造半导体中,诸如在MEMS和3D NAND器件应用中是有用的。
所披露的含氟蚀刻气体以在按体积计从95%至99.999%范围内的纯度输送,或者可以用移除CO、CO2、N2、H2O、HF、H2S、SO2、卤化物和其他烃或氢卤烃的已知标准纯化技术来纯化。
在一个实施例中,所披露的含氟蚀刻气体可以在引入反应腔室中之前或在该反应腔室内与其他气体混合。优选地,可以在引入腔室之前将其他气体混合,以便提供均匀浓度的进入气体。
在另一个替代方案中,可以将所披露的含氟蚀刻气体独立于其他气体引入腔室中,诸如当两种或更多种气体反应时。
所披露的含氟蚀刻气体可以是以变化浓度存在于共混物中,例如共混物中的FNO浓度可以在按体积计从0.1%至20%的范围内。
示例性的其他气体还可以包括但不限于惰性气体,诸如N2、Ar、Kr、He、Xe、Ne等。在引入反应腔室中之前,可以将所披露的蚀刻气体和惰性气体混合在一起,其中该惰性气体占所得混合物的按体积计大约80%至按体积计大约99.99999%(N7.0)。可替代地,可将惰性气体连续地引入腔室中,而以脉冲形式将蚀刻气体引入腔室中。诸位申请人发现,通过将所披露的含氟蚀刻气体(例如FNO)与惰性气体(例如N2)混合,所披露的含氟蚀刻气体有效地相对于SiO2选择性蚀刻SiN。
可以与所披露的含氟蚀刻气体混合的其他示例性气体包括附加气体,诸如F2、NO、O2、COS、CO2、CO、NO2、N2O、SO2、O3、Cl2、HF、H2和HBr。
在引入反应腔室之前可以混合所披露的含氟蚀刻气体和附加气体。附加气体可以占引入腔室中的含氟蚀刻气体的总体积的按体积计大约0.01%至按体积计大约99.99%。
在另一个替代方案中,所披露的含氟蚀刻气体、附加气体和惰性气体是在蚀刻工艺期间使用的仅有的三种气体。
附加气体和惰性气体可以通过远程等离子体反应器电离。可替代地,附加气体和惰性气体可以不被电离。
所披露的方法使用所披露的含氟蚀刻气体在非等离子体热干式蚀刻条件下水平地各向同性蚀刻在基板上形成的HAR孔的侧壁上的含硅层的至少一部分。孔的HAR在从1:10至1000:1的范围内。
所披露的非等离子体热干式蚀刻方法蚀刻包含第一含硅层和第二含硅层的交替层的基板中的预先形成的孔,其中预先形成的孔通过等离子体干式蚀刻第一含硅层和第二含硅层的交替层形成。第二含硅层与第一含硅层不同。所披露的方法包括以下步骤:a)将含氟蚀刻气体引入反应腔室中,该含氟蚀刻气体选自由FNO、F3NO、FNO2及其组合组成的组,和b)通过用含氟蚀刻气体相对于第一含硅层选择性地蚀刻第二含硅层来移除第二含硅层的至少一部分,以在蚀刻结构的侧壁上产生在第一含硅层之间的凹槽。
在一个实施例中,所披露的含氟蚀刻气体可以是以与惰性气体(诸如N2或Ar)的共混物引入反应腔室中的FNO。FNO可以是以变化浓度存在于共混物中。优选地,共混物中FNO的浓度可以在按体积计从0.1%至20%的范围内。
当使用FNO时,将FNO填充于在0.1Mpa至10MPa之间的压力范围内的镀镍钢瓶中。哈氏合金材料可用于钢瓶阀。金属杂质(诸如Fe、Ni、Cr、Mn)小于1ng/mL。
可替代地,所披露的含氟蚀刻气体可以是以与惰性气体(诸如N2或Ar)和NO附加气体的共混物引入反应腔室中的FNO。FNO和NO可以是以变化浓度存在于共混物中。优选地,共混物中FNO的浓度可以在按体积计从0.1%至20%的范围内。
在另一个替代方案中,所披露的含氟蚀刻气体可以是引入反应腔室中的FNO、F2和N2的混合物。在此,可以应用过量F2。
在另一个替代方案中,可以将F2和NO气体的混合物引入反应腔室中以通过以下等式在其中产生FNO:F2+2NO→2FNO。随后,可以将惰性气体(诸如Ar或N2)引入反应腔室中。然后可以向混合物中添加另外的F2和NO。在此,可以应用过量F2。
在一个实施例中,将所披露的含氟蚀刻气体引入含有基板和含硅膜的反应腔室中。可以以在从大约0.1sccm至大约1slm范围内的流速将所披露的含氟蚀刻气体引入腔室中。还可以以在从大约0.1sccm至大约1slm范围内的流速将附加气体和惰性气体(如果有的话)引入腔室中。
含硅膜,诸如SiN或p-Si膜,与所披露的含氟蚀刻气体反应以形成挥发性副产物,这些挥发性副产物被从反应腔室中移除。SiO2层和a-C掩模与所披露的含氟蚀刻气体的反应性较低。因此,所披露的含氟蚀刻气体选择性地与含硅膜反应以形成挥发性副产物。
使反应腔室内的温度和压力保持在适用于SiN或p-Si膜与所披露的含氟蚀刻气体反应的条件下。例如,反应腔室中的基板温度的范围可以为在大约-196℃至大约1000℃之间,优选在大约室温至大约1000℃之间;更优选在大约室温至大约600℃之间;甚至更优选在大约室温至大约400℃之间;甚至更优选在大约室温至大约250℃之间。优选的温度范围也可以优选在-196℃与室温之间;或在-196℃与0℃之间;或在大约0℃与室温之间。反应腔室壁温度与反应腔室温度相同。同样,反应腔室中的压力可以保持在大约1托与大约400托之间,优选在大约1托与大约250托之间;更优选地,在大约1托与大约100托之间。在蚀刻工艺过程中,可以将温度控制在温度设定点的5℃以内;可以将压力控制在压力设定点的0.1托以内。
在含硅膜(例如,SiN或p-Si)与含氟蚀刻气体之间的反应导致从孔的侧壁水平地各向同性移除含硅膜。氮、氧和/或碳的原子也可存在于含硅膜中。移除是由于含硅膜和含氟蚀刻气体的化学反应。
所披露的含氟蚀刻气体优选表现出对掩模层和SiO2层的高选择性并且蚀刻穿过SiN或p-Si层,从而导致SiO2层之间的呈水平或横向蚀刻轮廓的凹槽,这对于MEMS、3D NAND和DRAM器件应用是重要的。
所披露的蚀刻工艺或方法使用所披露的含氟蚀刻气体来蚀刻含硅层,诸如SiN和p-Si层,并在用于在预先形成的孔中产生栅极沟槽、位存储器(bit memory)等的MEMS、3DNAND或DRAM结构中形成在SiO2层之间的凹槽。需要通过所披露的含氟蚀刻气体蚀刻的典型材料可以是含硅组合物,诸如3D NAND堆叠中的ONON或OPOP的SiN或p-Si层。使用所披露的含氟蚀刻气体相对于SiO2的SiN的蚀刻选择性可以在从10至3000;优选20到2000;更优选30-1000的范围内,这取决于工艺参数,诸如温度、压力、蚀刻气体浓度等的范围。使用所披露的含氟蚀刻气体相对于SiO2的SiN的蚀刻选择性还取决于SiO2膜的形成工艺,诸如热氧化和等离子体增强CVD工艺。例如,对于通过热氧化形成的SiO2层,选择性可以达到3000。如果不使用热氧化来形成SiO2层,则选择性可能不会那么高。例如,相对于等离子体增强CVDSiO2的SiN的选择性可以在从30至1000的范围内。
可以通过用惰性气体(诸如Ar或N2)吹扫蚀刻气体来终止所披露的蚀刻工艺或方法。还可以通过使用淬火气体(诸如,N2、NO或O2)来终止所披露的蚀刻工艺或方法。用吹扫蚀刻气体和/或使用淬火气体终止蚀刻工艺有助于保持选择性和/或减慢反应。可以应用终点检测系统,诸如四极质谱仪(QMS)、光学发射光谱仪(OES)、FTIR等来检测何时应该终止蚀刻工艺以避免过度蚀刻。
所披露的蚀刻方法可以是循环蚀刻工艺,该工艺具有蚀刻速率控制、光滑表面和通量的优点。蚀刻靶材可以是基板上的通过等离子体干式蚀刻第一含硅层和第二含硅层的交替层而形成的预先形成的孔。第二含硅层与第一含硅层不同。例如,第一含硅层可以是SiO2层;第二含硅层可以是SiN或p-Si层。
如图2a所示,在一个实施例中,循环蚀刻工艺包括a)将含氟蚀刻气体引入反应腔室中;b)通过用含氟蚀刻气体相对于第一含硅层选择性地蚀刻第二含硅层来移除第二含硅层的至少一部分,以在HAR孔的侧壁上产生在第一含硅层之间的凹槽;c)用惰性气体吹扫反应腔室;以及重复a)至c)。含氟蚀刻气体选自由FNO、F3NO、FNO2及其组合组成的组。优选地,含氟蚀刻气体是FNO。惰性气体可以是N2或Ar。如果第一含硅层是SiO2层并且第二含硅层是SiN或p-Si层,则含氟蚀刻气体相对于SiO2层蚀刻SiN层,形成在SiO2层之间的凹槽。
可替代地,如图2b所示,循环蚀刻工艺可以包括以下步骤:a)将含氟蚀刻气体引入反应腔室中;b)通过用含氟蚀刻气体相对于第一含硅层选择性地蚀刻第二含硅层来移除第二含硅层的至少一部分,以在HAR孔的侧壁上产生在第一含硅层之间的凹槽;c)用惰性气体吹扫反应腔室;d)将活化气体引入反应腔室中;e)用惰性气体吹扫反应腔室;以及f)重复a)至e)。在此,含氟蚀刻气体选自由FNO、F3NO、FNO2及其组合组成的组。优选地,含氟蚀刻气体是FNO。活化气体是选自由Ar、Kr、Xe、Ne、He和H2组成的组的电离气体。电离气体可以由远程等离子体腔室生成。可替代地,反应腔室可以是等离子体腔室。在将活化气体引入反应腔室中的步骤处,在等离子体腔室中接通等离子体以生成活化气体。惰性气体可以是N2或Ar。如果第一含硅层是SiO2层并且第二含硅层是SiN或p-Si层,则含氟蚀刻气体相对于SiO2层蚀刻SiN层,形成在SiO2层之间的凹槽。
循环蚀刻工艺可以包括保持第一含硅层的表面免于蚀刻的步骤。例如,第一含硅层是SiO2层。含氟气体可以与基板的表面反应,使得保持基板的表面不与其他反应气体反应,即保持基板的表面免于蚀刻。在一个实施例中,如图2c所示,循环蚀刻工艺可以包括:a)将含氟蚀刻气体引入反应腔室中;b)通过用含氟蚀刻气体相对于第一含硅层选择性地蚀刻第二含硅层来移除第二含硅层的至少一部分,以在HAR孔的侧壁上产生在第一含硅层之间的凹槽;c)用惰性气体吹扫反应腔室;d)将蚀刻气体的混合物引入反应腔室中;e)保持第一含硅层的表面免于蚀刻;f)用惰性气体吹扫反应腔室;以及g)重复a)至f)。如果第一含硅层是SiO2层并且第二含硅层是SiN或p-Si层,则含氟蚀刻气体相对于SiO2层蚀刻SiN层,形成在SiO2层之间的凹槽。在此,蚀刻气体的混合物可以是FNO、FNO和NO的混合物、或F2和NO的混合物。可以应用过量F2。蚀刻气体的混合物包括含氟气体,诸如FNO,该含氟气体与基板的表面反应,使得保持第一含硅层(即,SiO2层)的表面不与其他反应气体反应,即,保持第一含硅层(即,SiO2层)的表面免于蚀刻。混合物中FNO的浓度可以按体积计在0.1%与20%之间。惰性气体可以是N2或Ar。
以下是可以将所披露的含氟蚀刻气体施加在其上进行蚀刻的基板中半导体结构的示例性实施例。半导体结构包括但不限于接触孔洞和沟槽。
在一个实施例中,基板100可以包括其中形成的高纵横比孔,如图1a示出的。孔108形成于沉积在硅晶圆102的顶部上的n对SiO 104a和SiN104b(ONON)(即,诸如兆位单元阵列晶体管(TCAT)技术或管道成形的位元可变成本(P-BiCS)技术的ONON)的交替层的堆叠中。在此,n是整数。优选地,n=96。更优选地,n=128、或甚至更多。本领域普通技术人员将认识到,基板100的堆叠中的SiO/SiN交替层104的数目可以变化。硬掩模层106沉积在n对SiO/SiN交替层的堆叠104的顶部上。可以通过等离子体蚀刻SiO 104a和SiN 104b的交替层的堆叠来形成孔108。在此,本领域普通技术人员将认识到,硅晶圆102可以用钨(W)晶圆代替,并且在一些应用中,SiN层104b可以用p-Si层代替(例如,SiO/p-Si或OPOP),从而在硅晶圆102的顶部形成n对SiO/p-Si或OPOP交替层的堆叠,其中n是整数。本领域普通技术人员将认识到,堆叠中的层数可以变化(即,整数n变化)。
硬掩模层106可以含有C和H以及其他元素,诸如硼、氮、氧、硫、氯、氟、铝、钨、钛、锆等,以改进在SiO/SiN层蚀刻期间的抗蚀刻性。掺硼碳质材料的一个实例可以从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.of Santa Clara,CA)以商品名先进图案化膜(APF),更具体地是以称为APFc(其是硼掺杂的)的APF属材料种类购得。硬掩模层106可以是热CVD、PECVD或喷涂/旋涂沉积的a-C或掺杂a-C层,诸如掺杂有硼、氮、硫、氯、氟或金属(Al、Zr、Ti、W)的非晶碳。硬掩模层106可以是含硅旋涂掩模、含碳旋涂掩模、光致抗蚀剂等。
等离子体蚀刻结构可能具有在等离子体蚀刻过程中沉积在孔的侧壁上的聚合物层(未示出)。然而,聚合物钝化层可以通过本领域熟知的干式或湿式蚀刻化学过程(包括所披露的含氟蚀刻气体)容易地移除或清洁或抛光。
图1b是形成于图1a中所示的HAR孔中的示例性水平地各向同性蚀刻凹槽的截面侧视图。所披露的含氟蚀刻气体可以相对于SiO层204a中的Si-O键选择性地破坏SiN层204b中的Si-N键,从而在HAR孔或通孔208中的SiO/SiN层堆叠204上形成选择性侧壁SiN蚀刻。图1a和图1b之间的不同之处在于,在图1b中,替代性SiO/SiN侧壁上暴露的SiN的至少一部分被所披露的含氟蚀刻气体水平地各向同性蚀刻,形成凹槽210。典型地,凹槽210通过使用与磷酸的混合物的湿式蚀刻来进行。已知用非等离子体干式热蚀刻工艺代替湿式蚀刻工艺来大大改进半导体器件制造工艺的经济性,因为湿式蚀刻要求将基板移动至不同的湿式蚀刻设备。用所披露的方法,可以在一件蚀刻设备中进行包括选择性侧壁SiN蚀刻的所有蚀刻,这可以有效地减少半导体制造的成本。
本领域普通技术人员将认识到,图1a和图1b中的基板100和200中的层堆叠、层的孔和几何形状仅出于示例性目的提供。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例不旨在包括所有实例,并且不旨在限制本文所述发明的范围。
所披露的方法应用于平面(或空白)和图案化的晶圆。平面晶圆由沉积在Si基板上的平面SiN或SiO2层组成,其限定了初始或第一膜厚度。图案化晶圆由沉积在Si基板上的SiN/SiO2(ONON)交替层的堆叠组成。在SiN/SiO2(ONON)层的交替层的堆叠上沉积图案化掩模层。在应用所披露的方法之前,使用等离子体CCP(电容耦合等离子体)蚀刻器和氢氟碳化物蚀刻气体等预先形成的ONON图案化晶圆,以在其中形成初始孔或预先形成的孔。预先形成的孔对于SiN和SiO2层两者具有第一限定的侧壁宽度。利用所披露的方法,使用所披露的含氟蚀刻气体蚀刻SiN和SiO2平面晶圆以及具有预先形成的孔的SiN/SiO2堆叠的图案化晶圆。蚀刻组合物可以选自由FNO、F3NO、FNO2、F2、NO、及其组合组成的组。从顶层移除蚀刻的平面SiN和SiO2层以产生第二限定的膜厚度。第一厚度与第二厚度之间的差值r限定了蚀刻量。SiN与SiO2的蚀刻量之比限定了空白晶圆的选择性。对于图案化晶圆,围绕预先形成的孔的横向或水平蚀刻为每个SiN和SiO2层产生了第二限定的侧壁宽度。第一限定的侧壁宽度和第二限定的侧壁宽度之间的差值决定了横向或水平蚀刻量。SiN与SiO2的蚀刻量之比限定了图案化晶圆的SiN相对于SiO2选择性。
用如图4所示的自制工具进行蚀刻测试,这将在下面进行描述。平面晶圆购自研华股份有限公司(ADVANTEC Co.,LTD.)。ONON图案化晶圆购自SKW Associates公司。使两个平面晶圆样品同时在反应腔室中移位,其中一个样品是Si基板上的300nm或2000nm的SiN平面晶圆,另一个是Si基板上的200nm的SiO2平面晶圆。
图3a中示出了示例性ONON图案化晶圆。如图所示,图案化的a-C硬掩模层厚约680nm,其中方形孔洞图案均匀分布在a-C硬掩模层中。ONON层厚约1.6um并且具有60个的40nm SiN层和25nm的SiO2层的交替层。如图3b至图3d所示的具有预先形成的孔的示例性ONON图案化晶圆特征在于具有蚀刻的HAR孔结构。如图3b所示,预先形成的孔形成于ONON层中。预先形成的孔是HAR孔,这些HAR孔可以通过使用氢氟碳化物蚀刻气体利用等离子体干式蚀刻工艺蚀刻ONON层来获得。例如,氢氟碳化物蚀刻气体可以选自下组,该组由以下项组成:1,1,1,3,3,3-六氟丙烷(C3H2F6)、1,1,2,2,3,3-六氟丙烷(异-C3H2F6)、1,1,1,2,3,3,3-七氟丙烷(C3HF7)、以及1,1,1,2,2,3,3-七氟丙烷(异-C3HF7)。HAR孔还可以通过使用传统的蚀刻气体等离子体蚀刻ONON层来获得。传统的蚀刻气体包括但不限于cC4F8、C4F8、C4F6、C5F8、CF4、CH3F、CHF3、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、CFN、SO2及其组合。图3c和图3d示出了在ONON层中形成的孔(例如,孔(1)至(5))的宽度和深度。孔(1)至(5)各自具有几乎直的垂直结构,该垂直结构具有光滑的侧壁。在等离子体蚀刻之后,孔深度为大约630nm。在等离子体蚀刻之后,孔洞宽度为大约176nm。
将蚀刻测试在从大约1托至大约400托范围内的压力和从室温至大约1000℃范围内的温度下进行。本领域普通技术人员将认识到,可以应用低于室温的温度,诸如0℃至室温,或甚至低温温度,例如-196℃至室温。样本尺寸为2cm×2cm。反应腔室的气体入口处的气体流速(或所有气体的气体流速)为50至1000sccm。蚀刻组合物中的FNO浓度可以在按体积计从0.1%至20%的范围内。本领域普通技术人员将认识到,在蚀刻工艺过程中,温度、压力、流速、FNO浓度和蚀刻时间会变化。
另外,可以使用SEM来研究SiN和SiO2层上的水平地各向同性蚀刻结果。
将蚀刻测试在自制管式反应器中进行。图4是在蚀刻测试中使用的具有自制管式反应器示例性非等离子体热干式蚀刻系统。该系统包括管式反应器902,该管式反应器具有在各端部处的气体入口906和气体出口908。将样品904置于管式反应器902中。将各自分别穿过质量流量控制器(MFC)910、912和914的蚀刻气体,诸如FNO(但不限于此)和附加气体,诸如F2和N2(但不限于此)混合,然后送至气体入口906。连接到泵的气体出口908将蚀刻副产物、未反应的蚀刻气体和附加气体泵出管式反应器902。数字916表示与管式反应器902相集成以便调节其温度的用于加热的热线圈或冷却系统,诸如液氮冷却系统。在蚀刻测试过程中,将管式反应器902的温度和压力保持在优选值或优先范围。
实例1:在平面晶圆上用FNO蚀刻
表2是对在各种条件下用混合有N2的FNO蚀刻的SiN和SiO2平面晶圆的选择性的总结。温度在从250℃至400℃的范围内。压力在从100托至400托的范围内。蚀刻气体(FNO、N2)的总流速在从333至1000sccm的范围内。FNO的浓度在按体积计从1%至15%的范围内。没有添加F2。蚀刻时间在从10至60min的范围内。
表2.在各种条件下用FNO蚀刻的平面晶圆的SiN相对于SiO2的选择性
*300nm厚的SiN样品。
如图所示,SiN的蚀刻速率和SiN相对于对SiO2的选择性随着T、P和FNO浓度(按体积计%)的增加而增加。在用按体积计10%FNO时,在400℃、100或250托下,SiN相对于对SiO2的选择性超过150。在用按体积计15%FNO时,在250℃和250托下,SiN相对于SiO2的选择性也大于150。在用按体积计10%FNO时,对于250℃和400托也是如此。没有添加F2。
实例2:蚀刻表面分析
图5a和图5b是在用混合有N2的FNO分别蚀刻平面SiN和SiO2之后元素的原子百分比相对于溅射循环次数的XPS图。蚀刻条件如下:温度为250℃;压力为400托;FNO、Ar和O2的总流速为500sccm;FNO浓度为按体积计10%;蚀刻时间为30min。在上述条件下用混合有N2的FNO蚀刻之后,SiN相对于SiO2的选择性为177.9,如表2所示。如图5a和图5b所示,对于9次溅射循环,在SiO2的蚀刻表面上没有氮,但是在SiN的蚀刻表面上保留大约3%的氮。氧是SiN和SiO2的两个表面上的主要原子。在SiN和SiO2的两个表面上Si为大约35%。在SiN和SiO2的两个表面上氟在大约10%至大约20%之间。
实例3:循环蚀刻
表3是循环蚀刻结果的列表。通过刷新表面钝化来完成循环蚀刻测试以了解蚀刻性能。蚀刻条件如下:温度为250℃;压力分别为100托和400托;N2中FNO浓度为按体积计10%;并且蚀刻时间为30min,分别为10×3次循环和5×6次循环。如图所示,循环蚀刻增强了对SiO2的蚀刻,并且因此降低了SiN/SiO2的选择性。
表3.循环蚀刻结果
实例4:向FNO添加F2的影响
图6是平面晶圆的F2/FNO蚀刻速率相对于F2浓度的图。蚀刻条件如下:温度为250℃;压力为100托;N2中FNO浓度为按体积计10%;并且蚀刻时间分别为30min和防止蚀刻掉SiN的减少的时间。将外加F2,诸如按体积计0.8%、2.5%和5%F2与未添加F2进行比较。蚀刻结果也列于下表4中。
表4.在向FNO添加F2的情况下的蚀刻结果
如图所示,在上述条件下在向FNO添加F2的情况下蚀刻之后,SiN和SiO2两者的蚀刻速率增加。然而,随着F2浓度增加,SiN/SiO2的选择性急剧降低,这是由于SiO2蚀刻速率的显著增加。
实例5:向FNO添加NO的影响
表5是在向FNO添加NO的情况下蚀刻平面晶圆的蚀刻结果。蚀刻条件如下:温度为250℃;压力为100托;N2中FNO浓度为按体积计10%;并且蚀刻时间为30min。分别添加外加NO,即按体积计0%、5%NO和10%NO。
表5.在向FNO添加NO的情况下的蚀刻结果
如图所示,SiN的蚀刻速率随着NO的添加而增加,但在按体积计5%的外加NO下饱和。SiO2的蚀刻速率随着NO添加而降低,但在按体积计5%的外加NO下也几乎饱和。SiN/SiO2的选择性随着NO添加进一步增加。在30min蚀刻之后,SiO2的蚀刻量为约2.5nm。NO可以通过形成N2或N2O而有助于SiN蚀刻。
实例6:在图案化晶圆上用FNO蚀刻
图7是用FNO各向同性蚀刻之后的图案化晶圆的SEM图像。蚀刻条件如下:温度为250℃;压力为100托;N2中FNO浓度为按体积计10%;并且蚀刻时间为30min。在上述条件下用FNO蚀刻之后,获得4.95±0.55nm/min的横向SiN蚀刻速率和0.47±0.38nm/min的横向SiO2蚀刻速率。因此,横向选择性为10.5±8.6。参见表2,在相同条件下的平面选择性为63.7。
实例7:在增加压力下在图案化晶圆上用FNO蚀刻
图8a和图8b是在增加压力下用FNO各向同性蚀刻之后的图案化晶圆的SEM图像。蚀刻条件如下:温度为250℃;压力为400托;N2中FNO浓度为按体积计10%;并且蚀刻时间为30min。参见表3,在相同条件下的平面选择性为177.9。然而,在这些条件下,在图案化晶圆上的蚀刻导致ONON堆叠层塌陷并且蚀刻掉a-C掩模层。此外,下层也被蚀刻。
实例8:在各种减少的蚀刻时间下在图案化晶圆上用FNO蚀刻
图9a至图9c是在各种减少的蚀刻时间下用FNO进行各向同性蚀刻之后的图案化晶圆的SEM图像。蚀刻条件如下:温度为250℃;压力为400托;N2中FNO浓度为按体积计10%;并且蚀刻时间从3min至5min变化。当蚀刻时间为3min时(图9a),横向SiN蚀刻速率为大约22.4nm/min并且蚀刻量为大约67.3nm。当蚀刻时间为4min时(图9b),横向SiN蚀刻速率为大约72.1nm/min并且蚀刻量为大约288.3nm。当蚀刻时间为5min时(图9c),横向SiN蚀刻速率为大约62.4nm/min并且蚀刻量为大约312nm。如果切割平面变化,则蚀刻速率和蚀刻量可能变化。在4min的蚀刻时间下,通过ONON图案化晶圆获得大约72.1nm/min的横向SiN蚀刻速率,其中,在相同条件下,ONON图案化晶圆情况下的蚀刻速率甚至高于如表2中所示的平面晶圆情况下的蚀刻速率。
实例9:钝化处理
如图10所示,制备用于蚀刻实验和分析的FNO钝化管线。将在N2中按体积计5%的FNO连接到气瓶柜以进行设置。首先在气瓶柜中产生<10-1毫巴的真空管线。然后将在NO中按体积计5%的FNO输入到气瓶柜中,其中将气瓶柜的压力保持在0.01MPa下1小时。在下面步骤中,移除气瓶柜中的气体,并将在NO中按体积计5%的FNO再次输送到气瓶柜中,以在其中保持0.15MPa的压力1小时。然后移除气瓶柜中的气体,并将在NO中按体积计5%的FNO再次输送到气瓶柜中。在下面步骤中,将在NO中按体积计5%的FNO输送到气瓶柜中,并且然后交替地从气瓶柜中移除。每次在将在NO中按体积计5%的FNO输送在气瓶柜中的情况下使气瓶柜的压力增加并保持8小时。将四个压力,即0.40Mpa、1.00Mpa、2.00Mpa和3.16MPa分别保持8小时。在两个连续的压力之间移除气体,然后用新鲜的在NO中按体积计5%的FNO重新填充气瓶柜。当压力达到高压范围,诸如1.00Mpa、2.00Mpa和3.16Mpa时,通过气体调节器调节次级压力并保持在0.5MPa。在3.16MPa下8小时后,将气瓶柜抽真空并吹扫。使用金属取样和ICP-MS对气瓶柜中的所有元素进行测试和分析,并且所有元素均在检测器极限下。没有发现内部泄漏。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。本文所述的实施例仅具例示性而非限制性。组成和方法的许多变化和修改是可能的且在本发明的范围内。因此,保护范围不限于本文所描述的实施例,而仅受随后的权利要求书所限定,其范围应包括这些权利要求的主题的所有等效物。
Claims (12)
1.一种用于在反应腔室中各向同性蚀刻在基板上形成的高纵横比(HAR)孔的侧壁上的含硅层的至少一部分的方法,该HAR孔通过等离子体蚀刻SiO2层和SiN层的交替层的堆叠而形成,该方法包括以下步骤:
a)将含氟蚀刻气体引入该反应腔室中,该含氟蚀刻气体选自由亚硝酰氟(FNO)、三氟胺氧化物(F3NO)、硝酰氟(FNO2)及其组合组成的组;并且
b)通过用该含氟蚀刻气体相对于这些SiO2层选择性地蚀刻这些SiN层来移除这些SiN层的至少一部分,以在该HAR孔的该侧壁上产生在这些SiO2层之间的凹槽。
2.如权利要求1所述的方法,进一步包括将附加气体与该含氟蚀刻气体一起引入的步骤。
3.如权利要求2所述的方法,其中,该附加气体选自下组,该组由以下项组成:F2、NO、O2、COS、CO2、CO、NO2、N2O、SO2、O3、Cl2、HF、H2和HBr。
4.如权利要求3所述的方法,其中,该含氟蚀刻气体的浓度在按体积计从0.1%至20%的范围内。
5.如权利要求1所述的方法,其中,该含氟蚀刻气体是FNO。
6.如权利要求1所述的方法,进一步包括以下步骤:
c)用惰性气体吹扫该反应腔室,并且
d)重复a)至c)。
7.如权利要求1所述的方法,进一步包括以下步骤:
c)用惰性气体吹扫该反应腔室;
d)将活化气体引入该反应腔室中;
e)用该惰性气体吹扫该反应腔室;并且
f)重复a)至e)。
8.如权利要求7所述的方法,其中,该活化气体选自由Ar、Kr、Xe、Ne、He和H2组成的组。
9.如权利要求1所述的方法,进一步包括以下步骤:
c)用惰性气体吹扫该反应腔室;
d)将蚀刻气体的混合物引入该反应腔室中;
e)保持该SiO2层的表面免于蚀刻;
f)用该惰性气体吹扫该反应腔室;并且
g)重复a)至f)。
10.如权利要求9所述的方法,其中,权利要求9的步骤d)中所述的蚀刻气体的混合物是FNO、FNO和NO的混合物、或NO和过量F2的混合物。
11.如权利要求1至10中任一项所述的方法,其中,该蚀刻步骤在从大约-196℃至室温范围内的温度下进行,其中所述“大约”意指所述值的±10%。
12.如权利要求1至10中任一项所述的方法,其中,该蚀刻步骤在从大约室温至1000℃范围内的温度下进行,其中所述“大约”意指所述值的±10%。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/858,342 US10529581B2 (en) | 2017-12-29 | 2017-12-29 | SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications |
US15/858,342 | 2017-12-29 | ||
PCT/US2018/065655 WO2019133292A1 (en) | 2017-12-29 | 2018-12-14 | Sin selective etch to sio2 with non-plasma dry process for 3d nand device applications |
Publications (2)
Publication Number | Publication Date |
---|---|
CN111512420A CN111512420A (zh) | 2020-08-07 |
CN111512420B true CN111512420B (zh) | 2023-09-12 |
Family
ID=67058965
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880084246.8A Active CN111512420B (zh) | 2017-12-29 | 2018-12-14 | 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10529581B2 (zh) |
JP (1) | JP7026237B2 (zh) |
KR (1) | KR102459749B1 (zh) |
CN (1) | CN111512420B (zh) |
TW (1) | TWI744580B (zh) |
WO (1) | WO2019133292A1 (zh) |
Families Citing this family (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20200203127A1 (en) * | 2018-12-20 | 2020-06-25 | L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude | Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes |
US10790298B2 (en) * | 2019-01-11 | 2020-09-29 | Applied Materials, Inc. | Methods and apparatus for three-dimensional NAND structure fabrication |
US11384428B2 (en) * | 2019-07-19 | 2022-07-12 | Applied Materials, Inc. | Carbon layer covered mask in 3D applications |
KR102297887B1 (ko) * | 2019-09-23 | 2021-09-06 | 주식회사 포스코 | 황산계 철 전기도금용액의 제2철 이온 제거 방법 |
JP2023500552A (ja) * | 2019-11-08 | 2023-01-06 | アプライド マテリアルズ インコーポレイテッド | 3d nandゲートスタックの強化 |
JP7521230B2 (ja) | 2020-03-30 | 2024-07-24 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
WO2021210368A1 (ja) * | 2020-04-14 | 2021-10-21 | 昭和電工株式会社 | エッチング方法及び半導体素子の製造方法 |
IL302225A (en) | 2020-10-22 | 2023-06-01 | Resonac Corp | Etching method and method for manufacturing a semiconductor element |
KR102594634B1 (ko) * | 2020-12-09 | 2023-10-25 | 에스케이스페셜티 주식회사 | 실리콘 함유막의 에칭 방법 및 이를 포함한 반도체 디바이스의 제조방법 |
KR20220122260A (ko) * | 2021-02-26 | 2022-09-02 | 에스케이스페셜티 주식회사 | 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법 |
KR20220126045A (ko) * | 2021-03-08 | 2022-09-15 | 에스케이스페셜티 주식회사 | 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법 |
US20240153778A1 (en) * | 2021-03-09 | 2024-05-09 | Resonac Corporation | Etching gas and etching method |
US20220293430A1 (en) * | 2021-03-12 | 2022-09-15 | Applied Materials, Inc. | Isotropic silicon nitride removal |
US11380691B1 (en) * | 2021-04-14 | 2022-07-05 | Applied Materials, Inc. | CMOS over array of 3-D DRAM device |
KR20240009949A (ko) | 2021-05-20 | 2024-01-23 | 가부시끼가이샤 레조낙 | 에칭 방법 및 반도체 소자의 제조 방법 |
US20230253212A1 (en) * | 2022-02-08 | 2023-08-10 | American Air Liquide, Inc. | Method of running an etch process in higher selectivity to mask and polymer regime by using a cyclic etch process |
JPWO2023157442A1 (zh) * | 2022-02-16 | 2023-08-24 | ||
WO2023195421A1 (ja) * | 2022-04-05 | 2023-10-12 | 株式会社レゾナック | フッ素含有窒素化合物の保管方法 |
WO2023195422A1 (ja) * | 2022-04-05 | 2023-10-12 | 株式会社レゾナック | フッ素含有窒素化合物の保管方法 |
US20240055268A1 (en) * | 2022-08-10 | 2024-02-15 | Tokyo Electron Limited | Substrate processing with selective etching |
US20240128088A1 (en) * | 2022-10-17 | 2024-04-18 | Tokyo Electron Limited | Selective gas phase etch of silicon germanium alloys |
CN115557474B (zh) * | 2022-10-28 | 2023-06-16 | 福建德尔科技股份有限公司 | Fno2气体的制备方法 |
WO2024134702A1 (ja) | 2022-12-19 | 2024-06-27 | 株式会社日立ハイテク | エッチング方法 |
WO2024194953A1 (ja) * | 2023-03-17 | 2024-09-26 | 株式会社Kokusai Electric | エッチング方法、半導体装置の製造方法、処理装置、およびプログラム |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5505816A (en) * | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
WO2015115002A1 (ja) * | 2014-01-29 | 2015-08-06 | 株式会社日立国際電気 | 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体 |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3882040A (en) | 1973-06-21 | 1975-05-06 | Us Energy | Silicon removal from incinerator ash by reaction with NOF.3HF |
US4536252A (en) | 1985-02-07 | 1985-08-20 | The United States Of America As Represented By The Secretary Of The Army | Laser-induced production of nitrosyl fluoride for etching of semiconductor surfaces |
US5445712A (en) * | 1992-03-25 | 1995-08-29 | Sony Corporation | Dry etching method |
JP3109253B2 (ja) | 1992-06-29 | 2000-11-13 | ソニー株式会社 | ドライエッチング方法 |
WO1999067817A1 (en) | 1998-06-22 | 1999-12-29 | Applied Materials, Inc. | Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion |
US6318384B1 (en) | 1999-09-24 | 2001-11-20 | Applied Materials, Inc. | Self cleaning method of forming deep trenches in silicon substrates |
EP1320875B1 (en) | 2000-09-25 | 2015-08-12 | Tokyo Electron Limited | Gas compositions for cleaning the interiors of reactors as well as for etching films of silicon-containing compounds |
JP4264479B2 (ja) | 2003-03-14 | 2009-05-20 | キヤノンアネルバ株式会社 | Cvd装置のクリーニング方法 |
US20050082002A1 (en) * | 2003-08-29 | 2005-04-21 | Yuusuke Sato | Method of cleaning a film-forming apparatus and film-forming apparatus |
JP4739709B2 (ja) | 2003-08-29 | 2011-08-03 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 成膜装置のクリーニング方法 |
US20060183055A1 (en) * | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
US20080236483A1 (en) | 2007-03-27 | 2008-10-02 | Jun Sonobe | Method for low temperature thermal cleaning |
US20080236482A1 (en) | 2007-03-27 | 2008-10-02 | Jun Sonobe | Method for low temperature thermal cleaning |
US8308871B2 (en) | 2008-11-26 | 2012-11-13 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Thermal cleaning gas production and supply system |
JP5470149B2 (ja) | 2010-04-23 | 2014-04-16 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法およびクリーニング方法 |
JP6001940B2 (ja) * | 2012-07-11 | 2016-10-05 | 東京エレクトロン株式会社 | パターン形成方法及び基板処理システム |
US8969212B2 (en) * | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
JP6139986B2 (ja) * | 2013-05-31 | 2017-05-31 | 東京エレクトロン株式会社 | エッチング方法 |
US9659788B2 (en) * | 2015-08-31 | 2017-05-23 | American Air Liquide, Inc. | Nitrogen-containing compounds for etching semiconductor structures |
US10607850B2 (en) | 2016-12-30 | 2020-03-31 | American Air Liquide, Inc. | Iodine-containing compounds for etching semiconductor structures |
US11075084B2 (en) * | 2017-08-31 | 2021-07-27 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Chemistries for etching multi-stacked layers |
-
2017
- 2017-12-29 US US15/858,342 patent/US10529581B2/en active Active
-
2018
- 2018-12-11 TW TW107144566A patent/TWI744580B/zh active
- 2018-12-14 JP JP2020536025A patent/JP7026237B2/ja active Active
- 2018-12-14 WO PCT/US2018/065655 patent/WO2019133292A1/en active Application Filing
- 2018-12-14 CN CN201880084246.8A patent/CN111512420B/zh active Active
- 2018-12-14 KR KR1020207018874A patent/KR102459749B1/ko active IP Right Grant
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5505816A (en) * | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
WO2015115002A1 (ja) * | 2014-01-29 | 2015-08-06 | 株式会社日立国際電気 | 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体 |
Also Published As
Publication number | Publication date |
---|---|
KR102459749B1 (ko) | 2022-10-26 |
CN111512420A (zh) | 2020-08-07 |
US10529581B2 (en) | 2020-01-07 |
US20190206696A1 (en) | 2019-07-04 |
TWI744580B (zh) | 2021-11-01 |
JP7026237B2 (ja) | 2022-02-25 |
WO2019133292A1 (en) | 2019-07-04 |
KR20200090244A (ko) | 2020-07-28 |
JP2021509538A (ja) | 2021-03-25 |
TW201931468A (zh) | 2019-08-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN111512420B (zh) | 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻 | |
JP6997237B2 (ja) | 3d nandフラッシュメモリを製造する方法 | |
US10256109B2 (en) | Nitrogen-containing compounds for etching semiconductor structures | |
CN111052318B (zh) | 用于蚀刻多个堆叠层的化学过程 | |
CN110178206B (zh) | 用于蚀刻半导体结构的含碘化合物 | |
US11798811B2 (en) | Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures | |
TWI846218B (zh) | 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物 | |
TW202321513A (zh) | 使用含矽氫氟烴之蝕刻方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |