JP7026237B2 - 3D NANDデバイスアプリケーションのための非プラズマ乾式処理によるSiO2に対するSiN選択的エッチング - Google Patents

3D NANDデバイスアプリケーションのための非プラズマ乾式処理によるSiO2に対するSiN選択的エッチング Download PDF

Info

Publication number
JP7026237B2
JP7026237B2 JP2020536025A JP2020536025A JP7026237B2 JP 7026237 B2 JP7026237 B2 JP 7026237B2 JP 2020536025 A JP2020536025 A JP 2020536025A JP 2020536025 A JP2020536025 A JP 2020536025A JP 7026237 B2 JP7026237 B2 JP 7026237B2
Authority
JP
Japan
Prior art keywords
etching
gas
layer
sio
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020536025A
Other languages
English (en)
Other versions
JP2021509538A (ja
Inventor
チ-ユ・シュー
ペン・シェン
喬 寺本
ネーサン・スタフォード
二郎 横田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2021509538A publication Critical patent/JP2021509538A/ja
Application granted granted Critical
Publication of JP7026237B2 publication Critical patent/JP7026237B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Description

関連出願の相互参照
本出願は、あらゆる目的のためにその全体が参照により本明細書に援用される、2017年12月29日出願の米国特許出願第15/858,342号明細書の利益を主張する。
3D NANDデバイスアプリケーションのための非プラズマドライエッチング処理でSiOに対してSiNを選択的にエッチングする方法が開示される。開示される方法は、フッ化ニトロシル(FNO)、トリフルオロアミン酸化物(FNO)、フッ化ニトリル(FNO)及びそれらの組み合わせからなる群から選択されるフッ素含有エッチングガスを使用して、非プラズマドライ熱エッチング処理により、フッ素含有エッチングガスのプラズマ活性化の有無にかかわらず、SiOに対してSiN又はポリ-Si(p-Si)材料を選択的に等方性エッチングする処理を提供する。
SiNは、パターン化技術及びフラッシュメモリにおいてなど、半導体プロセス及びデバイスにおいて使用される一般的な材料である。SiNを使用するという課題は、エッチング選択率及びエッチング速度である。半導体プロセスでは、SiNは、通常、SiN(例えば、SiO)を取り囲む他のシリコン材料からエッチングされる必要がある。3D NANDデバイスアプリケーションでは、SiN層とSiO層(ONON)とを交互配置した積層構造体内で高アスペクト比(HAR)開口を生成した後、SiN層は、HAR開口の内部で除去される必要がある。したがって、HAR開口内の上端部と下端部との両方において、SiOに対する高選択率及びSiNの同じエッチング速度を有するSiNの水平方向等方性エッチングが3D NANDデバイスアプリケーションにおいて必要とされる。
POによるウェットエッチング処理は、現在、産業界においてSiNを除去するために使用され得る。しかし、HARトレンチがより深くなると、表面張力効果のために液状化学品がHARトレンチの下端部に到達する問題が存在し得る。したがって、ドライエッチングは、開発するべき解決策となる。ドライエッチングは、処理時間を低減し得、したがってより少ない不純物導入を有する。
典型的なドライエッチング処理は、異方性エッチを生じるプラズマを利用する。SiNの水平方向エッチングは、等方性エッチングを必要とするため、プラズマが適さないことがあり得る等方性エッチング処理の必要性がある。
様々なドライエッチング方法が、SiOからSiNを等方性エッチングするために開発されてきた。加えて、フッ化ニトロシル(FNO)は、SiN又はシリコン含有材料をエッチングするために使用されてきた。例えば、Tamaokiらへの日本特許第4739709号公報は、F+NO化学反応によるSiN、SiC及び石英に対する非プラズマ洗浄又はエッチング能力を開示しており、SiN/石英の選択率が16である例を示した。Yanagidaへの米国特許第5,376,234号明細書及び米国特許第5,445,712号明細書は、FNO化学反応によるSiN及びSiOの熱エッチングであるが、他材料の選択率方策がない熱エッチングを開示している。Kamedaらへの米国特許第9,683,288号明細書及び米国特許第8,679,259号明細書は、FNOがNOガスと共にガス含有フッ素原子を使用することにより生成され、処理容器中に供給される乾式洗浄処理を開示している。Kastenmeierら(J.Vac.Sci.Technol.A,19(2001)25)は、F及びNO含有プラズマ内のSiNエッチングであるが、他材料の選択率方策がないSiNエッチングを開示している。Yonemuraら(J.Electrochem.Soc.,150(2003)G707)は、FNO及びFNO含有ガス内のSiOエッチングであるが、他材料の選択率方策がないSiOエッチングの評価について報告している。Kigoshiら(Journal of the Mining and Metallurgical Institute of Japan,89(1973)p.799)及びTajimaら(J.Phys.Chem.C,117(2013)p.20810)は、F、NO及びFNOガスを使用するSi材料に対する非プラズマエッチングについて報告している。
Si、SiN又は他のシリコン含有膜をエッチングする他の例示的例は、米国特許第3,882,040号明細書、米国特許第4,536,252号明細書、米国特許第6,380,095号明細書、米国特許出願公開第2001/0020516号明細書、米国特許出願公開第2008/0236482号明細書、米国特許出願公開第2008/0236483号明細書、米国特許出願公開第2010/0132744号明細書及び米国特許出願公開第2003/0143846号明細書を含む。
したがって、良好な選択率特性を有する特定エッチング処理における使用に好適な特定エッチングガスの差別化を証明するために等方性エッチング方法を開発する必要性がある。
開示されるのは、反応室内の基板上に形成された高アスペクト比(HAR)開口の側壁上のシリコン含有層の少なくとも一部分を等方性エッチングする方法であり、HAR開口は、第1のシリコン含有層と第2のシリコン含有層との交互層の積層をプラズマエッチングすることによって形成され、第2のシリコン含有層は、第1のシリコン含有層と異なり、本方法は、a)フッ化ニトロシル(FNO)、トリフルオロアミン酸化物(FNO)、フッ化ニトリル(FNO)及びそれらの組み合わせからなる群から選択されるフッ素含有エッチングガスを反応室内に導入する工程と;b)HAR開口の側壁上の第1のシリコン含有層間の凹部を生成するために、フッ素含有エッチングガスで第1のシリコン含有層に対して第2のシリコン含有層を選択的にエッチングすることにより、第2のシリコン含有層の少なくとも一部分を除去する工程とを含む。
また、開示されるのは、反応室内の基板上に形成された高アスペクト比(HAR)開口の側壁上のシリコン含有層の少なくとも一部分を等方性エッチングする方法であり、HAR開口は、第1のシリコン含有層と第2のシリコン含有層との交互層の積層をプラズマエッチングすることによって形成され、第2のシリコン含有層は、第1のシリコン含有層と異なり、本方法は、a)FNOガスを反応室内に導入する工程と;b)HAR開口の側壁上の第1のシリコン含有層間の凹部を生成するために、FNOガスで第1のシリコン含有層に対して第2のシリコン含有層を選択的にエッチングすることにより、第2のシリコン含有層の少なくとも一部分を除去する工程とを含む。
開示される方法のいずれかは、以下の態様の1つ又は複数を含み得る:
・フッ素含有エッチングガスは、フッ化ニトロシル(FNO)である;
・フッ素含有エッチングガスは、トリフルオロアミン酸化物(FNO)である;
・フッ素含有エッチングガスは、フッ化ニトリル(FNO)である;
・フッ素含有エッチングガスは、1つの窒素を含む;
・フッ素含有エッチングガスは、酸素を含む;
・追化のガスを反応室内に導入する;
・追化のガスは、F、NO、O、COS、CO、CO、NO、NO、SO、O、Cl、HF、H及びHBrからなる群から選択される;
・追化のガスは、NOである;
・追化のガスは、Fである;
・追化のガスは、過剰Fである;
・追化のガスは、反応室内に導入されるフッ素含有エッチングガスと追化のガスとの全容積の約0.01容積%~約99.9容積%を含む;
・混合物を生成するために、反応室への導入前にフッ素含有エッチングガスと追加のガスとを混合する;
・混合物を生成するために、反応室への導入前にFNOガスと追加のガスとを混合する;
・混合物を生成するために、反応室への導入前にFNOガスとNOガスとを混合する;
・混合物を生成するために、反応室への導入前にFガスとNOガスとを混合する;
・追加のガスとは別にフッ素含有エッチングガスを反応室内に導入する;
・NO及び不活性ガスを反応室内に導入する;
・不活性ガス及び過剰Fを反応室内に導入する;
・フッ素含有エッチングガスと共に不活性ガスを導入する;
・反応室への導入前にフッ素含有エッチングガスと不活性ガスとを混合する;
・不活性ガスとは別にフッ素含有エッチングガスを反応室内に導入する;
・FNOガスと共に不活性ガスを導入する;
・不活性ガスは、Nである;
・不活性ガスは、Arである;
・フッ素含有エッチングガスの濃度は、0.1容積%~20容積%の範囲である;
・FNOガスの濃度は、0.1容積%~20容積%の範囲である;
・HAR開口は、1,1,1,3,3,3-ヘキサフルオロプロパン(C)、1,1,2,2,3,3-ヘキサフルオロプロパン(イソ-C)、1,1,1,2,3,3,3-ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3-ヘプタフルオロプロパン(イソ-CHF)からなる群から選択されるプラズマエッチングガスによってプラズマエッチングされる;
・HAR開口は、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、CFN、SO及びそれらの組み合せからなる群から選択されるプラズマエッチングガスによってプラズマエッチングされる;
・c)不活性ガスで反応室を浄化し、且つd)a)~c)を反復する;
・c)不活性ガスで反応室を浄化し;d)活性化ガスを反応室内に導入し;e)不活性ガスで反応室を浄化し;且つf)a)~eを反復する;
・活性化ガスは、Ar、Kr、Xe、Ne、He及びHからなる群から選択される;
・c)不活性ガスで反応室を浄化し;d)エッチングガスの混合物を反応室内に導入し;e)第1のシリコン含有層の表面をエッチングから保護し;f)不活性ガスで反応室を浄化し;且つg)a)~f)を反復する;
・エッチングガスの混合物は、FNO、FNO及びNOの混合物又はF及びNOの混合物である;
・エッチングガスの混合物は、FNOである;
・エッチングガスの混合物は、FNOとNOとの混合物である;
・エッチングガスの混合物は、FとNOとの混合物である;
・第2のシリコン含有層は、SiNである;
・第2のシリコン含有層は、p-シリコンである;
・SiN対SiOのエッチング速度の比は、10~3000の範囲である;
・SiN対SiOのエッチング速度の比は、20~2000の範囲である;
・SiN対SiOのエッチング速度の比は、30~1000の範囲である;
・熱酸化形成されたSiO層の選択率は、3000に達する;
・SiN対プラズマ助長CVD SiOの選択率は、30~1000の範囲である;
・約0.1sccm~約1slmの範囲の流量でフッ素含有エッチングガスを反応室内に導入する;
・反応室は、約1Torr~約400Torrの範囲の圧力を有する;
・反応室は、約1Torr~約250Torrの範囲の圧力を有する;
・反応室は、約1Torr~約100Torrの範囲の圧力を有する;
・エッチング工程は、約-196℃~約1000℃の範囲の温度下で行われる;
・エッチング工程は、約-196℃~室温の範囲の温度下で行われる;
・エッチング工程は、約室温~1000℃の範囲の温度下で行われる;
・エッチング工程は、約室温~400℃の範囲の温度下で行われる;
・エッチング工程は、約室温~250℃の範囲の温度下で行われる;
・フッ素含有エッチングガス及びエッチング面を四重極質量分光計、発光分光計、FTIR又は他の終了点検出測定ツールにより測定する。
また、開示されるのは、窒素及び酸素を有するフッ素含有エッチングガスである。開示されるフッ素含有エッチングガスは、以下の態様の1つ又は複数を含む:
・フッ素含有エッチングガスは、FNOである;
・フッ素含有エッチングガスは、FNOである;
・フッ素含有エッチングガスは、FNOである;
・フッ素含有エッチングガスは、窒素を含む;
・フッ素含有エッチングガスは、酸素を含む;
・約95容積%~約99.999容積%の範囲の純度を有する;
・微量ガス不純物を約10容積PPT~約5容積%含む;
・微量ガス不純物は、水を含む;
・微量ガス不純物は、COを含む;
・微量ガス不純物は、Nを含む;
・フッ素含有エッチングガスは、20ppmw未満の含水量を有する。
表記法及び命名法
以下の詳細な説明及び特許請求の範囲は、当技術分野で一般的によく知られており、且つ以下のものを含む多くの略称、シンボル及び用語を利用する。
本明細書で使用されるように、「1つの(a)」又は「1つの(an)」は、1つ又は複数を意味する。
本明細書で使用されるように、本文又は請求項内の「約」、又は「当たりの」、又は「ほぼ」は、記述される値の±10%を意味する。
本明細書で使用されるように、本文又は請求項内の「室温」は、約20℃~約25℃を意味する。
用語「基板」は、その上で処理が行われる材料又は材料群を指す。基板は、その上で処理が行われる材料又は材料群を有するウェハを指し得る。基板は、半導体、太陽電池、平面パネル又はLCD-TFTデバイス製造において使用される任意の好適なウェハであり得る。基板は、前の製造工程からその上に既に蒸着された様々な材料の1つ又は複数の層も有し得る。例えば、ウェハは、シリコン層(例えば、結晶、非結晶質、多孔質など)、シリコン含有層(例えば、SiO、SiN、SiON、SiCOHなど)、金属含有層(例えば、銅、コバルト、ルテニウム、タングステン、白金、パラジウム、ニッケル、金など)又はそれらの組み合わせを含み得る。さらに、基板は、平坦であるか又はパターン化され得る。基板は、有機パターン化フォトレジスト膜であり得る。基板は、MEMS、3D NAND、MIM、DRAM又はFeRamデバイスアプリケーションにおける誘電体材料(例えば、ZrOベース材料、HfOベース材料、TiOベース材料、希土類酸化物ベース材料、3価酸化物ベース材料など)又は電極として使用される窒化物ベース膜(例えば、TaN、TiN、NbN)として使用される酸化物の層を含み得る。当業者は、本明細書において使用される用語「膜」又は「層」が、表面に置かれた又は表面全体にわたって広がるいくつかの材料の厚さを指すことと、表面がトレンチであるか又は線であり得ることとを認識するであろう。本明細書及び特許請求の範囲を通して、ウェハ及びその上の任意の関連層も基板と呼ばれる。
用語「ウェハ」又は「パターン化ウェハ」は、基板上のシリコン含有膜の積層と、パターンエッチのために形成されたシリコン含有膜の積層上のパターン化ハードマスク層とを有するウェハを指す。
用語「パターンエッチ」又は「パターン化エッチ」は、パターン化ハードマスク層の下のシリコン含有膜の積層などの非平面構造をエッチングすることを指す。
本明細書で使用されるように、用語「エッチ」又は「エッチング」は、等方性エッチング処理及び/又は異方性エッチング処理を指す。等方性エッチ処理は、エッチング化合物と、基板上の材料の一部分が除去された結果の基板との化学反応に関与する。このタイプのエッチング処理は、化学的ドライエッチング、気相化学的エッチング、熱ドライエッチングなどを含む。等方性エッチ処理は、基板内の横方向、すなわち水平方向エッチプロファイルを生成する。等方性エッチ処理は、基板内の予め形成された開口の側壁上の凹部、すなわち水平方向凹部を生成する。異方性エッチ処理は、垂直方向側壁が基板に対して直角にマスク化特徴の縁に沿って形成されるようにイオン衝撃が垂直方向の化学反応を加速するプラズマエッチング処理(すなわちドライエッチング処理)に関与する(Manos and Flamm,Thermal etching an Introduction,Academic Press,Inc.1989 pp.12-13)。プラズマエッチング処理は、基板内の垂直方向エッチプロファイルを生成する。プラズマエッチング処理は、基板内の垂直方向開口、トレンチ、チャネル穴、ゲートトレンチ、階段コンタクト、キャパシタ穴、コンタクト穴などを生成する。
用語「マスク」は、エッチングに耐える層を指す。ハードマスク層は、エッチングされる層の上に位置し得る。
用語「アスペクト比」は、トレンチ(又は開口)の幅(又は開口の径)に対するトレンチの高さの比を指す。
用語「選択率」は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比を意味する。用語「選択エッチ」又は「選択的にエッチングする」は、1つの材料を別の材料より多くエッチングすること、換言すれば2つの材料間の1:1エッチング選択率より大きい又は小さいエッチング選択率を有することを意味する。
本明細書では、用語「膜」及び「層」は、交換可能に使用され得ることに留意されたい。膜が層に対応するか又は膜に関係し得ることと、層が膜を指し得ることとが理解される。さらに、当業者は、本明細書において使用される用語「膜」又は「層」が、表面上に置かれる又はその全体にわたって広がるある材料の厚さを指すことと、表面が、ウェハ全体と同じ大きさからトレンチ又はラインと同じ小ささまでの範囲のものであり得ることとを認識するであろう。
本明細書では、用語「エッチング化合物」及び「エッチングガス」は、エッチング化合物が室温及び大気圧下でガス状態である場合に交換可能に使用され得ることに留意されたい。エッチング化合物は、エッチングガスに対応するか又はそれに関係し得ることと、エッチングガスは、エッチング化合物を指し得ることとが理解される。
用語「ビア」、「開口」及び「穴」は、ときに交換可能に使用され、中間層絶縁体内の開口を一般的に意味する。
本明細書で使用されるように、略称「NAND」は、「否定されたAND」又は「否定AND」ゲートを指し;略称「2D」は、平面基板上の2次元ゲート構造を指し;略称「3D」は、ゲート構造が垂直方向に積層された3次元又は垂直方向ゲート構造を指す。
元素の周期表からの元素の標準略称が本明細書において使用される。元素は、これらの略称により参照され得る(例えば、Siは、シリコンを指し、Nは、窒素を指し、Oは、酸素を指し、Cは、炭素を指し、Hは、水素を指し、Fは、フッ素を指す等)ことを理解すべきである。
化学情報検索サービス機関(Chemical Abstract Service)により割り当てられた一意的CAS登録番号(すなわち「CAS」)が、開示される特定の分子を識別するために提供される。
SiN及びSiOなどのシリコン含有膜は、それらの適切な化学量論を参照することなく、本明細書及び特許請求の範囲を通して列挙されることに留意されたい。シリコン含有膜は、純粋シリコン(Si)、ポリシリコン(p-Si若しくは多結晶Si)若しくはアモルファスシリコンなどの結晶Si層;窒化シリコン(Si)層;若しくは酸化シリコン(Si)層;又はそれらの混合物を含み得る。ここで、k、I、m及びnは、両端を含めて0.1~6の範囲である。好適には、窒化シリコンは、Siであり、k、Iは、それぞれ0.5~1.5の範囲である。より好適には、窒化シリコンは、Siである。本明細書では、以下の説明におけるSiNは、Si含有層を表すために使用され得る。好適には、酸化シリコンは、Siであり、ここで、nは、0.5~1.5の範囲であり、mは、1.5~3.5の範囲である。より好適には、酸化シリコンは、SiOである。本明細書では、以下の説明におけるSiOは、Si含有層を表すために使用され得る。シリコン含有膜は、SiOCHの化学式を有するApplied Materials,Inc.によるBlack Diamond II又はIII材料などの有機ベース又は酸化シリコンベース低k誘電体材料などの酸化シリコンベース誘電材料でもあり得る。シリコン含有膜は、Siも含み得、ここで、a、b、cは、0.1~6の範囲である。シリコン含有膜は、B、C、P、As及び/又はGeなどのドーパントも含み得る。
本発明の性質及び目的をさらに理解するために、同様の要素が同じ又は類似した参照番号を与えられる(添付図面と併せて)以下の詳細な説明が参照されるべきである。
3D NAND積層内の例示的HAR開口の例示的側断面図である。 図1aに示すHAR開口内に形成された例示的な等方性エッチングされた凹部の例示的側断面図である。 本明細書に開示される循環エッチング処理の概略図である。 本明細書に開示される代替の循環エッチング処理の概略図である。 本明細書に開示される別の代替循環エッチング処理の概略図である。 異方性プラズマエッチングのパターン化ウェハのSEM像である。 等方性エッチングのためにプラズマエッチングされた開口を異方性プラズマエッチング形成した後のパターン化ウェハのSEM像である。 マーキングされた深さを有する図3bに示されるプラズマエッチングされた開口のSEM像である。 マーキングされた幅を有する図3bに示されるプラズマエッチングされた開口のSEM像である。 等方性エッチング試験において適用される例示的管型反応器システムの側断面図である。 FNOによるエッチング後の平坦SiN膜の元素の原子百分率対スパッタサイクルの数のXPSグラフである。 FNOによるエッチング後の平坦SiO膜の元素の原子百分率対スパッタサイクルの数のXPSグラフである。 平坦ウェハのエッチング速度対F濃度F/FNOのグラフである。 FNOによる等方性エッチング後のパターン化ウェハのSEM像である。 圧力の増加を伴うFNOにより等方性エッチングされた後のパターン化ウェハのSEM像である。 図8aに示されるマーキングされたエリアの拡大SEM像である。 3分のエッチング時間によりFNOにより等方性エッチングされた後のパターン化ウェハのSEM像である。 4分のエッチング時間によりFNOにより等方性エッチングされた後のパターン化ウェハのSEM像である。 5分のエッチング時間によりFNOにより等方性エッチングされた後のパターン化ウェハのSEM像である。 例示的パッシベーション処理である。
開示されるのは、3D NANDデバイスアプリケーションにおいてシリコン含有膜を非プラズマ熱ドライエッチングする方法である。開示されるのは、ゲートトレンチ、ビットメモリなどを形成するための半導体構造を製作する方法である。さらに、開示されるのは、一方のシリコン含有層を他方のシリコン含有層から選択的に等方性エッチングする方法であり、例えば3D NANDデバイスアプリケーションにおいて10~3000の範囲の選択率でSiNをSiOからエッチングする方法である。また、開示されるのは、SiO層からp-Si層を選択的に等方性エッチングする方法である。
具体的には、開示されるのは、基板の上に蒸着されたシリコン含有層の蝕刻構造(etched structure)をエッチングする方法である。蝕刻構造は、基板上において、第1のシリコン含有層と第2のシリコン含有層との交互層の積層をプラズマエッチングすることによって形成される高アスペクト比(HAR)開口を含み、第2のシリコン含有層は、第1のシリコン含有層と異なる。開示されるのは、HAR開口の側壁上のシリコン含有層の少なくとも一部分を選択的に等方性エッチングする方法である。開示されるのは、HAR開口の側壁上のシリコン含有層の少なくとも一部分を水平方向に選択的に等方性エッチングする方法である。
開示される方法は、フッ化ニトロシル(FNO)、トリフルオロアミン酸化物(FNO)、フッ化ニトリル(FNO)及びそれらの組み合わせからなる群から選択されるフッ素含有エッチングガスにより、フッ素含有エッチングガスのプラズマ活性化の有無にかかわらず、SiOに対してSiN又はp-Si材料を選択的に等方性エッチングする処理を提供する。ここで、フッ素含有エッチングガスは、プラズマ活性化され得る。当業者は、プラズマ活性化による開示のフッ素含有エッチングガスがSiOに対してSiN又はp-Si材料を選択的に等方性エッチングすることを認識するであろう。
開示されるフッ素含有エッチングガスは、フッ素、窒素及び酸素含有エッチングガス又は化合物を含み得る。開示されるフッ素含有エッチングガスは、FNOであり得る。開示されるフッ素含有エッチングガスは、FNOであり得る。開示されるフッ素含有エッチングガスは、FNOであり得る。
開示されるフッ素含有エッチングガスは、専らF、N及びOを有する。開示されるフッ素含有エッチングガスは、窒素含有フッ素化合物であり、窒素を含む。
開示されるフッ素含有エッチングガスは、市販されており、それらの構造化学式、CAS番号及び沸点は、表1に含まれる。当業者は、これらの化合物の合成方法が、提供されたCAS番号を使用して取得され得ることを認識するであろう。
Figure 0007026237000001
本明細書では、開示されるフッ素含有エッチングガスは、SiN層及びポリシリコン層を含むシリコン含有膜をエッチングし得る。
開示されるフッ素含有エッチングガスは、SiO層、ハードマスク層、エッチング停止層及びデバイスチャネル材料に対してSiN層又はp-Si層をエッチングする高選択率を提供し得る。SiO層に対してSiN層又はp-Si層をエッチングする選択率は、3D NANDデバイスアプリケーションでは10~3000、好適には20~2000、より好適には30~1000の範囲であり得る。選択率範囲は、エッチングガスの温度、圧力、濃度などのプロセスパラメータ及びSiO膜形成プロセスなどに依存する。
開示されるフッ素含有エッチングガスは、95容積%純度より高い純度、好適には99.99容積%純度より高い純度、より好適には99.999容積%純度より高い純度で提供される。開示されるフッ素含有エッチングガスは、5容積%未満の微量ガス不純物と共に150容積ppmの純度未満のガス(例えば、前記微量ガス不純物に含まれるN、及び/又はHO、及び/又はCO)を含む。例えば、FNOは、0.1容積%未満の微量ガス不純物(F、HF及びHOを含む)を有する。好適には、開示されるフッ素含有エッチングガス中の含水量は、20重量ppmw未満である。
開示されるフッ素含有エッチングガスは、SiN膜及びp-Si膜を非プラズマ熱ドライエッチングするのに好適である。好適には、開示されるフッ素含有エッチングガスは、反応室内への供給のためにエッチング処理中に安定している。
開示されるフッ素含有エッチングガスは、高アスペクト比構造(マイクロエレクトロメカニカルシステム(MEMS)、DRAM及び3D NANDデバイスアプリケーションにおいて1:10~1000:1の範囲のアスペクト比を有するものなど)においてSiOに対してSiN又はp-Siをエッチングするための高選択率を提供し得る。
材料適合性試験は、開示されるフッ素含有エッチングガスのいかなるものが反応室材料と反応するかどうか、及び短期又は長期使用と共に反応室の性能を劣化するかどうかを判断するために重要である。反応室、弁などの部品に関与する主要材料は、ステンレス鋼、アルミニウム、ニッケル、ニッケル合金、ポリクロロトリフルオロエテン(PCTFE)、フッ化ビニリデン樹脂(PVDF)、ポリテトラフルオロエチレン(PTFE)並びに他の金属及びポリマー又はエラストマを含む。ときに、これらの材料は、それらの劣化を増長し得る、例えば室温より高い高温及び例えば1atmより高い高圧に曝される。計測方法は、目視検査、重量測定、SEMでのナノメートルスケール変化の測定、引張強さ、硬さなどの測定を含み得る。
パッシベーション処理は、金属不純物を排除するために、開示されるフッ素含有エッチングガスによりガスライン又はガスキャビネット内で非プラズマ熱ドライエッチ処理を行う前に行われ得る。以下に続く例に示すように、パッシベーションは、図10に示す例において示唆されるレシピを使用して行われ得る。
開示されるフッ素含有エッチングガスは、SiN/SiO(ONON)又はp-si/SiO(OPOP)の交互層を有する3D NAND蝕刻構造で積層されたSiN又はp-Si膜を非プラズマ熱ドライエッチングするために使用され得る。開示される非プラズマ熱ドライエッチング方法は、犠牲層などのMEMSのエッチング時に使用され得る。開示される非プラズマ熱ドライエッチング方法は、NAND又は3D NANDゲート、又はフラッシュ又はDRAMメモリ、又はフィン状電界効果トランジスタ(FinFET)などのトランジスタ、バルク相補型金属酸化膜半導体(Bulk CMOS)、完全空乏化シリコンオンインシュレータ(FD-SOI)構造などの半導体デバイスの製造に有用であり得る。開示されるフッ素含有エッチングガスは、ラインのフロントエンド(FEOL)及びラインのバックエンド(BEOL)エッチアプリケーション並びに低kアプリケーションなどのアプリケーションの他の領域において使用され得る。加えて、開示されるフッ素含有エッチングガスは、メモリと基板上の論理とを相互接続するための3D貫通シリコン開口(TSV)プラズマエッチングアプリケーションにおいてSiをエッチングするためにも使用され得る。
開示される非プラズマ熱ドライエッチング方法は、その中に配置された少なくとも1つの蝕刻構造を含む少なくとも1つの基板を有する反応室を提供する工程を含む。反応室は、使用の要件に依存して自家製反応器又は商用反応器であり得る。基板は、シリコン含有層、チタン含有層、タンタル含有層などであり得る。反応室は、限定しないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源による容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、又はマイクロ波プラズマ反応器、又はシリコン含有膜の一部分を選択的に除去することができるか若しくは活性種を生成することができる他のタイプのエッチングシステムなどのエッチング方法が発生するデバイス内の任意の筐体又は室であり得る。
また、開示されるのは、非プラズマ熱ドライエッチ条件下で半導体構造を製作するために、開示されるフッ素含有エッチングガスを使用する方法である。開示される方法は、Si含有膜を熱エッチングするためのフッ素含有エッチングガスの使用を規定する。開示される方法はまた、ハードマスク層に対する損傷を抑制することと、開口、穴又はトレンチの側壁上に凹部を形成する一方でハードマスク層を保護するか又はハードマスク層を強化することとを規定する。開示される方法は、MEMS及び3D NANDデバイスアプリケーションなどにおける半導体の製造に有用である。
開示されるフッ素含有エッチングガスは、95容積%~99.999容積%の範囲の純度で供給されるか、又はCO、CO、N、HO、HF、HS、SO、ハロゲン化物及び他の炭化水素又はヒドロハロカーボンの除去のための公知の標準精製技術により精製される可能性がある。
一実施形態では、開示されるフッ素含有エッチングガスは、反応室内への導入前に又は反応室の内部でのいずれかで他のガスと混合され得る。好適には、他のガスは、流入ガスの一様濃度を提供するために反応室への導入前に混合され得る。
別の代替案では、開示されるフッ素含有エッチングガスは、例えば、2種類以上のガスが反応する場合に他のガスと独立に反応室内に導入され得る。
開示されるフッ素含有エッチングガスは、混合物中に可変濃度で存在し得、例えば、混合物中のFNOの濃度は、0.1容積%~20容積%の範囲であり得る。
例示的な他のガスは、限定しないが、N、Ar、Kr、He、Xe、Neなどの不活性ガスも含み得る。開示されるエッチングガスと不活性ガスとは、反応室内への導入前に混合され得、不活性ガスは、結果的な混合物の約80容積%~約99.99999容積%(N7.0)を含む。代替的に、不活性ガスは、エッチングガスが反応室にパルスで導入されている間に反応室に連続的に導入され得る。本出願人らは、開示されるフッ素含有エッチングガス(例えば、FNO)が、開示されるフッ素含有エッチングガスと不活性ガス(例えば、N)とを混合することにより、SiOに対してSiNを選択エッチングするのに効果的であることを発見した。
開示されるフッ素含有エッチングガスが混合され得る他の例示的ガスは、F、NO、O、COS、CO、CO、NO、NO、SO、O、Cl、HF、H及びHBrなどの追加のガスを含む。
開示されるフッ素含有エッチングガスと追加のガスとは、反応室への導入前に混合され得る。追化のガスは、反応室内に導入されるフッ素含有エッチングガスの全容積の約0.01容積%~約99.99容積%を含み得る。
別の代替案では、開示されるフッ素含有エッチングガス、追加のガス及び不活性ガスは、エッチング処理中に使用される3つのみのガスである。
追加のガス及び不活性ガスは、遠隔プラズマ反応器を介してイオン化され得る。代替的に、追加のガス及び不活性ガスは、イオン化されないことがあり得る。
開示される方法は、非プラズマ熱ドライエッチ条件下において、開示されるフッ素含有エッチングガスにより、基板上に形成されたHAR開口の側壁上のシリコン含有層の少なくとも一部分を水平方向に等方性エッチングする。開口のHARは、1:10~1000:1の範囲である。
開示される非プラズマ熱ドライエッチング方法は、第1のシリコン含有層と第2のシリコン含有層との交互層を含む基板内の予め形成された開口をエッチングし、ここで、予め形成された開口は、第1のシリコン含有層と第2のシリコン含有層との交互層をプラズマドライエッチングすることによって形成される。第2のシリコン含有層は、第1のシリコン含有層と異なる。開示される方法は、a)FNO、FNO、FNO及びそれらの組み合わせからなる群から選択されるフッ素含有エッチングガスを反応室内に導入する工程と;b)蝕刻構造の側壁上の第1のシリコン含有層間の凹部を生成するために、フッ素含有エッチングガスで第1のシリコン含有層に対して第2のシリコン含有層を選択的にエッチングすることにより、第2のシリコン含有層の少なくとも一部分を除去する工程とを含む。
一実施形態では、開示されるフッ素含有エッチングガスは、N又はArなどの不活性ガスとの混合物で反応室内に導入されるFNOであり得る。FNOは、混合物中に可変濃度で存在し得る。好適には、混合物中のFNOの濃度は、0.1%容積~20容積%の範囲であり得る。
FNOが使用される場合、FNOは、0.1MPa~10MPa圧力範囲内でニッケルメッキシリンダ内に充填された。ハステロイ材料がシリンダ弁に使用され得る。金属不純物(Fe、Ni、Cr、Mnなど)は、1ng/mL未満である。
代替的に、開示されるフッ素含有エッチングガスは、N又はArなどの不活性ガスとの混合物において且つNOガスの追加で反応室内に導入されるFNOであり得る。FNO及びNOは、混合物中に可変濃度で存在し得る。好適には、混合物中のFNOの濃度は、0.1%容積~20容積%の範囲であり得る。
別の代替案では、開示されるフッ素含有エッチングガスは、反応室内に導入されるFNO、F及びNの混合物であり得る。ここでは、過剰Fが適用され得る。
別の代替案では、FガスとNOガスとの混合物は、次式によりFNOをその中に生成するために反応室内に導入され得る:F+2NO→2FNO。その後、Ar又はNなどの不活性ガスが反応室内に導入され得る。次に、追加のF及びNOが混合物に加えられ得る。ここでは、過剰Fが適用され得る。
一実施形態では、開示されるフッ素含有エッチングガスは、基板及びシリコン含有膜を含む反応室内に導入される。開示されるフッ素含有エッチングガスは、約0.1sccm~約1slmの範囲の流量で反応室内に導入され得る。追加のガス及び不活性ガス(存在する場合)も約0.1sccm~約1slmの範囲の流量で反応室内に導入され得る。
SiN膜又はp-Si膜などのシリコン含有膜は、反応室から除去される揮発性副産物を形成するように、開示されるフッ素含有エッチングガスと反応する。SiO層とa-Cマスクとは、開示されるフッ素含有エッチングガスとそれほど反応性ではない。したがって、開示されるフッ素含有エッチングガスは、揮発性副産物を形成するようにシリコン含有膜と選択的に反応する。
反応室内の温度及び圧力は、開示されるフッ素含有エッチングガスと反応するようにSiN膜又はp-Si膜に好適な条件で保持される。例えば、反応室内の基板温度は、約-196℃~約1000℃、好適には約室温~約1000℃;さらに好適には約室温~約600℃;さらに好適には約室温~約400℃;さらに好適には約室温~約250℃の範囲であり得る。好ましい温度範囲は、好ましくは、-196℃~室温又は-196℃~0℃;又は約0℃~室温であり得る。反応室壁温度は、反応室温度と同じである。同様に、反応室内の圧力は、約1Torr~約400Torr、好適には約1Torr~約250Torr;さらに好適には約1Torr~約100Torrに保持され得る。エッチング処理中、温度は、温度設定点の5℃以内で制御され得、圧力は、圧力設定点の0.1Torr以内で制御され得る。
シリコン含有膜(例えば、SiN又はp-Si)とフッ素含有エッチングガスとの反応は、開口の側壁からのシリコン含有膜の水平方向等方性除去をもたらす。窒素、酸素及び/又は炭素の原子もシリコン含有膜内に存在し得る。この除去は、シリコン含有膜とフッ素含有エッチングガスとの化学反応に起因する。
開示されるフッ素含有エッチングガスは、好適には、マスク層及びSiO層方向に高選択率を呈示し、SiN層又はp-Si層をエッチングし、その結果、MEMS、3D NAND及びDRAM装置アプリケーションにとって重要である水平方向、すなわち横方向エッチプロファイルのSiO層間の凹部を生じる。
開示されるエッチ処理又は方法は、SiN層及びp-Si層のようなシリコン含有層をエッチングするために、開示されるフッ素含有エッチングガスを使用し、予め形成された開口内にゲートトレンチ、ビットメモリなどを生成するためのMEMS、3D NAND又はDRAM構造のSiO層間に凹部を形成する。開示されるフッ素含有エッチングガスによりエッチングされる必要がある典型的な材料は、3D NAND積層中のONON又はOPOPのSiN層又はp-Si層などのシリコン含有組成物であり得る。開示されるフッ素含有エッチングガスを使用するSiOに対するSiNのエッチング選択率は、エッチングガスの温度、圧力、濃度などのプロセスパラメータの範囲に依存して、10~3000、好適には20~2000、より好適には30~1000の範囲であり得る。開示されるフッ素含有エッチングガスを使用するSiOに対するSiNのエッチング選択率は、熱酸化及びプラズマ助長CVDプロセスなどのSiO膜の形成処理にも依存する。例えば、選択率は、熱酸化により形成されるSiO層に関して3000に達し得る。熱酸化がSiO層を形成するために使用されなければ、選択率は、それ程高くないことができる。例えば、SiN対プラズマ助長CVD SiOの選択率は、30~1000の範囲であり得る。
開示されるエッチ処理又は方法は、Ar又はNなどの不活性ガスでエッチングガスを浄化することにより停止され得る。開示されるエッチ処理又は方法は、N、NO又はOなどの消滅ガスを使用することによっても停止され得る。浄化エッチングガスにより及び/又は消滅ガスを使用することによりエッチング処理を停止することは、選択率を維持し且つ/又は反応を遅らせることを促進する。四重極質量分光計(QMS)、発光分光計(OES)、FTIRなどの終点検出システムは、過剰エッチングを回避するためにいつエッチング処理が停止されるべきかを検知するために適用され得る。
開示されるエッチング方法は、エッチング速度制御、滑らかな表面及びスループットの利点を有する循環エッチング処理であり得る。エッチングターゲットは、第1のシリコン含有層及び第2のシリコン含有層の交互層をプラズマドライエッチングすることによって形成される基板上の予め形成される開口であり得る。第2のシリコン含有層は、第1のシリコン含有層と異なる。例えば、第1のシリコン含有層は、SiO層であり得、及び第2のシリコン含有層は、SiN層又はp-Si層であり得る。
図2aに示すように、一実施形態では、循環エッチング処理は、a)フッ素含有エッチングガスを反応室内に導入する工程と;b)HAR開口の側壁上の第1のシリコン含有層間の凹部を生成するために、フッ素含有エッチングガスで第1のシリコン含有層に対して第2のシリコン含有層を選択的にエッチングすることにより、第2のシリコン含有層の少なくとも一部分を除去する工程と;c)不活性ガスで反応室を浄化する工程と;a)~c)を反復する工程とを含む。フッ素含有エッチングガスは、FNO、FNO、FNO及びそれらの組み合わせからなる群から選択される。好適には、フッ素含有エッチングガスは、FNOである。不活性ガスは、N又はArであり得る。第1のシリコン含有層がSiO層であり、及び第2のシリコン含有層がSiN層又はp-Si層であれば、フッ素含有エッチングガスは、SiO層間の凹部を形成するSiO層に対してSiN層をエッチングする。
代替的に、図2bに示すように、循環エッチング処理は、a)フッ素含有エッチングガスを反応室内に導入する工程と;b)HAR開口の側壁上の第1のシリコン含有層間の凹部を生成するために、フッ素含有エッチングガスで第1のシリコン含有層に対して第2のシリコン含有層を選択的にエッチングすることにより、第2のシリコン含有層の少なくとも一部分を除去する工程と;c)不活性ガスで反応室を浄化する工程と;d)活性化ガスを反応室内に導入する工程と;e)不活性ガスで反応室を浄化する工程と;f)a)~e)を反復する工程とを含む。ここで、フッ素含有エッチングガスは、FNO、FNO、FNO及びそれらの組み合わせからなる群から選択される。好適には、フッ素含有エッチングガスは、FNOである。活性化ガスは、Ar、Kr、Xe、Ne、He及びHからなる群から選択されるイオン化ガスである。イオン化ガスは、遠隔プラズマ室から生成され得る。代替的に、反応室は、プラズマ室であり得る。活性化ガスを反応室内に導入する工程では、プラズマは、活性化ガスを生成するためにプラズマ室内でオンされる。不活性ガスは、N又はArであり得る。第1のシリコン含有層がSiO層であり、及び第2のシリコン含有層がSiN層又はp-Si層であれば、フッ素含有エッチングガスは、SiO層間の凹部を形成するSiO層に対してSiN層をエッチングする。
循環エッチング処理は、第1のシリコン含有層の表面をエッチングから保護する工程を含み得る。例えば、第1のシリコン含有層は、SiO層である。フッ素含有ガスは、基板の表面と反応し得、この結果、他の反応性ガスと反応しないように基板の表面を保護する、すなわち基板の表面をエッチングから保護する。一実施形態では、図2cに示すように、循環エッチング処理は、a)フッ素含有エッチングガスを反応室内に導入する工程と;b)HAR開口の側壁上の第1のシリコン含有層間の凹部を生成するために、フッ素含有エッチングガスで第1のシリコン含有層に対して第2のシリコン含有層を選択的にエッチングすることにより、第2のシリコン含有層の少なくとも一部分を除去する工程と;c)不活性ガスで反応室を浄化する工程と;d)エッチングガスの混合物を反応室内に導入する工程と;e)第1のシリコン含有層の表面をエッチングから保護する工程と;f)不活性ガスで反応室を浄化する工程と;g)a)~f)を反復する工程とを含み得る。第1のシリコン含有層がSiO層であり、及び第2のシリコン含有層がSiN層又はp-Si層であれば、フッ素含有エッチングガスは、SiO層間の凹部を形成するSiO層に対してSiN層をエッチングする。本明細書では、エッチングガスの混合物は、FNO、FNO及びNOの混合物又はF及びNNOの混合物であり得る。過剰Fが適用され得る。エッチングガスの混合物は、基板の表面と反応し、その結果、他の反応性ガスと反応しないように第1のシリコン含有層(すなわちSiO層)の表面を保護する、すなわち第1のシリコン含有層(すなわちSiO層)の表面をエッチングから保護するFNOなどのフッ素含有ガスを含む。混合物中のFNOの濃度は、0.1容積%~20容積%であり得る。不活性ガスは、N又はArであり得る
以下は、開示されるフッ素含有エッチングガスがエッチングするために適用され得る基板内の半導体構造の例示的実施形態である。半導体構造は、限定しないが、コンタクト穴及びトレンチを含む。
一実施形態では、基板100は、図1aに示すようにその中に形成された高アスペクト比開口を含み得る。開口108は、シリコンウェーハ102の上部に蒸着されるSiO 104a及びSiN 104b(ONON)(すなわちテラビットセルアレイトランジスタ(TCAT)技術又はパイプシェイプドビットコストスケーラブル(P-BiCS)技術などのONON)とのn対の交互層の積層で形成される。ここで、nは、整数である。好適には、n=96である。より好適には、n=128以上である。当業者は、基板100の積層内のSiO/SiN 104の交互層の数が変わり得ることを認識するであろう。ハードマスク層106がSiO/SiN 104のn対の交互層の積層の上部に蒸着される。開口108は、SiO 104aとSiN 104bとの交互層の積層をプラズマエッチングすることによって形成され得る。ここで、当業者は、シリコンウェーハ102がタングステン(W)ウェハと交換され得ることを認識し、いくつかのアプリケーションでは、SiN層104bは、p-Si層(例えば、SiO/p-Si又はOPOP)と交換され得、これによりSiO/p-Si又はOPOPのn対の交互層の積層がシリコンウェーハ102の上部に形成される。ここで、nは、整数である。当業者は、積層内の層の数が変わり得る(すなわち整数nが変化する)ことを認識するであろう。
ハードマスク層106は、SiO/SiN層エッチ中のエッチ耐性を改善するために、ホウ素、窒素、酸素、硫黄、塩素、フッ素、アルミニウム、タングステン、チタン、ジルコニウムなどの他の元素だけでなく、C及びHも含み得る。ホウ素ドープ炭素質材料の一例は、具体的にはAPFc(ホウ素ドープされた)として知られた材料のAPF属の1種であるadvanced patterning film(APF)の商標名の元でApplied Materials,Inc.of Santa Clara,CAから入手可能である。ハードマスク層106は、ホウ素、窒素、硫黄、塩素、フッ素又は金属(Al、Zr、Ti、W)でドープされた非晶質炭素などのa-C、すなわちドープトa-Cの熱CVD、PECVD又はスプレイオン/スピンオン蒸着層であり得る。ハードマスク層106は、シリコン含有スピンオンマスク、炭素含有スピンオンマスク、フォトレジストなどであり得る。
プラズマエッチングされた構造は、プラズマエッチング中に開口の側壁上に蒸着されるポリマー(図示せず)の層を有し得る。しかし、ポリマーパッシベーション層は、開示されるフッ素含有エッチングガスを含む、当技術分野でよく知られたドライ又はウェットエッチ化学物質により容易に除去、浄化又は研磨され得る。
図1bは、図1aに示されるHAR開口内に形成された、例示的に水平方向に等方性エッチングされた凹部の側断面図である。開示されるフッ素含有エッチングガスは、HAR開口又はビア208内のSiO/SiN層204の積層上の選択的側壁SiNエッチを形成して、SiO層204a内のSi-O結合の上のSiN層204b内のSiN結合を選択的に破壊し得る。図1aと図1bとの差は、図1bにおいて、交互SiO/SiN側壁上に露出されたSiNの少なくとも一部分が、凹部210を形成することにより、開示されるフッ素含有エッチングガスにより水平方向に等方性エッチングされることである。通常、凹部210は、リン酸との混合物を使用してウェットエッチングにより実施される。ウェットエッチ処理と非プラズマドライ熱エッチ処理とを交換することは、ウェットエッチングが、基板を異なるウェットエッチング装置に移動することを必要とするため、半導体デバイス製作プロセスの経済面を著しく改善することが知られている。開示される方法により、選択的側壁SiNエッチを含むすべてのエッチングが1つのエッチング装置において行われ得、半導体製作の費用を効果的に低減し得る。
当業者は、図1a及び図1bにおける基板100、200内の層の積層、層の開口及び幾何学形状が例示的目的のためにのみ提供されることを認識するであろう。
以下の非限定的例は、本発明の実施形態をさらに示すために提供される。しかし、これらの例は、包括的であるように意図されておらず、且つ本明細書において説明される本発明の範囲を限定するように意図されていない。
開示される方法は、平坦(又はブランケット)ウェハとパターン化ウェハとの両方に適用される。平坦ウェハは、初期又は最初の膜厚を画定するSi基板上に蒸着される平坦SiN又はSiO層で構成される。パターン化ウェハは、Si基板上に蒸着されるSiN/SiO(ONON)の交互層の積層で構成される。パターン化マスク層がSiN/SiO(ONON)層の交互層の積層上に蒸着される。開示される方法を適用する前に、ONONパターン化ウェハは、その中に初期開口又は予め形成された開口を形成するためにプラズマCCP(容量結合プラズマ)エッチャー及びハイドロフルオロカーボンエッチングガスなどを使用して予め形成された。予め形成された開口は、SiN層とSiO層との両方の第1の画定された側壁幅を有する。開示される方法により、予め形成された開口を有するSiN及びSiO平坦ウェハ並びにSiN/SiO積層化パターン化ウェハは、開示されるフッ素含有エッチングガスによりエッチングされる。エッチング組成物は、FNO、FNO、FNO、F、NO及びそれらの組み合わせからなる群から選択され得る。エッチングされた平坦SiN層及びSiO層は、第2の画定された膜厚を生成するために最上層から除去される。第1の厚さと第2の厚さとの差rがエッチング量を規定する。SiO対SiNのエッチング量の比がブランケットウェハの選択率を規定する。パターン化ウェハに関して、予め形成された開口周囲の横方向、すなわち水平方向エッチがSiN層とSiO層それぞれの第2の規定された側壁幅を生成する。第1の規定された側壁幅と第2の規定された側壁幅との差が横方向、すなわち水平方向エッチング量を定める。SiO対SiNのエッチング量の比がパターン化ウェハのSiOに対するSiNの選択率を規定する。
エッチング試験が、以下に説明される図4に示す自家製ツールにより行われた。平坦ウェハは、ADVANTEC Co.,LTD.から購入された。ONONパターン化ウェハは、SKW Associates,Inc.から購入された。2つの平坦ウェハ試料が反応室内で同時に移動された。ここで、一方の試料は、Si基板上の300nm又は2000nmのSiN平坦ウェハであり、他方の試料は、Si基板上の200nmのSiO平坦ウェハであった。
例示的ONONパターン化ウェハが図3aに示される。示されるように、パターン化a-Cハードマスク層は、約680nm厚さであり、a-Cハードマスク層内に一様に分散された角穴のパターンを有する。ONON層は、約1.6um厚さであり、40nm SiN層と25nm SiO層との60個の交互層を有する。図3b~図3dに示す予め形成された開口を有する例示的ONONパターン化ウェハは、エッチングされたHAR開口構造を特徴とする。図3bに示すように、予め形成された開口は、ONON層内に形成される。予め形成された開口は、ONON層をエッチングするプラズマドライエッチング処理と共にハイドロフルオロカーボンエッチングガスを使用することにより取得され得るHAR開口である。例えば、ハイドロフルオロカーボンエッチングガスは、1,1,1,3,3,3-ヘキサフルオロプロパン(C)、1,1,2,2,3,3-ヘキサフルオロプロパン(イソ-C)、1,1,1,2,3,3,3-ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3-ヘプタフルオロプロパン(イソ-CHF)からなる群から選択され得る。HAR開口は、従来のエッチガスを使用してONON層をプラズマエッチングすることによっても取得され得る。従来のエッチガスは、限定しないが、cC、C、C、C、CF、CHF、CHF、CH、COS、CS、CFI、CI、CI、CFN、SO及びそれらの組み合わせを含む。図3c及び図3dは、ONON層内に形成された開口(例えば、開口(1)~(5))の幅及び深さを示す。開口(1)~(5)は、それぞれ滑らかな側壁を有するほぼ直線の垂直構造を有する。開口深さは、プラズマエッチング後に約630nmである。穴幅は、プラズマエッチング後に約176nmである。
エッチング試験は、約1Torr~約400Torrの範囲の圧力及び室温~約1000℃の範囲の温度で行われた。当業者は、室温未満の温度(例えば、0℃~室温までの温度)又はさらに低温(例えば、-196℃~室温)で適用され得ることを認識するであろう。試料サイズは、2cm×2cmであった。反応室のガス入口におけるガス流量(又はすべてのガスのガス流量)は、50~1000sccmであった。エッチング組成物中のFNO濃度は、0.1容積%~20容積%の範囲であり得る。当業者は、エッチング処理中に温度、圧力、流量、FNO濃度及びエッチ時間が変化することを認識するであろう。
加えて、SiN層及びSiO層上の水平方向等方性エッチング結果を研究するためにSEMが使用され得る。
エッチング試験は、自家製管型反応器内で行われた。図4は、エッチング試験において使用される自家製管型反応器を有する例示的非プラズマ熱ドライエッチングシステムである。本システムは、各端部においてガス入口906及びガス出口908を有する管型反応器902を含む。試料904は、管型反応器902内に置かれる。限定しないが、それぞれ質量流コントローラ(MFC)910、912、914を通過するFNOなどのエッチングガス及び限定しないが、F、Nなどの追加のガスは、それぞれ混合され、次にガス入口906に送られる。ポンプに接続されるガス出口908は、エッチング副産物、未反応エッチングガス及び追加のガスを管型反応器902から汲み出す。参照符号916は、その温度を調節するために管型反応器902と一体化される液体窒素冷却システムなどの加熱又は冷却システムの熱コイルを表す。エッチング試験中、管型反応器902の温度及び圧力は、好ましい値又は範囲において維持された。
例1:平坦ウェハ上のFNOによるエッチング
表2は、様々な条件下でNと混合されたFNOによりエッチングされたSiN平坦ウェハとSiO平坦ウェハとの選択率の概要である。温度は、250℃~400℃の範囲であった。圧力は、100Torr~400Torrの範囲であった。エッチングガス(FNO、N)の合計流量は、333~1000sccmの範囲であった。FNOの濃度は、1容積%~15容積%の範囲であった。Fは、加えられなかった。エッチング時間は、10~60分の範囲であった。
Figure 0007026237000002
示されるように、SiNのエッチング速度及びSiN対SiOの選択率は、FNOのT、P及び濃度(容積%)の増加と共に増加した。SiN対SiOの選択率は、10容積%のFNOにより400℃、100又は250Torrにおいて150を超えた。15容積%のFNOにより250℃及び250Torrにおいて、SiN対SiOの選択率も150を超えた。同じことが10容積%のFNOにより250℃及び400Torrにおいて当てはまる。Fは、加えられなかった。
例2:エッチング面分析
図5a及び図5bは、Nと混合されたFNOにより平坦SiNと平坦SiOとをそれぞれエッチングした後の元素の原子百分率対スパッタサイクルの数のXPSグラフである。エッチング条件は、以下のとおりである:温度は、250℃であり;圧力は、400Torrであり;FNO、Ar及びOの合計流量は、500sccmであり;FNO濃度は、10容積%である;エッチング時間は、30分であった。上記条件下において、Nと混合したFNOによりエッチングした後、SiN対SiOの選択率は、表2に示すように177.9である。図5a及び図5bに示すように、SiOのエッチング面上に窒素は存在しなかったが、約3%窒素が9スパッタサイクルにわたってSiNのエッチング面上に残った。酸素は、SiN及びSiOの両面上の主原子であった。Siは、SiN及びSiOの両面上で約35%であった。フッ素は、SiN及びSiOの両面上で約10%~約20%であった。
例3:サイクルエッチ
表3は、サイクルエッチ結果のリストである。サイクルエッチ試験は、エッチング性能を理解するために表面パッシベーションをリフレッシュすることにより行われた。エッチング条件は、以下のとおりである:温度は、250℃であり;圧力は、それぞれ100Torrと400Torrであり;FNO濃度は、N中で10容積%であり;エッチング時間は、30分でそれぞれ10×3サイクル、5×6サイクルであった。示されるように、サイクルエッチは、SiOのエッチングを強化し、したがってSiN/SiOの選択率を低減する。
Figure 0007026237000003
例4:FNOに対するF追加の影響
図6は、平坦ウェハF/FNOエッチング速度対F濃度のグラフである。エッチング条件は、以下のとおりである:温度は、250℃であり;圧力は、100Torrであり;FNO濃度は、N中で10容積%であり;エッチング時間は、30分であり、SiNからの蝕刻を防止するための時間短縮。0.8容積%、2.5容積%及び5容積%のFなどの追加のFがF追加なしと比較された。エッチング結果も以下の表4内に列挙される。
Figure 0007026237000004
示されるように、上記条件下でのFNOへのF追加によるエッチング後、SiN及びSiOの両方のエッチング速度が増加した。一方、SiN/SiOの選択率は、SiOエッチング速度の著しい上昇のために劇的に低下した。
例5:FNOに対するNO追加の影響
表5は、FNOへのNO追加によりエッチングされた平坦ウェハ上のエッチング結果である。エッチング条件は、以下のとおりである:温度は、250℃であり;圧力は、100Torrであり;FNO濃度は、N中で10容積%であり;エッチング時間は、30分であった。追加のNO(すなわち0容積%のNO、5%容積のNO、10容積%のNO)がそれぞれ加えられた。
Figure 0007026237000005
示されるように、SiNのエッチング速度は、NO追加と共に増加したが、5容積%の過剰NOにおいて飽和した。SiOのエッチング速度は、NO追加と共に低下したが、また5容積%の過剰NOにおいてほぼ飽和した。SiN/SiOの選択率は、NO追加と共にさらに増加した。SiOのエッチ量は、30分エッチング後に約2.5nmである。NOは、N又はNOを形成することによりSiNエッチングを促進し得る。
例6:パターン化ウェハ上のFNOによるエッチング
図7は、FNOによる等方性エッチング後のパターン化ウェハのSEM像である。エッチング条件は、以下のとおりである:温度は、250℃であり;圧力は、100Torrであり;FNO濃度は、N中で10容積%であり;エッチング時間は、30分であった。上記条件下でのFNOによるエッチング後、4.95±0.55nm/minの横方向SiNエッチング速度及び0.47±0.38nm/minの横方向SiOエッチング速度が得られた。したがって、横方向選択率は、10.5±8.6であった。表2を参照すると、同条件下の平面選択率は、63.7であった。
例7:増圧によるパターン化ウェハ上のFNOによるエッチング
図8a及び図8bは、圧力増加を伴うFNOによる等方性エッチング後のパターン化ウェハのSEM像である。エッチング条件は、以下のとおりである:温度は、250℃であり;圧力は、400Torrであり;FNO濃度は、N中で10容積%であり;エッチング時間は、30分であった。表3を参照すると、同条件下の横方向選択率は、177.9であった。しかし、これらの条件下において、パターン化ウェハ上のエッチングは、ONON積層を崩壊させ、a-Cマスク層は、エッチングされた。加えて、下層もエッチングされた。
例8:様々な低減エッチング時間を伴うパターン化ウェハ上のFNOによるエッチング
図9a~図9cは、様々な低減エッチング時間を伴うFNOによる等方性エッチング後のパターン化ウェハのSEM像である。エッチング条件は、以下のとおりである:温度は、250℃であり;圧力は、400Torrであり;FNO濃度は、N中で10容積%であり;エッチング時間は、3分~5分で様々であった。エッチング時間が3分(図9a)であった場合、横方向SiNエッチング速度は、約22.4nm/minであり、エッチング量は、約67.3nmであった。エッチング時間が4分(図9b)であった場合、横方向SiNエッチング速度は、約72.1nm/minであり、エッチング量は、約288.3nmであった。エッチング時間が5分(図9c)であった場合、横方向SiNエッチング速度は、約62.4nm/minであり、エッチング量は、約312nmであった。エッチング速度及びエッチング量は、切断面が変われば変わり得る。4分のエッチ時間により、約72.1nm/minの横方向SiNエッチング速度がONONパターン化ウェハにより得られた。ここで、ONONパターン化ウェハによるエッチング速度は、同条件下の表2に示す平坦ウェハによるものより高かった。
例9:パッシベーション処理
エッチング実験及び分析のためのFNOパッシベーションラインは、図10に示すように用意された。N中の5容積%のFNOがセットアップのためにガスキャビネットに接続された。<10-1mbaの真空ラインがガスキャビネット内で最初に生成された。NO中の5容積%のFNOがガスキャビネットに入力され、ガスキャビネットの圧力は、1時間にわたって0.01MPaに維持された。以下の工程では、ガスキャビネット内のガスが除去され、NO中の5容積%のFNOが1時間にわたってその中の圧力を0.15MPaに維持するために再びガスキャビネット中に供給された。次に、ガスキャビネット内のガスが除去され、NO中の5容積%のFNOが再びガスキャビネット中に供給された。以下の工程では、NO中の5容積%のFNOがガスキャビネット中に供給され、次にガスキャビネットからまた除去された。毎回、ガスキャビネット内に供給されたNO中の5容積%のFNOによるガスキャビネットの圧力は、8時間にわたって増加及び維持された。4つの圧力(すなわち0.40MPa、1.00MPa、2.00MPa、3.16MPa)が8時間にわたってそれぞれ維持された。ガスは、2つの連続圧力間で除去され、次に、ガスキャビネットは、NO中の新鮮な5容積%のFNOにより再充填された。圧力が1.00MPa、2.00MPa及び3.16MPaなどの高圧範囲に達すると、第2の圧力がガス流量調節器により調節され、0.5MPaに維持された。3.16MPaにおいて8時間後、ガスキャビネットは、真空にされ浄化された。ガスキャビネット内のすべての元素は、金属抽出及びICP-MSにより試験され分析され、すべての元素は、検出器限界未満であった。内部リークは、見出されなかった。
本発明の実施形態が示され説明されたが、その修正形態は、本発明の趣旨及び範囲から逸脱することなく当業者によりなされ得る。本明細書に説明される実施形態は、例示的にすぎず、限定するものではない。組成及び方法の多くの変形形態及び修正形態が本発明の範囲内で可能である。したがって、保護の範囲は、本明細書に説明される実施形態に限定されず、その範囲が特許請求の範囲の主題のすべての均等物を含む、以下の特許請求の範囲によってのみ限定される。

Claims (13)

  1. 反応室内の基板上に形成された高アスペクト比(HAR)開口の側壁上のシリコン含有層の少なくとも一部分を等方性エッチングする方法であって、前記HAR開口は、SiO 層とSiN層との交互層の積層をプラズマエッチングすることによって形成され、前記方法は、
    a)フッ化ニトロシル(FNO)、トリフルオロアミン酸化物(FNO)、フッ化ニトリル(FNO)及びそれらの組み合わせからなる群から選択されるフッ素含有エッチングガスを前記反応室内に導入する工程と;
    b)前記HAR開口の前記側壁上の前記SiO 層間の凹部を生成するために、前記フッ素含有エッチングガスで前記SiO 層に対して前記SiN層を選択的にエッチングすることにより、前記SiN層の少なくとも一部分を除去する工程と
    を含む、方法。
  2. 前記フッ素含有エッチングガスと共に追加のガスを導入する工程をさらに含む、請求項1に記載の方法。
  3. 前記追のガスは、F、NO、O、COS、CO、CO、NO、NO、SO、O、Cl、HF、H及びHBrからなる群から選択される、請求項2に記載の方法。
  4. 前記フッ素含有エッチングガスの濃度は、0.1容積%~20容積%の範囲である、請求項3に記載の方法。
  5. 前記フッ素含有エッチングガスは、FNOである、請求項1に記載の方法。
  6. c)不活性ガスで前記反応室を浄化する工程と;
    d)a)~c)を反復する工程と
    をさらに含む、請求項1に記載の方法。
  7. c)不活性ガスで前記反応室を浄化する工程と;
    d)活性化ガスを前記反応室内に導入する工程と;
    e)前記不活性ガスで前記反応室を浄化する工程と;
    f)a)~e)を反復する工程と
    をさらに含む、請求項1に記載の方法。
  8. 前記活性化ガスは、Ar、Kr、Xe、Ne、He及びHからなる群から選択される、請求項7に記載の方法。
  9. c)不活性ガスで前記反応室を浄化する工程と;
    d)エッチングガスの混合物を前記反応室内に導入する工程と;
    e)前記SiO 層の表面をエッチングから保護する工程と;
    f)前記不活性ガスで前記反応室を浄化する工程と;
    g)a)~f)を反復する工程と
    をさらに含む、請求項1に記載の方法。
  10. 前記エッチングガスの混合物は、FNO、FNO及びNOの混合物又は過剰F及びNOの混合物である、請求項9に記載の方法。
  11. 前記エッチング工程は、約-196℃~室温の範囲の温度で行われる、請求項1~10のいずれか一項に記載の方法。
  12. 前記エッチング工程は、約室温~1000℃の範囲の温度で行われる、請求項1~10のいずれか一項に記載の方法。
  13. 反応室内の基板上に形成された高アスペクト比(HAR)開口の側壁上のシリコン含有層の少なくとも一部分を等方性エッチングする方法であって、前記HAR開口は、SiO 層とSiN層との交互層の積層をプラズマエッチングすることによって形成され、前記方法は、
    フッ化ニトロシル(FNO)ガスを前記反応室内に導入する工程と;
    前記HAR開口の前記側壁上の前記SiO 層間の凹部を生成するために、FNOガスで前記SiO 層に対して前記SiN層を選択的にエッチングすることにより、前記SiN層の少なくとも一部分を除去する工程と
    を含む、方法。
JP2020536025A 2017-12-29 2018-12-14 3D NANDデバイスアプリケーションのための非プラズマ乾式処理によるSiO2に対するSiN選択的エッチング Active JP7026237B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/858,342 2017-12-29
US15/858,342 US10529581B2 (en) 2017-12-29 2017-12-29 SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
PCT/US2018/065655 WO2019133292A1 (en) 2017-12-29 2018-12-14 Sin selective etch to sio2 with non-plasma dry process for 3d nand device applications

Publications (2)

Publication Number Publication Date
JP2021509538A JP2021509538A (ja) 2021-03-25
JP7026237B2 true JP7026237B2 (ja) 2022-02-25

Family

ID=67058965

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020536025A Active JP7026237B2 (ja) 2017-12-29 2018-12-14 3D NANDデバイスアプリケーションのための非プラズマ乾式処理によるSiO2に対するSiN選択的エッチング

Country Status (6)

Country Link
US (1) US10529581B2 (ja)
JP (1) JP7026237B2 (ja)
KR (1) KR102459749B1 (ja)
CN (1) CN111512420B (ja)
TW (1) TWI744580B (ja)
WO (1) WO2019133292A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210034979A (ko) * 2019-09-23 2021-03-31 주식회사 포스코 황산계 철 전기도금용액의 제2철 이온 제거 방법

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200203127A1 (en) * 2018-12-20 2020-06-25 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Systems and methods for storage and supply of f3no-free fno gases and f3no-free fno gas mixtures for semiconductor processes
US10790298B2 (en) * 2019-01-11 2020-09-29 Applied Materials, Inc. Methods and apparatus for three-dimensional NAND structure fabrication
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
KR20220098191A (ko) 2019-11-08 2022-07-11 어플라이드 머티어리얼스, 인코포레이티드 3d nand 게이트 스택 보강
CN113906541A (zh) * 2020-04-14 2022-01-07 昭和电工株式会社 蚀刻方法和半导体元件的制造方法
US20230395389A1 (en) 2020-10-22 2023-12-07 Resonac Corporation Etching method and method for producing semiconductor device
KR102594634B1 (ko) * 2020-12-09 2023-10-25 에스케이스페셜티 주식회사 실리콘 함유막의 에칭 방법 및 이를 포함한 반도체 디바이스의 제조방법
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
US20240153778A1 (en) * 2021-03-09 2024-05-09 Resonac Corporation Etching gas and etching method
US20220293430A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Isotropic silicon nitride removal
US11380691B1 (en) * 2021-04-14 2022-07-05 Applied Materials, Inc. CMOS over array of 3-D DRAM device
WO2022244519A1 (ja) * 2021-05-20 2022-11-24 昭和電工株式会社 エッチング方法及び半導体素子の製造方法
US20230253212A1 (en) * 2022-02-08 2023-08-10 American Air Liquide, Inc. Method of running an etch process in higher selectivity to mask and polymer regime by using a cyclic etch process
WO2023157442A1 (ja) * 2022-02-16 2023-08-24 株式会社レゾナック エッチング方法
WO2023195422A1 (ja) * 2022-04-05 2023-10-12 株式会社レゾナック フッ素含有窒素化合物の保管方法
WO2023195421A1 (ja) * 2022-04-05 2023-10-12 株式会社レゾナック フッ素含有窒素化合物の保管方法
US20240055268A1 (en) * 2022-08-10 2024-02-15 Tokyo Electron Limited Substrate processing with selective etching
US20240128088A1 (en) * 2022-10-17 2024-04-18 Tokyo Electron Limited Selective gas phase etch of silicon germanium alloys
CN115557474B (zh) * 2022-10-28 2023-06-16 福建德尔科技股份有限公司 Fno2气体的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004343026A (ja) 2003-03-14 2004-12-02 Research Institute Of Innovative Technology For The Earth Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP2005101583A (ja) 2003-08-29 2005-04-14 Toshiba Corp 成膜装置のクリーニング方法および成膜装置
JP2014236055A (ja) 2013-05-31 2014-12-15 東京エレクトロン株式会社 エッチング方法
WO2015115002A1 (ja) 2014-01-29 2015-08-06 株式会社日立国際電気 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
US20170178923A1 (en) 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3882040A (en) 1973-06-21 1975-05-06 Us Energy Silicon removal from incinerator ash by reaction with NOF.3HF
US4536252A (en) 1985-02-07 1985-08-20 The United States Of America As Represented By The Secretary Of The Army Laser-induced production of nitrosyl fluoride for etching of semiconductor surfaces
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JP3109253B2 (ja) 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
WO1999067817A1 (en) 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
WO2002025713A1 (en) 2000-09-25 2002-03-28 Research Institute Of Innovative Technology For The Earth Gas compositions for cleaning the interiors of reactors as well as for etching films of silicon-containing compounds
US20050082002A1 (en) * 2003-08-29 2005-04-21 Yuusuke Sato Method of cleaning a film-forming apparatus and film-forming apparatus
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US20080236482A1 (en) 2007-03-27 2008-10-02 Jun Sonobe Method for low temperature thermal cleaning
US20080236483A1 (en) 2007-03-27 2008-10-02 Jun Sonobe Method for low temperature thermal cleaning
US8308871B2 (en) 2008-11-26 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal cleaning gas production and supply system
JP5470149B2 (ja) 2010-04-23 2014-04-16 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびクリーニング方法
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004343026A (ja) 2003-03-14 2004-12-02 Research Institute Of Innovative Technology For The Earth Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP2005101583A (ja) 2003-08-29 2005-04-14 Toshiba Corp 成膜装置のクリーニング方法および成膜装置
JP2014236055A (ja) 2013-05-31 2014-12-15 東京エレクトロン株式会社 エッチング方法
WO2015115002A1 (ja) 2014-01-29 2015-08-06 株式会社日立国際電気 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
US20170178923A1 (en) 2016-12-30 2017-06-22 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210034979A (ko) * 2019-09-23 2021-03-31 주식회사 포스코 황산계 철 전기도금용액의 제2철 이온 제거 방법
KR102297887B1 (ko) * 2019-09-23 2021-09-06 주식회사 포스코 황산계 철 전기도금용액의 제2철 이온 제거 방법

Also Published As

Publication number Publication date
TWI744580B (zh) 2021-11-01
TW201931468A (zh) 2019-08-01
KR102459749B1 (ko) 2022-10-26
CN111512420B (zh) 2023-09-12
US10529581B2 (en) 2020-01-07
US20190206696A1 (en) 2019-07-04
JP2021509538A (ja) 2021-03-25
KR20200090244A (ko) 2020-07-28
CN111512420A (zh) 2020-08-07
WO2019133292A1 (en) 2019-07-04

Similar Documents

Publication Publication Date Title
JP7026237B2 (ja) 3D NANDデバイスアプリケーションのための非プラズマ乾式処理によるSiO2に対するSiN選択的エッチング
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
JP6811284B2 (ja) 3d nandフラッシュメモリの製造方法
US11075084B2 (en) Chemistries for etching multi-stacked layers
JP2023531687A (ja) 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法
TW202321513A (zh) 使用含矽氫氟烴之蝕刻方法
TW202331840A (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200626

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20200709

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20200710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220214

R150 Certificate of patent or registration of utility model

Ref document number: 7026237

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150