JP6933475B2 - メンテナンス機構 - Google Patents

メンテナンス機構 Download PDF

Info

Publication number
JP6933475B2
JP6933475B2 JP2017048588A JP2017048588A JP6933475B2 JP 6933475 B2 JP6933475 B2 JP 6933475B2 JP 2017048588 A JP2017048588 A JP 2017048588A JP 2017048588 A JP2017048588 A JP 2017048588A JP 6933475 B2 JP6933475 B2 JP 6933475B2
Authority
JP
Japan
Prior art keywords
maintenance mechanism
arm
maintenance
component
components
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017048588A
Other languages
English (en)
Other versions
JP2017168841A (ja
Inventor
ビルクナー アンドレアス
ビルクナー アンドレアス
シャート ズィーモン
シャート ズィーモン
エバース アーント
エバース アーント
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Integrated Dynamics Engineering GmbH
Original Assignee
Integrated Dynamics Engineering GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Integrated Dynamics Engineering GmbH filed Critical Integrated Dynamics Engineering GmbH
Publication of JP2017168841A publication Critical patent/JP2017168841A/ja
Application granted granted Critical
Publication of JP6933475B2 publication Critical patent/JP6933475B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B66HOISTING; LIFTING; HAULING
    • B66FHOISTING, LIFTING, HAULING OR PUSHING, NOT OTHERWISE PROVIDED FOR, e.g. DEVICES WHICH APPLY A LIFTING OR PUSHING FORCE DIRECTLY TO THE SURFACE OF A LOAD
    • B66F7/00Lifting frames, e.g. for lifting vehicles; Platform lifts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0014Gripping heads and other end effectors having fork, comb or plate shaped means for engaging the lower surface on a object to be transported
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J18/00Arms
    • B25J18/02Arms extensible
    • B25J18/025Arms extensible telescopic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G35/00Mechanical conveyors not otherwise provided for
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B66HOISTING; LIFTING; HAULING
    • B66CCRANES; LOAD-ENGAGING ELEMENTS OR DEVICES FOR CRANES, CAPSTANS, WINCHES, OR TACKLES
    • B66C23/00Cranes comprising essentially a beam, boom, or triangular structure acting as a cantilever and mounted for translatory of swinging movements in vertical or horizontal planes or a combination of such movements, e.g. jib-cranes, derricks, tower cranes
    • B66C23/18Cranes comprising essentially a beam, boom, or triangular structure acting as a cantilever and mounted for translatory of swinging movements in vertical or horizontal planes or a combination of such movements, e.g. jib-cranes, derricks, tower cranes specially adapted for use in particular purposes
    • B66C23/20Cranes comprising essentially a beam, boom, or triangular structure acting as a cantilever and mounted for translatory of swinging movements in vertical or horizontal planes or a combination of such movements, e.g. jib-cranes, derricks, tower cranes specially adapted for use in particular purposes with supporting couples provided by walls of buildings or like structures
    • B66C23/208Cranes comprising essentially a beam, boom, or triangular structure acting as a cantilever and mounted for translatory of swinging movements in vertical or horizontal planes or a combination of such movements, e.g. jib-cranes, derricks, tower cranes specially adapted for use in particular purposes with supporting couples provided by walls of buildings or like structures with supporting couples provided from the side, e.g. by walls of buildings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B66HOISTING; LIFTING; HAULING
    • B66FHOISTING, LIFTING, HAULING OR PUSHING, NOT OTHERWISE PROVIDED FOR, e.g. DEVICES WHICH APPLY A LIFTING OR PUSHING FORCE DIRECTLY TO THE SURFACE OF A LOAD
    • B66F9/00Devices for lifting or lowering bulky or heavy goods for loading or unloading purposes
    • B66F9/06Devices for lifting or lowering bulky or heavy goods for loading or unloading purposes movable, with their loads, on wheels or the like, e.g. fork-lift trucks
    • B66F9/075Constructional features or details
    • B66F9/08Masts; Guides; Chains
    • B66F9/10Masts; Guides; Chains movable in a horizontal direction relative to truck
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B66HOISTING; LIFTING; HAULING
    • B66FHOISTING, LIFTING, HAULING OR PUSHING, NOT OTHERWISE PROVIDED FOR, e.g. DEVICES WHICH APPLY A LIFTING OR PUSHING FORCE DIRECTLY TO THE SURFACE OF A LOAD
    • B66F9/00Devices for lifting or lowering bulky or heavy goods for loading or unloading purposes
    • B66F9/06Devices for lifting or lowering bulky or heavy goods for loading or unloading purposes movable, with their loads, on wheels or the like, e.g. fork-lift trucks
    • B66F9/075Constructional features or details
    • B66F9/12Platforms; Forks; Other load supporting or gripping members
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Structural Engineering (AREA)
  • Robotics (AREA)
  • Transportation (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Geology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Civil Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明は、メンテナンス機構、特に、半導体素子を処理するシステムのコンポーネントを持ち上げて移動させるようになっているメンテナンス機構に関し、より詳細には、メトロロジー(metrology:計測学)システムのコンポーネント、リトグラフィーシステムのコンポーネント、又は処理システムのコンポーネントを持ち上げて移動させるようになっているメンテナンス機構に関する。メンテナンス機構は、そのようなコンポーネントを持ち上げて、水平に移動させることが意図されている。上記システムは、特にクリーンルームで使用されることが意図されている。本願は、2016年3月15日に提出された欧州特許出願第16160367.5号明細書の利益を主張し、この欧州特許出願の開示は、引用することによりその全体が本明細書の一部をなす。
半導体産業において、基板はますます大型化し、例えば、リトグラフィーシステム、光学検査装置等の、基板を処理するシステムもますます大型化している。
これは、基板ハンドリングコンポーネント、光源コンポーネント、電子線源若しくは測定センサーのコンポーネント、又はそのようなコンポーネントの組合せに関係し得る。メンテナンス目的で、素子へのアクセス若しくはその下にあるコンポーネントへのアクセスを得るために、又は移動されたコンポーネント自体を検査するために、それぞれのシステムコンポーネントを持ち上げて側方に移動させることが必要である。
このために、大抵は、移動可能なメンテナンスクレーンが実際に使用される。そのようなクレーンは、操作が煩雑であり、問題とされるシステムコンポーネントへのアクセスが困難であることが多い。特に、下に位置するシステムコンポーネントに到達するためには、まず複数のシステムコンポーネントを移動させることが必要である場合が多い。
このため、システムの高コストな停止時間が発生する。さらに、システム又はそのコンポーネントの汚染又は損傷のリスクがある。また、例えば、システムと移動されるシステムコンポーネントとの重量、形状及び位置に応じて、作業を行うメンテナンス作業員の安全及び人間工学的コンプライアンスを確実にするための措置をとる必要がある。
特許文献1は、リトグラフィー装置のモジュール用の昇降アセンブリを開示している。この昇降アセンブリはシステムに組み込まれており、したがってメンテナンス時間が比較的短いという利点を有すると述べられている。このアセンブリの欠点は、このアセンブリの柔軟性が低く、このアセンブリがシステムの一体型コンポーネントであることである。すなわち、この文献に記載されている昇降アセンブリは、特定の昇降作業のために個々に製造されるものであり、このようなアセンブリは、様々なシステム部品を持ち上げるために柔軟に使用することが困難である。
米国特許出願公開第2013/0088702号明細書
この背景を前提として、本発明は、従来技術の欠点を減じる目的に基づくものである。より詳細には、重荷重を移動させることにも使用することができ、また他の状況でも柔軟に使用することができる、単純かつ小型な構成のメンテナンス機構を提供することを意図している。
本発明は、特に、システム汚染が少なく、作業員に関して安全かつ人間工学的であるとともにシステム及びシステムコンポーネントに関しても安全な方法で、システムコンポーネントを短時間で移動させることを可能にするメンテナンス機構に関する。
本発明の上記目的は、請求項1に記載のメンテナンス機構によってもはや達成される。
本発明の好ましい実施形態及び更なる改良形態は、従属請求項の主題、詳細な説明及び図面から明らかとなる。
本発明は、特に、半導体素子を処理するシステムのコンポーネントを持ち上げて移動させるようになっているメンテナンス機構に関する。本発明のメンテナンス機構は、クリーンルームに特に適している。
メンテナンス機構は、少なくとも5kg、好ましくは少なくとも50kg、最も好ましくは少なくとも500kgの重量を移動させるように特に設計されている。この目的で、メンテナンス機構は、機械又はシステムに恒久的に又は一時的に組み込まれている自動化ツールとして提供される。
メンテナンス機構は、ビームと、コンポーネントを持ち上げる手段を伴うアームとを備える。アームは、水平面において枢動可能であるように、接続ユニットを介してビームに取り付けられ、接続ユニットは、ビームに沿って変位可能であり、アームは、垂直方向においてビームに対して直角に変位可能である。
したがって、本発明は、水平方向においてビームから離れるように枢動することができ、特に、ヒンジによってビームに接続されるアームに関する。
このヒンジは、特に、接続ユニットの一部とすることができる。
「水平」及び「垂直」は、メンテナンス機構の構成部品の設置状態における向きを基準としていることが理解される。
枢動により、アームは、片側からシステム及びそのコンポーネントの上方に移動させることができる。
1つの実施の形態において、この枢動は手動で行われる。別の実施の形態において、この枢動のために、アクチュエーター、特にモーターが設けられる。
ビームに対して、少なくとも90度にわたる枢動が可能であることが好ましい。別の実施の形態によれば、180度にわたる枢動が可能である。したがって、アームは、その両側面のいずれかがビームに対して2つの向きに配置されることができる。
任意の所望の構成の接続ユニットは、ビームの主延在方向に沿って水平に移動させることができる。これは、例えば、リニアモーター、直接駆動装置、磁気軸受若しくは空気軸受、又はねじ付きスピンドルを用いて実施することができる。
さらに、アームは、垂直方向においてビームに対して直角に移動させることができる。
これは、特に、アーム用のアーム受座とビーム受座とを接続ユニットに設けることによって実施することができる。アーム受座は、垂直方向において、ビーム受座に対して直線的に変位可能である。これも、例えばねじ付きスピンドル又はリニア駆動装置等の上述のコンポーネントを用いて実施することができる。
垂直に変位可能であることは、それぞれのシステムコンポーネントをアームによって持ち上げることに役立つ。この目的では、通常は、いくぶん低い持上げ高さでも十分である。これは、アームをそれに沿って垂直に移動させることができる接続ユニットの長さが、ビームよりも短いことを意味する。
アーム及び/又はビームは、レールとして、特に金属レールとして設けられることが好ましい。
代替的な一実施の形態において、特に軽量な構成のメンテナンス機構を提供するために、炭素繊維構成材料等の繊維複合材料を利用することができる。
メンテナンス機構は、コンポーネント用の荷重収容手段を更に備える。
荷重収容手段とは、システム領域内に移動されるか又はシステム領域から移動されるコンポーネントを固定して持ち上げる手段を指す。これは、例えば、ねじ接続、グリッパー又はフォークとすることができる。
一方では、本発明のメンテナンス機構は高い柔軟性を有し、特に、ビーム及びアームの寸法が適切であれば、システムのほぼ全てのコンポーネントを移動させることができる。
他方では、メンテナンス機構は、一緒に折り畳んで可搬ユニットにすることができることが好ましい。さらに、1つの実施の形態によれば、メンテナンス機構は、システムにおいて最小限の空間を占めるように一緒に折り畳むことができる。
特に、重荷重を移動するのに使用することができるのと同時に、1人の人員によってシステムまで運んでシステムに設置することができるメンテナンス機構を提供可能である。
1つの実施の形態において、メンテナンス機構は、ケース、例えばトロリーケースを備えてもよい。折り畳んで可搬ユニットにしたメンテナンス機構はケースに入れられ、こうしてこのケースを用いてシステムまで運ぶことができる。
本発明の好ましい一実施の形態によれば、アーム及び/又は荷重収容手段は、取外し可能に構成されている。したがって、メンテナンス機構は、アーム及び/又は荷重収容手段を取り替えることによって容易に調整することができる。メンテナンス機構は、このようにして、様々なコンポーネントを持上げ可能であるように調整することができる。
メンテナンス機構は、クリーンルームに適した設計であることが好ましい。本発明の1つの実施の形態によれば、メンテナンス機構は、この目的で、無潤滑ジョイント、無潤滑レール及び/又は無潤滑軸受を備える。
メンテナンス機構は、摺動面を有する無潤滑コンポーネントに加えて、例えば磁気軸受又は空気軸受、及び/又は、粒子吸引ユニットを備えてもよい。
「無潤滑」とは、液体を使用しないこと、特に拡散物質を含むグリース又はオイルを使用しないことを意味する。
摺動面は、摺動コーティングを有することが好ましい。メンテナンス機構は、エラストマー材料に加えて、硬質材料コーティング、特に、ダイヤモンドライクカーボン(DLC)のコーティングを有する軸受及びレールを備えてもよい。
本発明の1つの実施の形態によれば、アームは、少なくとも2つの伸縮可能なセグメントを備える。
これらのセグメントは、互いに入れ子式に伸縮する(telescoped)ことができ、したがって、動作半径が拡大するのと同時に、折畳み状態では小寸法になることが好ましい。
本発明の好ましい一実施の形態において、アームは、接続ユニットを介して回動可能であるように設計されている。
これは、アームが、ビームに対して直角に延びている水平軸の周りに回動可能であることを意味する。
これは、一方では、或るシステムコンポーネントに関して、片側のみに設けられている収容手段を他の方向に向けるために、アームを180度回動させることを可能にする。
他方で、このようにして、アームは、システムを横切って(over)水平に枢動することができるだけでなく、下方又は上方に向けて垂直に位置合わせされ得ることが可能になっている。
このようにして、アームのこの垂直位置では、アームに大きなてこの作用がかからないので、小型構成のメンテナンス機構を用いて、例えば花崗岩の厚板等の非常に重いシステムコンポーネントを移動可能である。
重荷重を持ち上げるには、特に、互いに正対して配置される2つのメンテナンス機構であって、アームを、コンポーネントを横切って旋回させる必要なく、問題となるコンポーネントの縁部に両側から接近する2つのメンテナンス機構を使用することが想定されている。
他方で、比較的軽量のシステムコンポーネントに関しては、1つのメンテナンス機構で十分である。アームは、いかなる場所のコンポーネントにも到達可能であるように、システムにわたって水平に枢動される。
システムコンポーネントを持ち上げるために、荷重収容手段は、好ましい一実施の形態によって想定されているように、好ましくは折畳み式フォークを備えてもよい。このフォークはアームに接続される。
本発明の1つの実施の形態において、フォークは、アームに沿って変位可能である。フォークは、特に、いくぶん軽量のシステムコンポーネントを持ち上げるのに役立ち得る。さらに、フォークは、回動するようにアームに取り付けることができ、それにより、単純な回動によってフォークをアームに対して平行に配置することができ、また折畳み状態で再び小寸法のモジュールをもたらすために一緒に折り畳むことができることが好ましい。
本発明の1つの実施の形態において、アームは、コンポーネント用の更なる収容手段を備え、この更なる収容手段は、アームのフォークに面しない側に配置されることが好ましい。
これは、特に、例えばエルボー等の支持体とすることができる。
より重い荷重、特に、持上げにおいてアームが垂直に位置合わせされるような荷重を持ち上げるために、フォークに面しないこの収容手段が設けられることが好ましい。
本発明の更なる一実施の形態において、メンテナンス機構、特にメンテナンス機構の軌道は、システム側に準備されているデータ項目又はデータセットを用いてプログラムすることができる。
特に、システム側からそれぞれの機構に、個々の識別子を割り当てることが想定されている。
最も単純な場合、これは、メンテナンス機構のコントローラーに手動で入力される数値とすることができる。
メンテナンス機構には、システム側に準備されているデータセットを読み取るようになっているリーダーが備え付けられていることが好ましい。これは、例えば、RFIDシステムの形態又はバーコードの形態で実施することができる。
このようにして、メンテナンス機構は、それぞれのシステムに設置されている場合、例えばメモリから索出可能な個々の移動プロファイルをアップロードすることによって、そのシステムに適合することができる。
さらに、本発明は、特に半導体素子を処理するようになっているシステムに関する。
このシステムは、システムに取り付けることができるメンテナンス機構を備える。メンテナンス機構は、特にシステムのフレームに取付け可能である。
メンテナンス機構は、コンポーネントを持ち上げる手段を更に備える。
システムに取付け可能であるという特徴は、メンテナンス機構をシステムに一時的に接続することができることを意味する。
従来技術のクレーンとは対照的に、メンテナンス機構は、その取付け状態ではシステムのコンポーネントであるが、必要なときにだけ取り付けられるように取外し可能である。
このようにして、そのようなメンテナンス機構を準備する費用は、大幅に低減される。
さらに、システムの一体型コンポーネントである設備は、ほとんどの場合に別々に認証する必要がない。
システムは、少なくとも1つのビームと、システム領域内に位置するコンポーネントを持ち上げるようになっている少なくとも1つの荷重収容手段とを備えることが好ましいメンテナンス機構を備える。
荷重収容手段は、ビームに対して少なくとも垂直移動及び水平移動を実行可能であるようになっている。
メンテナンス機構は、上述したようなメンテナンス機構であることが好ましい。
好ましい一実施の形態に従って想定されているように、メンテナンス機構は、システム側の収容手段によって取り付けられる。
特に、システムは、ビームを固定することができるフレームを備えることが想定されている。
この固定は、特に、クイックリリース(quick-release:迅速解除)クランプ機構によって行うことができる。
かなり重いコンポーネントを持ち上げるために、システムは、2つの対向するメンテナンス機構を備えてもよい。
さらに、本発明は、コンポーネント、特に半導体素子を処理するシステムのコンポーネントを持ち上げて移動させる方法に関する。この目的で、上述したメンテナンス機構又はシステムが使用される。
本発明の更なる一実施の形態によれば、コントローラーを用いて、軌道に沿った移動を行うことが想定されている。
特に、コントローラーは、軌道を記憶しているメモリを備えることが想定されている。このようにして、移動されるコンポーネントは、障害物を通過して容易に駆動することができる。
代替的には、コントローラーは、メンテナンス機構を直接制御するのに用いる入力装置を備えてもよい。コントローラーは、特に、ジョイスティック又はキーボード又はタッチスクリーンを備えるように構成してもよい。
本発明は、特に、メンテナンス機構が、荷重収容手段を空間における2つの水平方向に移動させることができるコントローラーを備えることを想定している。
荷重収容手段が直線的に移動されることが意図される場合、これは、コントローラーが直接制御される場合、すなわち制御されながら回動するときに困難である。
したがって、メンテナンス機構は、コントローラーを介して、例えばジョイスティックを或る方向に動かすことにより、或る方向における並進移動を予め決定することができるようになっており、また、予めプログラムした移動プロファイルによって、アームの枢動と、接続ユニットの並進移動等の少なくとも1つの更なる直線運動とから、実質的な並進移動全体を生成するようになっている。
本発明の1つの実施の形態によれば、メンテナンス機構のコントローラーは、特にWiFi(登録商標)又はBluetooth(登録商標)接続を介して制御ユニットに無線結合してもよい。この無線結合される制御ユニットは、例えばスマートフォン、ラップトップコンピューター又はタブレットコンピューターとしてもよい。
しかしながら、コントローラーは、有線制御ユニットに接続してもよい。制御ユニットとコントローラーとを別個に設けることは、メンテナンス作業をより容易に行うことができるという利点を有する。制御ユニットは、例えばメンテナンス機構をジェスチャーによって制御することができるように、位置センサー又は加速度センサーを備えてもよい。
既存のコンピューターをコントローラーとして使用することができ、特にタブレットコンピューター又はスマートフォンとして提供することもできることが好ましい。
本発明に係るメンテナンス機構の例示的な一実施形態の斜視図である。 折畳み状態での図1のメンテナンス機構を示す図である。 メンテナンス機構の細部の図である。 メンテナンス機構の展開をより詳細に示すことを意図した図である。 メンテナンス機構の展開をより詳細に示すことを意図した図である。 メンテナンス機構の展開をより詳細に示すことを意図した図である。 メンテナンス機構の展開をより詳細に示すことを意図した図である。 メンテナンス機構の展開をより詳細に示すことを意図した図である。 様々な動作状態のうちの1つにおけるメンテナンス機構のコンポーネントを示す、メンテナンス機構の更なる詳細図である。 様々な動作状態のうちの1つにおけるメンテナンス機構のコンポーネントを示す、メンテナンス機構の更なる詳細図である。 様々な動作状態のうちの1つにおけるメンテナンス機構のコンポーネントを示す、メンテナンス機構の更なる詳細図である。 様々な動作状態のうちの1つにおけるメンテナンス機構のコンポーネントを示す、メンテナンス機構の更なる詳細図である。 様々な動作状態のうちの1つにおけるメンテナンス機構のコンポーネントを示す、メンテナンス機構の更なる詳細図である。 様々な動作状態のうちの1つにおけるメンテナンス機構のコンポーネントを示す、メンテナンス機構の更なる詳細図である。 様々な動作状態のうちの1つにおけるメンテナンス機構のコンポーネントを示す、メンテナンス機構の更なる詳細図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図であり、メンテナンス機構の細部の図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図である。 半導体素子を処理するシステム内のメンテナンス機構の様々な適用例のうちの1つを示す図であり、図23で使用されているメンテナンス機構の細部の図である。 本発明に係るメンテナンス機構の設置をより詳細に示すことを意図した図であり、本発明に係るメンテナンス機構のこの実施形態は、システムに一時的にのみ搭載されるものである。 本発明に係るメンテナンス機構の設置をより詳細に示すことを意図した図であり、本発明に係るメンテナンス機構のこの実施形態は、システムに一時的にのみ搭載されるものである。 本発明に係るメンテナンス機構の設置をより詳細に示すことを意図した図であり、本発明に係るメンテナンス機構のこの実施形態は、システムに一時的にのみ搭載されるものである。 本発明に係るメンテナンス機構の設置をより詳細に示すことを意図した図であり、本発明に係るメンテナンス機構のこの実施形態は、システムに一時的にのみ搭載されるものである。 クイックリリースクランプ機構を用いたメンテナンス機構の設置を示す図である。 クイックリリースクランプ機構を用いたメンテナンス機構の設置を示す図である。 クイックリリースクランプ機構を用いたメンテナンス機構の設置を示す図である。 クイックリリースクランプ機構の細部の図である。 クイックリリースクランプ機構の細部の図である。 メンテナンス機構のアームの角度を水平にするためのヒンジを有するメンテナンス機構を示す図である。 図34に示されているメンテナンス機構の細部の図である。 前述の図の代替形態としての、2つの垂直駆動装置を備えるメンテナンス機構の一実施形態の斜視図である。 リーダーと、教示データを含むメモリとを備えるメンテナンス機構の細部の図である。 本発明に係るメンテナンス機構が使用される、コンポーネントを移動させる方法をより詳細に示すことを意図した図である。 本発明に係るメンテナンス機構が使用される、コンポーネントを移動させる方法をより詳細に示すことを意図した図である。 本発明に係るメンテナンス機構が使用される、コンポーネントを移動させる方法をより詳細に示すことを意図した図である。
以下、例示的な実施形態により図1〜図40の図面を参照しながら、本発明の主題をより詳細に説明する。
図1は、メンテナンス機構1の第1の例示的な実施形態を示している。メンテナンス機構1は、例えば半導体素子を処理するシステムに取り付けられるようになっているビーム2を備える。
ビーム2はレール状の構成を有し、メンテナンス機構の設置状態では水平に位置合わせすることができる。すなわち、ビーム2の主延在方向は、水平方向にある。座標系が示されているこの図において、これはx−y平面である。zで示されている空間方向は、本発明の意味では、空間における垂直方向である。
アーム3は、接続ユニット5を介してビーム2に枢動可能に取り付けられ、接続ユニット5は、アーム受座7用の垂直駆動装置を備えることができる。
この例示的な実施形態では、アーム3は、水平に枢動可能であるように、すなわち軸4の周りに枢動可能であるように、ビーム2に取り付けられる。この目的で、接続ユニット5はヒンジを備える。
この例示的な実施形態では、アーム3は、180度にわたって枢動することができ、したがって、アーム3は、いずれかの側がビーム2に当接するまで枢動することができる。
接続ユニット5は、アーム受座7及びビーム受座6を更に備える。
ビーム受座6は、ビーム2に沿って水平に移動させることができる。対応する移動は、矢印12で表されている。
さらに、アーム受座7は、ビーム受座6に対して垂直に移動させることができ、これは矢印13で表されている。
アーム受座7を上昇させると、アーム3と、ひいては移動されるコンポーネントとが持ち上げられ、次に、水平移動によってシステム領域から移動させることができる。
この例示的な実施形態では、アーム3は、互いに入れ子式に伸縮することができるセグメント3a及び3bで構成されている。すなわち、セグメント3bをセグメント3aに滑り込ませて、アーム3を短くしたり長くしたりすることができる。
本発明の代替的な一実施形態(ここでは図示せず)において、アーム3は、セグメント3aのみからなってもよく、この場合、セグメント3aにフォーク8が取り付けられる。
コンポーネントを引き取るために、アーム3はその片側にフォーク8を備える。フォーク8は、ここでは展開状態で示されている。フォーク8は、上側部分8bと下側部分8aとからなり、下側部分8aは、折り畳んで上側部分の凹部10に収納することができる。
しかしながら、コンポーネントは、フォークを用いず、例えばアーム3aに直接固定して引き取ることもできる。
1つのフォーク8がセグメント3aに取り付けられ、他のフォークがセグメント3bに取り付けられる。
この例示的な実施形態では、フォーク8は、さらに、アーム3に沿って又はそれぞれのセグメント3a、3bに沿って水平に変位可能であり、これは矢印14で表されている。
このようにして、フォーク8間の間隔を変更可能である。
さらに、この実施形態では、フォークの上側部分8bは、アーム3の上部に対して直角に延びている回転軸9の周りで回動するように、アーム3に取り付けられている。
ここでは図示していない本発明の代替的な一実施形態において、上側部分は回動可能でない。
したがって、フォーク8は、それらのフォーク8の主延在方向がアーム3の主延在方向に一致するように回動させることができる。メンテナンス機構1は、このようにして小型化することができる。
アーム3のフォーク8に面しない側で、この例示的な実施形態ではアーム3又はセグメント3bの端部に、重荷重を持ち上げるのに役立つ収容手段11が設けられている。この例示的な実施形態では、収容手段11は、単純なエルボーの形態である。
フォーク8と収容手段11との双方が、移送中にコンポーネントを固定するための更なる係止手段(ここでは図示していない)を備えてもよいことが理解される。
また、アーム3をそのそれぞれの枢動位置に係止し、セグメント3a、3bを互いに対して係止するための必要に応じた係止手段も図示していない。
1つの実施形態において、メンテナンス機構1は、完全に手動で操作される。接続ユニット5は、例えばクランク駆動装置により、ビーム2に沿って変位させることができる。
クランク駆動装置は、アーム受座7を介してアーム3を垂直方向に駆動するためにも設けられることが好ましい。
アーム3の枢動と、セグメント3bの引出しと、フォーク8の展開とは、手動で行ってもよい。
本発明の代替的な一実施形態において、矢印12及び13に沿った並進移動と、必要に応じてセグメント3bの引き出しとは、動力によって行われる。本発明の1つの実施形態において、アーム3の枢動も動力によって行われる。
制御は、コントローラーのキーを介して又はインターフェース及び外部ユニットを介して行うことができる。このような制御は、軸が手動で制御されない場合にのみ必要となり、メンテナンス機構の更なるアクチュエーター又はセンサーを制御する必要はない。
必要に応じて、メンテナンス機構1は、特に、持ち上げられたコンポーネントの高さを水平にすることを可能にするために、センサーを更に備えてもよい。
図2は、折畳み状態のメンテナンス機構1を示している。
アーム3のセグメントは一緒に入れ子にされており、アーム3はここではビーム2に当接しているのを見て取ることができる。
フォーク8も折り畳まれて、アーム3に対して静止している。
この図から、メンテナンス機構1は、容易に折り畳んで小型の可搬ユニットにすることができることが明らかである。
接続ユニット5のみが、ビームから側方に突出している。しかしながら、好ましくは接続ユニット5の長さは大幅に短くなっており、好ましくはビーム2の長さの1/3未満に短くなっている。ビーム2を超えて側方に突出している接続ユニット5は、メンテナンス機構が垂直駆動手段を備える場合、移動されるコンポーネントを垂直に持ち上げるために必要とされる。
図3は、図2に示されているアーム3の詳細図であり、アーム3は、接続ユニット5を介してビーム2に取り付けられている。
この図では、アーム受座7は、ビーム受座6に対して回動可能であることを見て取ることができる。
回転軸15は、アーム3の主延在方向に沿って水平面に延びている。アーム3は、回動するように取り付けられていることから、図1に示されている位置に対して90度回動することができ、次に、上方に又は下方に延在するように枢動させることにより、アーム3の主延在方向を垂直に位置合わせすることができる。
図4〜図8を参照して、メンテナンス機構1の展開を説明する。
図4は、図2のように折畳み状態にあるメンテナンス機構1を示している。
図5では、メンテナンス機構1の接続ユニット5は、アーム3の左端部からアーム3の右端部に既に移動されている。使用者はここで、アーム3への良好なアクセスと、この例示的な実施形態では設けられているアーム上に配置されたフォーク8への良好なアクセスを有する。
図6及び図7に示されているように、ここでフォーク8を90度枢動させることにより、依然として一緒に折り畳まれているフォーク8の主延在方向を垂直方向とすることができる。
続いて、図8に示されているように、フォークの下側部分8a(この例示的な実施形態では折畳み可能である)を広げることにより、フォーク8がL字状構成をなすことができる。
図9は、例えば、持ち上げられるコンポーネントが位置付けられているシステム領域の上方に配置するために、アーム3がここでどのように水平に枢動されるかを示している。
図10に示されているように、次に、(この例示的な実施形態では伸縮可能な構成の)アーム3を伸長することにより、フォーク8をここで互いに離間させることができる。
図11に見て取ることができるように、少なくとも1つのフォーク8は、この例示的な実施形態ではアーム3に沿って水平に変位可能である。
図12は、更なる詳細図を示している。この図のこの例示的な実施形態では、例えばフォーク8及び受座11を空間におけるそれぞれの反対方向に向くように配置するために、アーム3が回動されている。
さらに、図13に示されているように、アーム3は、もはやビーム2に対して水平に枢動可能でなくなるまで回動することができ、一方でこのとき、上方又は下方に枢動することができる。
図14は、完全に下方に枢動した位置のアーム3を示している。この位置では、アーム3は、システム領域内へと側方に枢動するようになっていない。むしろ、アームは、接続ユニットを介して水平に変位される。この位置では、収容手段11を用いて重荷重に側方から係合して、その重荷重を持ち上げることができる。
このようにして、ここではアーム3がビーム2に対して長いレバーを形成しないので、側方枢動位置よりもかなり重い荷重を移動させることができる。
図15に示されているように、アーム3を上方に枢動させることにより、収容手段11をここでビーム2の上方に位置付けることもできる。
図16は、半導体素子を処理するシステム16の斜視図を示している。
システム16は、防振装置18が取り付けられた台17を備える。
好ましくは花崗岩の厚板として設計されている台17には、半導体素子を処理するシステム16の構成部品である可動ステージ19が搭載されている。この例では、システム16はメトロロジー装置21を備える。
システム16は、クリーンルームで使用されることが好ましい。
システム16は、フレーム20を更に備える。フレーム20の内側に、メトロロジー装置21のセンサーが配置される。
この図に見て取ることができるように、上記で示したメンテナンス機構1のビーム2は、フレーム20に取り付けられる。
図17に示されているように、アーム3は、ビーム2から離れるように水平に枢動することができ、この例示的な実施形態では、センサー22、例えば光学センサーが、フォーク8によって下から把持されている。コンポーネント、この例示的な実施形態では光学センサー22は、必要に応じて真空吸引手段又は機械的な係止手段又は磁性係止手段によって、フォーク8上で滑らないように固定することができる(図示せず)。
図18に示されているように、コンポーネント、この例示的な実施形態では光学センサー22は、アーム3の枢動によってシステム領域から容易に移動させることができ、取り除くか又はそこでメンテナンス作業を受けることができる。この目的で、接続ユニット5は、ビーム2に沿って水平に移動される。
ステージ19、光学センサー22又はメトロロジーシステムの他のコンポーネントは、ここで、メンテナンス作業のためにアクセス可能である。
図19に示されているように、ステージ19も、フォーク8によってシステム領域から枢動させることができる。
この目的で、アーム3は、この例示的な実施形態ではセグメント3aからセグメント3bを部分的に引き出すことによって伸長されている。フォーク8は、このとき、図17に示されている状態と比較してより広い間隔を有する。
以下、図20〜図24を参照しながら、比較的重いコンポーネントの移動を説明する。
図20は、半導体素子を処理するシステム16を再び示している。
上記の図とは対照的に、ここでは、2つのメンテナンス機構1がシステム16のフレーム20に取り付けられ、互いに正対して配置されている。
それぞれのメンテナンス機構1のアーム3は、システム領域内へと水平に枢動されず、むしろ、エルボー形の収容手段11がアーム3の下端部を画定するように、アーム3を下方に枢動させることができる位置に90度回動されている。
他の例示的な実施形態において、枢動可能なアームは、移動されるコンポーネント(この例示的な実施形態では台17)をメンテナンス機構に接続する他のコンポーネント、特に枢動不可能なコンポーネントと置き換えてもよい。
図21に示されているように、台17全体を、アーム3及び収容手段11により持ち上げて、アームをビーム2に沿って変位させることにより、アーム3によってシステム領域から部分的に移動させることができる。
この目的で、メンテナンス機構1は、同期型の水平駆動装置及び/又は垂直駆動装置(図示せず)を備えてもよい。
その同期は、動力化された水平駆動装置及び/又は垂直駆動装置の場合、駆動制御装置を介して達成することができ、特に、手動の水平駆動装置及び/又は水平駆動装置の場合、機械的なリンク機構を介して、例えば移動軸に結合される連結シャフトを介して達成することができる。
図22は、下方に枢動されたアーム3の詳細図である。下方に枢動されたアーム3は、台を持ち上げるために、接続ユニット5に沿ってアーム受座7を通して上方に駆動することができる。
図23は、2つのメンテナンス機構1を用いて、メンテナンス機構1上に配置されている重荷重を移動させることも可能であることを示している。この目的で、アーム3は、垂直方向上方に枢動されており、ひいては更なるコンポーネント、特に、ここに図示されているシステム16のフィルターモジュール23を持ち上げて、ビーム2に沿って側方に移動させることが可能である。
図24は、アーム3の詳細図を再び示している。
アーム3は、収容手段11がアーム3の上端部に位置するように、垂直方向上方に枢動されていることを見て取ることができる。ここでも同様に、アーム受座7を移動させることによって、荷重を持ち上げることができる。
以下、図25〜図28を参照しながら、本発明に係るメンテナンス機構の設置をより詳細に説明する。
図25に示されているように、メンテナンス機構は、その折畳み状態ではトロリーケース24内に収容することができ、使用者が、このトロリーケース24をシステムまで運ぶことができる。
図26は、メンテナンス機構1がその折畳み状態で収容されている、開いたトロリーケースを示している。
次に、図27及び図28に示されているように、メンテナンス機構1のビーム2を、システム16のフレーム20に取り付けることができる。メンテナンス機構1は、システムの上部システムコンポーネント23と、システム16の台17との間に配置される。
メンテナンス機構1の必要に応じて設けられるコントローラー(図示せず)も、トロリーケース24に収容することができる。代替的な一実施形態によれば、コントローラーは、システム自体の構成部品であり、したがってシステムまで運ぶ必要はない。
例えば、フレーム20上へのねじ接続によって、設置を達成してもよい。
しかしながら、図30及び図31を参照してより詳細に記載するように、クイックリリースクランプ機構を使用することが好ましい。
図29に示されているように、メンテナンス機構を取り付けるためにアダプター25を用いてもよい。
アダプター25は、例えば、形状嵌め及び/又は力係止によってフレーム20に固定してもよい。
1つの実施形態によれば、メンテナンスツールを異なる設計のシステムに設置することを可能にするために、複数の異なるアダプターがメンテナンスツールと同封される。特に、異なるシステム16のフレーム20のそれぞれのシステム輪郭に適合する、異なる幅を有するアダプターを提供してもよい。
図30に示されているように、アダプター25は、フレーム20に固定される。アダプターは、特に、クリップ状の構成を有してもよく、力係止及び/又は形状嵌めによってフレームに接続することができる。
次に、メンテナンス機構1のビーム2を、好ましくはクイックリリースクランプ機構によってアダプター25に取り付けることができる。
これは、図32及び図33に詳細に示されている。
この例示的な実施形態では、アダプター25のクイックリリースクランプ機構は、カム27を含むそれぞれのレバー26を備える。ビーム2は、アダプターの凹部28に配置される。
図33に示されているように、アダプター25のクイックリリースクランプ機構は、レバー26を枢動させることによって係止される。
しかしながら、異なる構成の形状嵌め部材又は力係止部材を使用してもよいことが理解される。
図34は、メンテナンス機構の更なる例示的な実施形態を示している。この実施形態では、接続ユニット5は、アーム受座7とビーム受座6との間に配置される補償ジョイント30を含む。
矢印29で表されているように、載せられた荷重がアーム3に力を加える。これにより、システムの長いレバー及び有限の剛性に起因して、アーム3が角度αだけ撓む。
これにより、荷重の高さ、特に角度位置が変化する。
角度位置のこのような変化は、センサー31によって検出され、補償ジョイント30を介してアーム3を上方に枢動させることにより、補償ジョイント30を通してアクチュエーター(図示せず)を用いて補償することができる。
これは、自動化されていることが好ましい。
アクチュエーターとして、動力化されたアクチュエーターを使用することができる。特に、圧電アクチュエーター、電気モーター又は空気手段の使用が提案される。手動の実施形態も可能である。
図35は、補償ジョイント30の詳細図であり、補償ジョイント30は、この例示的な実施形態では撓み軸受として実施されている。
アクチュエーター39は、この撓み軸受の2つの半部分の間に位置する。
図36は、特別重い荷重を持ち上げることが意図された、メンテナンス機構1の代替的な一実施形態を示している。この目的で、メンテナンス機構は、ビーム2が取り付けられる2つの接続ユニット5を備える。
上記で示した実施形態と同様に、ビーム2は、接続ユニット5を介して上方に駆動することができ、システム内に位置するコンポーネントは、このようにして持ち上げることができる。
上述の実施形態に対応するアーム3は、更なる接続ユニット32によってビームに枢動可能に取り付けられる。
接続ユニット32は、水平方向において特に動力によって変位可能である。
一方で、垂直移動は、接続ユニット5を介して行われる。
さらに、ここに示されているアームは、接続ユニット32を介して回動するように取り付けられており、そのため、システム領域内に枢動することもできるし、重荷重を持ち上げるように垂直方向上方又は下方に位置合わせすることもできるようになっている。
本発明は、特に、処理システムのコンポーネント又は処理検査システムのコンポーネント(例えば測定センサー、リトグラフィーシステムのコンポーネント、特に、測定装置、リトグラフィー装置、メトロロジー装置、光学検査装置、電子ビーム式検査装置、コーティングシステム、及び半導体基板を処理するシステム)等のメンテナンス機構に関する。また、本発明は、半導体処理用のマスクを製造するシステムに関する。さらに、本発明は、上述したシステムのハンドリングシステム、例えばハンドリングロボットに関する。さらに、医薬品及び食品産業のクリーンルーム内にあるシステムでのその使用、特に、生産包装システム及び充填システムでのその使用も考えられる。さらに、機械工学産業のシステムでのその使用も可能である。
図37は、互いに通信する2つのコンポーネント、この例示的な実施形態ではリーダー33及びメモリ34を備えるメンテナンス機構の細部の図を示している。メモリ34は、教示データを記憶している。別の状況では、メンテナンス機構は、図1に示されているメンテナンス機構のように構成される。
メンテナンス機構は、特に、クイックリリースクランプを用いてシステムに取り付けることができるビーム2を備える。
この例示的な実施形態では、リーダー33は、ビーム2上に配置されており、この例示的な実施形態ではシステムのフレーム20に取り付けられているシステム側メモリ34を無線で読出し可能である。メモリ34は、特に、RFIDチップとして設計することができる。
ここで、リーダー33を介して、教示データによってメンテナンス機構をプログラム可能である。特に、特定のシステムコンポーネントをシステム領域から移動させる移動プロファイルを、予めプログラムすることができる。
以下、図38〜図40を参照しながら、コンポーネントを持ち上げてシステム領域から移動させるために、本発明のメンテナンス機構の例示的な一実施形態を使用する方法を説明する。このメンテナンス機構は、特に、図1に示されているメンテナンス機構に対応することができ、したがってとりわけ、ビームに取り付けられる枢動可能なアームを備える。
図38に概略的に示されているように、コンポーネントは、初期位置35から最終位置36に移動されることになる。
コンポーネントは、この経路に沿って位置する障害物37及び38を通過して移動させる必要がある。
見て取ることができるように、初期位置35から最終位置36への移動は、互いに対して鈍角の2つの直線移動、すなわち並進移動で構成されている。
この図は、水平軸及び垂直軸によって規定される平面、すなわち垂直面における移動に関するものである。さらに、軌道に沿った移動は、軌道制御に枢動を含めることによって、三次元、すなわち水平面においても行うことができる。
軌道は、少なくとも2つの並進移動で構成されていることが好ましい。
図39に概略的に示されているように、初期位置35から最終位置36への移動は、互いに対して直角の複数の並進移動で構成されてもよい。
しかしながら、図40に示されているように、本発明の1つの実施形態によれば、まず、初期位置35から始めて回動又は円運動を行い、次に、コンポーネントを並進移動で最終位置36まで駆動することも同様に可能である。そのような回動又は円運動は、例えば、実質的に円形の障害物37を避けるために並進移動の組合せによって達成してもよい。
垂直面、すなわちx−z平面での移動は、図38〜図40に示されているように、他の空間方向にも、特に水平面、すなわちx−y平面にも等しく適用することができる。
水平面において、並進移動は、アームの枢動と、メンテナンス機構の接続ユニットの並進移動とによってその一部を構成してもよい。
本発明は、柔軟に適用することができ、重荷重を移動させるのにも適した、軽量かつ可搬の昇降機構を提供することを可能にする。
1 メンテナンス機構
2 ビーム
3 アーム
3a、3b セグメント
4 軸
5 接続ユニット
6 ビーム受座
7 アーム受座
8 フォーク
8b 上側部分
8a 下側部分
9 回転軸
10 凹部
11 収容手段
12〜14 矢印
15 回転軸
16 半導体素子を処理するシステム
17 台
18 防振装置
19 ステージ
20 フレーム
21 メトロロジー装置
22 センサー
23 フィルターモジュール
24 トロリーケース
25 アダプター
26 レバー
27 カム
28 凹部
29 矢印
30 補償ジョイント
31 センサー
32 接続ユニット
33 リーダー
34 教示データを含むメモリ
35 初期位置
36 最終位置
37 障害物
38 障害物
39 アクチュエーター

Claims (17)

  1. 半導体素子を処理するシステム(16)のコンポーネントを持ち上げて移動させるメンテナンス機構(1)であって、該メンテナンス機構は、ビーム(2)と、コンポーネントを持ち上げる手段を伴うアーム(3)とを備え、該アームは、水平面において枢動可能であるように、接続ユニット(5)を介して前記ビームに接続され、前記接続ユニット(5)は、前記ビームに沿って変位可能であり、前記アーム(3)は、前記ビームに対して直角に変位可能であり、枢動可能な前記アーム(3)には、コンポーネント用の荷重収容手段が設けられる、メンテナンス機構。
  2. 請求項1に記載のメンテナンス機構(1)であって、前記接続ユニット(5)は、アーム受座(7)及びビーム受座(6)を備え、前記アーム受座(7)は、前記ビーム受座(6)に対して直線的に変位可能である、メンテナンス機構。
  3. 請求項1又は2に記載のメンテナンス機構(1)であって、前記アーム(3)及び/又は前記荷重収容手段及び/又はフォークは、取外し可能に構成されている、メンテナンス機構。
  4. 請求項1〜3のいずれか1項に記載のメンテナンス機構(1)であって、前記アーム(3)は、前記接続ユニット(5)を介して回動可能に設計されている、メンテナンス機構。
  5. 請求項1〜4のいずれか1項に記載のメンテナンス機構(1)であって、前記荷重収容手段は、前記コンポーネントを支持する折畳み式フォーク(8)又は、回動可能及び/又は水平方向に変位可能であるように前記アームに取り付けられる折畳み式フォークを備える、メンテナンス機構。
  6. 請求項5に記載のメンテナンス機構(1)であって、前記アーム(3)は、コンポーネント用の更なる収容手段(11)を備え、メンテナンス機構。
  7. 請求項6に記載のメンテナンス機構(1)であって、前記更なる収容手段(11)は、前記アーム(3)の前記折畳み式フォーク(8)に面しない側に配置される、メンテナンス機構。
  8. 請求項1〜のいずれか1項に記載のメンテナンス機構(1)であって、折り畳んで可搬ユニットにすることができ、及び/又は移動用途にすることもできる、メンテナンス機構。
  9. 請求項1〜7のいずれか1項に記載のメンテナンス機構(1)であって、無潤滑ジョイント、無潤滑レール及び/又は無潤滑軸受、又は、空気軸受若しくは磁気軸受を備え、及び/又は、粒子吸引ユニットが備え付けられている、メンテナンス機構。
  10. 導体素子を処理するシステム(16)であって、該システムに取り付けることができる、又は、該システムのフレームに取り付けることができる、コンポーネントを持ち上げる手段を備える求項1〜のいずれか1項に記載のメンテナンス機構(1)を備える、システム。
  11. 請求項10に記載のシステム(16)であって、前記メンテナンス機構(1)のビーム(2)用の受座を備える、システム。
  12. 請求項10又は11に記載のシステム(16)であって、前記メンテナンス機構(1)は、クイックリリースクランプ機構によって該システムに取り付けることができる、システム。
  13. 請求項12に記載のシステム(16)であって、前記クイックリリースクランプ機構は、該システム(16)のフレーム(20)に取り付けられるアダプター(25)を備える、システム。
  14. 請求項1013のいずれか1項に記載のシステム(16)であって、互いに正対して配置される2つのメンテナンス機構(1)を備える、システム。
  15. 請求項1〜のいずれか1項に記載のメンテナンス機構及び/又は請求項1014のいずれか1項に記載のシステムを用いることを含む、コンポーネントを持ち上げて移動させる方法。
  16. 前記移動は、コントローラーを用いて、少なくとも2つの異なる移動方向を含む軌道に沿って移動させることを含む、請求項15に記載の方法。
  17. 前記メンテナンス機構を用いて、少なくとも2つの異なるコンポーネント又はシステムコンポーネントを持ち上げて移動させることを含む、請求項15又は16に記載の方法。
JP2017048588A 2016-03-15 2017-03-14 メンテナンス機構 Active JP6933475B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP16160367.5 2016-03-15
EP16160367.5A EP3220413B1 (de) 2016-03-15 2016-03-15 Serviceeinrichtung

Publications (2)

Publication Number Publication Date
JP2017168841A JP2017168841A (ja) 2017-09-21
JP6933475B2 true JP6933475B2 (ja) 2021-09-08

Family

ID=55628741

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017048588A Active JP6933475B2 (ja) 2016-03-15 2017-03-14 メンテナンス機構

Country Status (4)

Country Link
US (1) US10322919B2 (ja)
EP (1) EP3220413B1 (ja)
JP (1) JP6933475B2 (ja)
KR (1) KR102388699B1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170040205A1 (en) * 2015-08-05 2017-02-09 Lam Research Corporation High-hardness-material-powder infused elastomer for high friction and compliance for silicon wafer transfer
DE102016120820A1 (de) * 2016-11-02 2018-05-03 Integrated Dynamics Engineering Gmbh Anlage zur Prozessierung von Halbleiterbauelementen sowie Hebeeinrichtung
CN209160601U (zh) * 2018-09-07 2019-07-26 深圳市海柔创新科技有限公司 一种搬运机器人
CN109231082A (zh) * 2018-11-15 2019-01-18 北京特种机械研究所 一种全向堆垛拣选车
KR102196755B1 (ko) * 2019-03-12 2020-12-30 현대중공업지주 주식회사 기판 이송장치의 정비장치
CN111244002B (zh) * 2020-03-25 2022-07-29 铜陵富仕三佳机器有限公司 一种用于ic芯片封装的抓取机械手
CN112573424B (zh) * 2020-12-31 2022-07-22 中山市德友鑫智能装备有限公司 一种可根据货物量自动调节提升高度的提升机装置
WO2023149958A1 (en) * 2022-02-04 2023-08-10 Lam Research Corporation Portable robot for semiconductor equipment maintenance tasks

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE340246B (ja) * 1970-03-11 1971-11-08 Hiab Foco Ab
US4005782A (en) * 1974-03-04 1977-02-01 Engineered Metal Products Company, Inc. Picker
FR2396709A1 (fr) * 1977-07-08 1979-02-02 Thibault Jacques Appareil de palettisation
US4543031A (en) * 1983-04-22 1985-09-24 Crown Controls Corporation Apparatus for sideshift carriage control
ES2020758A6 (es) * 1990-02-08 1991-09-16 Balzola Elorza Martin Msnipulador automatico para lamacenes.
IL116100A (en) * 1995-11-22 1996-11-14 Ofakim Nerhavim Construction A Forklift
US5893795A (en) * 1997-07-11 1999-04-13 Applied Materials, Inc. Apparatus for moving a cassette
US6041949A (en) * 1999-04-29 2000-03-28 Eaton Corporation Overhead lifter for electrical switching apparatus
US6709225B1 (en) * 2000-02-18 2004-03-23 Asyst Technologies, Inc. System for installation, maintenance and removal of minienvironment components
US6508617B1 (en) * 2000-11-09 2003-01-21 Abb Technology Ag Device for lifting, orienting, and positioning enclosures
JP2005294280A (ja) * 2002-04-12 2005-10-20 Hirata Corp 密閉容器搬送システム
JP4355314B2 (ja) * 2005-12-14 2009-10-28 東京エレクトロン株式会社 基板処理装置、及び該装置の蓋釣支装置
US8137048B2 (en) * 2006-09-27 2012-03-20 Vserv Technologies Wafer processing system with dual wafer robots capable of asynchronous motion
US8467898B2 (en) * 2007-03-08 2013-06-18 Smv S.R.L. Method and a device for recognizing, collecting and repositioning objects
DE102008016881B4 (de) * 2008-04-02 2018-01-11 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung zum Ein- oder Auslagern von Gütern
US8167153B1 (en) * 2008-12-08 2012-05-01 Garry Wattel Hoist platform and scaffolding attachment means
JP5474522B2 (ja) * 2009-01-14 2014-04-16 ギガフォトン株式会社 極端紫外光源システム
KR101543681B1 (ko) * 2009-01-15 2015-08-11 주성엔지니어링(주) 기판 처리 시스템
US8950604B2 (en) * 2009-07-17 2015-02-10 Ibis Biosciences, Inc. Lift and mount apparatus
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
NL2007401C2 (en) 2011-09-12 2013-04-09 Mapper Lithography Ip Bv Assembly and a method for lifting a module of a lithography system in a vertical direction and a lithography system comprising such assembly.
JP5578213B2 (ja) * 2012-08-30 2014-08-27 株式会社安川電機 搬出入装置
US9008825B2 (en) * 2013-04-01 2015-04-14 Honda Logistics North America, Inc. Container unloading system with auto-unload capability
US9908212B2 (en) * 2014-05-14 2018-03-06 Ebara Corporation Polishing table replacement apparatus, polishing table replacement method, and apparatus for replacing a component of semiconductor-device manufacturing machine
US10138097B2 (en) * 2015-11-05 2018-11-27 The Boeing Company Hoist assembly and method of lifting

Also Published As

Publication number Publication date
EP3220413A1 (de) 2017-09-20
JP2017168841A (ja) 2017-09-21
EP3220413B1 (de) 2022-01-26
US10322919B2 (en) 2019-06-18
KR102388699B1 (ko) 2022-04-20
US20170267504A1 (en) 2017-09-21
KR20170107406A (ko) 2017-09-25

Similar Documents

Publication Publication Date Title
JP6933475B2 (ja) メンテナンス機構
KR101477185B1 (ko) 3차원 스캐너 플랫폼 및 이를 구비한 3차원 스캔장치
US11235432B2 (en) Modular and reconfigurable support system
JP4796142B2 (ja) 積層可能な半導体テストシステム用の可搬式マニピュレータ
US8761929B2 (en) Robot system
JP6747325B2 (ja) ワーク搬送装置
JP2012051054A (ja) 位置決めテーブル
TW201621916A (zh) 可調整角度之多軸承載裝置
CN115210046A (zh) 紧凑型横移机器人
EP1604798A1 (en) Extracting device for molded articles
JP5047859B2 (ja) リフトピンユニット及びそれを具備したxyステージ装置
JP2018024494A (ja) 搬送装置
TWI586497B (zh) Substrate transport arm and substrate transfer method
US10450175B2 (en) System for holding cabinets in place during installation
WO2022198482A1 (zh) 用于半导体制造的辅助工装
JP2003160299A (ja) 重量物搭載用治具
JP2019122203A (ja) 制御盤組立装置
JP2004015029A (ja) 位置決め機構、並びにこれを具えた装置及び自動化システム
JP2006312401A (ja) 車両用パネル部材の起立装置と、車両用パネル部材を起立させる方法
JP6051003B2 (ja) 荷役物運搬機の力点周辺機構
IT202100017570A1 (it) Apparato robotico per eseguire operazioni di manutenzione su un componente elettronico
JP2018176307A (ja) ロボット用架台、ロボットシステム、および、高さ調整方法
TWM460747U (zh) 拋光裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210630

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210720

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210819

R150 Certificate of patent or registration of utility model

Ref document number: 6933475

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250