JP6542761B2 - 混合研磨材の研磨組成物 - Google Patents

混合研磨材の研磨組成物 Download PDF

Info

Publication number
JP6542761B2
JP6542761B2 JP2016521703A JP2016521703A JP6542761B2 JP 6542761 B2 JP6542761 B2 JP 6542761B2 JP 2016521703 A JP2016521703 A JP 2016521703A JP 2016521703 A JP2016521703 A JP 2016521703A JP 6542761 B2 JP6542761 B2 JP 6542761B2
Authority
JP
Japan
Prior art keywords
polishing composition
particles
chemical mechanical
abrasive particles
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016521703A
Other languages
English (en)
Other versions
JP2016538359A (ja
JP2016538359A5 (ja
Inventor
ライス ブライアン
ライス ブライアン
ナラスコウスキー ヤクブ
ナラスコウスキー ヤクブ
ラム ビエット
ラム ビエット
ジア レンヘ
ジア レンヘ
ディザード ジェフリー
ディザード ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of JP2016538359A publication Critical patent/JP2016538359A/ja
Publication of JP2016538359A5 publication Critical patent/JP2016538359A5/ja
Application granted granted Critical
Publication of JP6542761B2 publication Critical patent/JP6542761B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02013Grinding, lapping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

集積回路及び他の電子デバイスの製造においては、導電材料、半導体材料、及び誘電材料の複数の層が、基材の表面に堆積されるか又は基材の表面から除去される。これらの材料の層が連続的に基材に堆積されそして基材から除去されると、基材の最上面が非平面的になることがあり、平坦化が要求されることがある。表面の平坦化又は表面の「研磨」は、材料を基材の表面から除去して、一般的に均一で平坦な表面を形成するプロセスである。平坦化は、例えば粗面、凝集した材料、結晶格子の損傷、スクラッチ及び汚染された層又は材料のような、望ましくない表面のトポグラフィ及び表面欠陥の除去において有用である。平坦化はまた、特徴部を満たしそして次のレベルのメタライゼーション及び処理のための均一な表面を提供するのに使用される過剰に堆積した材料を除去することで、基材上に特徴部を形成する場合にも有用である。
基材の表面を平坦化又は研磨するための組成物及び方法が、当技術分野においてよく知られている。化学機械平坦化又は化学機械研磨(CMP)は、基材を平坦化するために使用される一般的な技術である。CMPは、基材から材料を選択的に除去するための、CMP組成物又はより単純には研磨組成物(研磨スラリーとも称される)として知られる化学組成物を利用する。研磨組成物は、典型的には、基材の表面を研磨組成物で満たされた研磨パッド(例えば、研磨布又は研磨ディスク)に接触させることにより基材に適用される。基材の研磨は、典型的に、研磨組成物の化学的作用及び/又は研磨組成物中に懸濁された研磨剤若しくは研磨パッドに組み込まれた研磨剤(例えば、固定砥粒研磨パッド)の機械的作用によりさらに助けられる。
集積回路のサイズが縮小され、チップ上の集積回路の数が増加するにつれ、回路を構成する部材は、典型的なチップ上の利用可能な限られたスペースに適合するために、互いにより近づいて配置されなければならない。回路間の効果的な絶縁が、最適な半導体性能を確保するために重要である。そのために、シャロートレンチが半導体基材にエッチングされ、集積回路の活性領域を絶縁するために絶縁材料で満たされる。より具体的には、シャロートレンチアイソレーション(STI)は、窒化ケイ素の層をシリコン基材上に形成して、シャロートレンチをエッチング又はフォトリソグラフィーにより形成し、そして誘電層を堆積して当該トレンチを充填するプロセスである。このようにして形成されるトレンチの深さは様々であるため、全てのトレンチを完全に充填することを確実にするためには、基材の上部に過剰な誘電材料を堆積することが典型的に必要である。誘電材料(例えば、酸化ケイ素)は、下地の基材のトポグラフィ−に従う。したがって、基材の表面はトレンチ間を覆っている酸化物の隆起した領域により特徴づけられ、これはパターン酸化物と称される。そのパターン酸化物は、トレンチの外にある過剰な酸化物誘電材料の段差により特徴づけられる。その過剰な誘電材料が、典型的にCMPプロセスにより除去され、それは更なる処理のための平坦な表面を追加的に提供する。パターン酸化物がすり減らされそして表面の平坦化が達成されると、この場合、酸化物の層はブランケット酸化物と称される。
研磨組成物は、その研磨速度(すなわち、除去速度)及びその平坦化効率によって特徴づけることができる。研磨速度とは、基材の表面から材料を除去する速度を言うものであり、通常、単位時間あたりの長さ(厚さ)の単位(例えば、オングストローム(Å)/分)で表現される。平坦化効率は、基材から除去された材料の量に対する段差の減少と関連する。具体的には、平坦な表面を形成するためには、まず研磨表面が表面の「高い点」に接触して材料を除去しなければならない。より少ない材料の除去で平坦な表面の達成をもたらすプロセスは、平坦化を達成するためにより多くの材料の除去が要求されるプロセスに比べ、より効率的であるとみなされる。
しばしば、シリコン酸化物パターンの除去速度は、STIプロセスにおいて誘電体の研磨工程の律速になることがあり、それゆえ、高い除去速度がデバイスのスループットを増加させるのに要求される。しかしながら、ブランケットの除去速度が速すぎる場合は、露出したトレンチ内の酸化物の過剰研磨が、トレンチのエロージョン及びデバイスの欠陥の増加をもたらす。
トレンチ内の酸化ケイ素の過剰なエロージョンなしに、有用な研磨速度及び望ましい平坦化効率を提供する、酸化ケイ素を含有する基材の化学機械研磨のための組成物及び方法に対するニーズが存在する。本発明は、このような研磨組成物及び方法を提供するものである。本発明のこれら及び他の利点、並びに追加の発明の特徴は、本明細書において提供される発明の説明から明らかになるであろう。
本発明は、化学機械研磨組成物であって、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第2の研磨粒子と、(c)官能化ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせと、(d)pH調整剤と、(e)水性キャリヤーとを含み、多峰性の粒度分布を示し、pHが3.5〜9である、化学機械研磨組成物を提供する。
本発明はまた、化学機械研磨組成物であって、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第2の研磨粒子と、(c)ポリエチレングリコール(PEG)、ポリビニルアルコール、ポリ(ヒドロキシエチルメタクリレート)、ポリ(ヒドロキシエチルメタクリレート)のコポリマー、セルロース、カチオン性デンドリマー、メタクリロイルオキシエチルトリメチルアンモニウムのモノマー若しくはホモポリマー、又はそれらの組み合わせから選択されるポリマー添加物と、(d)pH調整剤と、(e)水性キャリヤーとを含み、多峰性の粒度分布を示し、pHが6〜9である、化学機械研磨組成物を提供する。
本発明は、(i)酸化ケイ素の層のような基材を提供する工程、(ii)研磨パッドを提供する工程、(iii)化学機械研磨組成物であって、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第2の研磨粒子と、(c)官能化ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせと、(d)pH調整剤と、(e)水性キャリヤーとを含み、多峰性の粒度分布を示し、pHが3.5〜9である化学機械研磨組成物を提供する工程、(iv)前記基材を前記研磨パッド及び前記化学機械研磨組成物に接触させる工程、並びに(v)前記基材、例えば基材の表面の酸化ケイ素の層に対して、前記研磨パッド及び前記化学機械研磨組成物を動かし、前記基材の少なくとも一部をすり減らして、前記基材を研磨する工程を含む、基材の研磨方法をさらに提供する。
本発明は、(i)酸化ケイ素の層のような基材を提供する工程、(ii)研磨パッドを提供する工程、(iii)化学機械研磨組成物であって、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第2の研磨粒子と、(c)ポリエチレングリコール(PEG)、ポリビニルアルコール、ポリ(ヒドロキシエチルメタクリレート)、ポリ(ヒドロキシエチルメタクリレート)のコポリマー、セルロース、カチオン性デンドリマー、メタクリロイルオキシエチルトリメチルアンモニウムのモノマー若しくはホモポリマー、又はそれらの組み合わせから選択されるポリマー添加物と、(d)pH調整剤と、(e)水性キャリヤーとを含み、多峰性の粒度分布を示し、pHが6〜9である、化学機械研磨組成物を提供する工程、(iv)前記基材を前記研磨パッド及び前記化学機械研磨組成物に接触させる工程、並びに(v)前記基材、例えば基材の表面の酸化ケイ素の層に対して、前記研磨パッド及び前記化学機械研磨組成物を動かし、前記基材表面の酸化ケイ素の層の少なくとも一部をすり減らして、前記基材を研磨する工程を含む基材の研磨方法をさらに提供する。
本発明は、化学機械研磨組成物を提供する。1つの実施形態では、研磨組成物は、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第2の研磨粒子と、(c)官能化ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせと、(d)pH調整剤と、(e)水性キャリヤーとを含むことができ、それらから本質的になることができ、又はそれらからなることができ、その研磨組成物は多峰性の粒度分布を示し、pHが3.5〜9である。
別の実施形態では、研磨組成物は、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第2の研磨粒子と、(c)ポリエチレングリコール(PEG)、ポリビニルアルコール、ポリ(ヒドロキシエチルメタクリレート)、ポリ(ヒドロキシエチルメタクリレート)のコポリマー、セルロース、カチオン性デンドリマー、メタクリロイルオキシエチルトリメチルアンモニウムのモノマー若しくはホモポリマー、又はそれらの組み合わせから選択されるポリマー添加物と、(d)pH調整剤と、(e)水性キャリヤーとを含むことができ、それらから本質的になることができ、又はそれらからなることができ、その研磨組成物は多峰性の粒度分布を示し、pHが6〜9である。
研磨組成物は、第1の研磨粒子を含む。第1の研磨粒子は任意の適切な研磨粒子であることができる。好ましくは、第1の研磨粒子はセリア(例えば、酸化セリア)粒子である。第1の研磨粒子は任意の適切な種類のセリア粒子、例えばヒュームドセリア粒子、焼成セリア粒子、又は湿式セリア粒子(例えば、コロイダルセリア粒子を含む沈降したセリア粒子又は縮合重合したセリア粒子)であることができる。好ましくは、第1の研磨粒子は、湿式セリア粒子又は焼成セリア粒子である。より好ましくは、第1の研磨粒子は、湿式セリア粒子である。
第1の研磨粒子は、任意の適切な平均粒子サイズを有することができる。粒子の粒子サイズは、その粒子を取り囲む最小の球体の直径である。第1の研磨粒子の粒子サイズは任意の適切な技術、例えば、レーザー回折技術を用いて測定することができる。適切な粒子サイズの測定装置は商業的に入手可能であり、例えば、Malvern Instruments(Malvern,UK)から商業的に入手可能である。第1の研磨粒子は、30nm以上、例えば35nm以上、40nm以上、45nm以上、50nm以上、55nm以上、60nm以上、65nm以上、又は70nm以上の平均粒子サイズを有することができる。あるいはまた、又はそれに加えて、第1の研磨粒子は、1μm以下、例えば900nm以下、800nm以下、750nm以下、500nm以下、250nm以下、100nm以下、75nm以下、又は70nm以下の平均粒子サイズを有することができる。したがって、第1の研磨粒子は、前述した端点のうちいずれか2つにより規定される範囲内の平均粒子サイズを有することができる。例えば、第1の研磨粒子は、30nm〜1μm、例えば、30nm〜750nm、30nm〜500nm、30nm〜250nm、30nm〜100nm、30nm〜75nm、50nm〜500nm、50nm〜100nm、50nm〜75nm、又は50nm〜70nmの平均粒子サイズを有することができる。好ましくは、第1の研磨粒子は、50nm〜70nmの平均粒子サイズ、例えば50nmの平均粒子サイズ、55nmの平均粒子サイズ、60nmの平均粒子サイズ、65nmの平均粒子サイズ、又は70nmの平均粒子サイズを有する。
第1の研磨粒子は、任意の適切な濃度で研磨組成物中に存在することができる。例えば、第1の研磨粒子は0.005wt%以上、例えば0.01wt%以上、0.015wt%以上、0.02wt%以上、0.025wt%以上、0.03wt%以上、0.035wt%以上、0.04wt%以上、0.05wt%以上、0.075wt%以上、0.1wt%以上、0.15wt%以上、0.2wt%以上、0.25wt%以上、0.5wt%以上、又は0.75wt%以上の濃度で研磨組成物中に存在することができる。あるいはまた、又はそれに加えて、第1の研磨粒子は、2wt%以下、例えば1.75wt%以下、1.6wt%以下、1.5wt%以下、1.4wt%以下、1.3wt%以下、1.25wt%以下、1.2wt%以下、1.15wt%以下、1wt%以下、0.75wt%以下、0.5wt%以下、0.25wt%以下、又は0.05wt%以下の濃度で研磨組成物中に存在することができる。したがって、第1の研磨粒子は、前述した端点のうちいずれか2つにより規定される範囲内の濃度で研磨組成物中に存在することができる。好ましくは、第1の研磨粒子は0.005〜2wt%、例えば0.01〜1wt%、0.01〜0.75wt%、0.01〜0.5wt%、0.01〜0.25wt%、又は0.01〜0.05wt%の濃度で研磨組成物中に存在する。例えば、第1の研磨粒子は、0.025wt%、0.05wt%、0.075wt%、0.1wt%、0.15wt%、0.2wt%、又は0.25wt%の濃度で研磨組成物中に存在することができる。
研磨組成物はまた、第2の研磨粒子を含む。第2の研磨粒子は任意の適切な研磨粒子であることができる。好ましくは、第2の研磨粒子は、金属酸化物粒子又は有機粒子である。例えば、第2の研磨粒子は金属酸化物、例えば、セリア(例えば、酸化セリウム)、シリカ(例えば、二酸化ケイ素)、アルミナ(例えば、酸化アルミニウム)、ジルコニア(例えば、酸化ジルコニウム)、チタニア(例えば、二酸化チタン)、酸化ニッケル、それらの共成形体、又はそれらの組み合わせであることができる。金属酸化物粒子は、任意の適切な種類の金属酸化物粒子、例えば、ヒュームド金属酸化物粒子、焼成金属酸化物粒子、又は湿式金属酸化物粒子(例えば、コロイダル金属酸化物粒子を含む沈降した金属酸化物粒子又は縮合重合した金属酸化物粒子)であることができる。好ましくは、第2の研磨粒子が金属酸化物である場合、第2の研磨粒子は、湿式セリア粒子、焼成セリア粒子、又はコロイダルシリカ粒子である。
第2の研磨粒子は、任意の適切な有機粒子であることができる。例えば、第2の研磨粒子は、ゼラチン、ラテックス、セルロース、ポリスチレン、又はポリアクリレートであることができる。好ましくは、第2の研磨粒子が有機粒子である場合、第2の研磨粒子はゼラチン粒子である。
第2の研磨粒子は、表面が修飾された研磨粒子であることができる。例えば、第2の研磨粒子は表面が修飾された粒子、例えば、表面が修飾されたシリカ粒子、又は表面が修飾されたゼラチン粒子を含む表面が修飾された有機粒子であることができる。第2の研磨粒子は任意の表面電荷を有することができる。例えば、第2の研磨粒子はカチオン性の粒子であることができる。「カチオン性」とは、第2の研磨粒子が、研磨組成物のpHにおいて正の表面電荷を有することを意味する。好ましくは、第2の研磨粒子がカチオン性の粒子である場合、第2の研磨粒子はカチオン性のシリカ粒子又はカチオン性のゼラチン粒子である。
第2の研磨粒子は、任意の適切な平均粒子サイズを有することができる。粒子の粒子サイズは、その粒子を取り囲む最小の球体の直径である。第2の研磨粒子の粒子サイズは任意の適切な技術、例えば、レーザー回折技術を用いて測定することができる。適切な粒子サイズの測定装置は商業的に入手可能であり、例えば、Malvern Instruments(Malvern,UK)から商業的に入手可能である。第2の研磨粒子は、1nm以上、例えば2nm以上、3nm以上、4nm以上、5nm以上、6nm以上、7nm以上、8nm以上、9nm以上、10nm以上、15nm以上、20nm以上、25nm以上、又は30nm以上の平均粒子サイズを有することができる。あるいはまた、又はそれに加えて、第2の研磨粒子は、60nm以下、例えば55nm以下、50nm以下、45nm以下、40nm以下、35nm以下、30nm以下、25nm以下、20nm以下、15nm以下、10nm以下、又は5nm以下の平均粒子サイズを有することができる。したがって、第2の研磨粒子は、前述した端点のうちいずれか2つにより規定される範囲内の平均粒子サイズを有することができる。例えば、第2の研磨粒子は、1nm〜60nm、例えば、1nm〜50nm、1nm〜40nm、1nm〜30nm、1nm〜25nm、1nm〜20nm、1nm〜10nm、1nm〜5nm、5nm〜40nm、5nm〜30nm、5nm〜20nm、又は5nm〜10nmの平均粒子サイズを有することができる。好ましくは、第2の研磨粒子は、10nm〜40nmの平均粒子サイズ、例えば10nmの平均粒子サイズ、15nmの平均粒子サイズ、20nmの平均粒子サイズ、25nmの平均粒子サイズ、30nmの平均粒子サイズ、又は35nmの平均粒子サイズを有する。あるいはまた、第2の研磨粒子は60nmの平均粒子サイズを有することができる。
第2の研磨粒子は、任意の適切な濃度で研磨組成物中に存在することができる。例えば、第2の研磨粒子は0.005wt%以上、例えば0.01wt%以上、0.015wt%以上、0.02wt%以上、0.025wt%以上、0.03wt%以上、0.035wt%以上、0.04wt%以上、0.05wt%以上、0.075wt%以上、0.1wt%以上、0.15wt%以上、0.2wt%以上、0.25wt%以上、0.5wt%以上、又は0.75wt%以上の濃度で研磨組成物中に存在することができる。あるいはまた、又はそれに加えて、第2の研磨粒子は2wt%以下、例えば1.75wt%以下、1.6wt%以下、1.5wt%以下、1.4wt%以下、1.3wt%以下、1.25wt%以下、1.2wt%以下、1.15wt%以下、1wt%以下、0.75wt%以下、0.5wt%以下、0.25wt%以下、又は0.05wt%以下の濃度で研磨組成物中に存在することができる。したがって、第2の研磨粒子は、前述した端点のうちいずれか2つにより規定される範囲内の濃度で研磨組成物中に存在することができる。好ましくは、第2の研磨粒子は0.005〜2wt%、例えば0.01〜1wt%、0.01〜0.75wt%、0.01〜0.5wt%、0.01〜0.25wt%、又は0.01〜0.05wt%の濃度で研磨組成物中に存在する。例えば、第2の研磨粒子は、0.025wt%、0.05wt%、0.075wt%、0.1wt%、0.15wt%、0.2wt%、又は0.25wt%の濃度で研磨組成物中に存在することができる。
研磨組成物は、任意選択で、追加の研磨粒子(例えば、第3の研磨粒子、第4の研磨粒子、第5の研磨粒子など)を含有してもよい。追加の研磨粒子は、任意の適切な研磨粒子であることができる。例えば、追加の研磨粒子は、セリア(例えば、酸化セリウム)、ジルコニア(例えば、酸化ジルコニウム)、シリカ(例えば、二酸化ケイ素)、アルミナ(例えば、酸化アルミニウム)、チタニア(例えば、二酸化チタン)、ゲルマニア(例えば、二酸化ゲルマニウム、酸化ゲルマニウム)、マグネシア(例えば、酸化マグネシウム)、酸化ニッケル、それらの共成形体、又はそれらの組み合わせの金属酸化物研磨粒子であることができる。あるいはまた、追加の研磨粒子は、ゼラチン、ラテックス、セルロース、ポリスチレン、又はポリアクリレートの有機粒子であることができる。
追加の研磨粒子は、任意の適切な粒子サイズを有することができる。粒子の粒子サイズは、その粒子を取り囲む最小の球体の直径である。追加の研磨粒子の粒子サイズは任意の適切な技術、例えば、レーザー回折技術を用いて測定することができる。適切な粒子サイズの測定装置は商業的に入手可能であり、例えば、Malvern Instruments(Malvern,UK)から商業的に入手可能である。例えば、追加の研磨粒子は、1nm〜1μm、例えば、1nm〜500nm、1nm〜300nm、1nm〜150nm、1nm〜100nm、1nm〜50nm、1nm〜30nm、30nm〜1μm、30nm〜500nm、30nm〜250nm、30nm〜100nm、50nm〜100nm、50nm〜250nm、又は50nm〜500nmの平均粒子サイズを有することができる。
追加の研磨粒子は、任意の適切な濃度で研磨組成物中に存在することができる。例えば、追加の研磨粒子(すなわち、第3の研磨粒子、第4の研磨粒子、第5の研磨粒子など全体)が、0.005〜2wt%、例えば0.01〜1wt%、0.01〜0.5wt%、0.01〜0.25wt%、又は0.01〜0.05wt%の濃度で研磨組成物中に存在することができる。
望ましくは、研磨組成物は低含有量の研磨粒子(すなわち、低固形分)を含有する。低固形分により、研磨されるべき基材の有用な除去速度が望ましくは可能となり、高い(例えば、5wt%の固形分より多い)研磨レベルで測定される基材の他の成分の欠陥と過剰な除去速度を最小化することが可能となる。したがって、研磨組成物は、望ましくは、0.01〜5wt%、例えば、0.05〜4wt%、0.075〜3wt%、又は0.1〜2wt%の結合(全体)濃度で、第1の研磨粒子、第2の研磨粒子、及び任意の追加の研磨粒子を含む。好ましくは、研磨組成物は、第1の研磨粒子及び第2の研磨粒子を含み、追加の粒子を含まない。
第1の研磨粒子及び第2の研磨粒子は、任意の適切な比で研磨組成物中に存在することができる。第1の研磨粒子と第2の研磨粒子の比は、質量(濃度)基準で決定される。特に、その比は、研磨組成物中に存在する第2の研磨粒子の質量比と比較した研磨組成物中に存在する第1の研磨粒子の質量比を示す。研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物中に存在する第2の研磨粒子の濃度の比は、1:10以上、例えば、1:5以上、1:3以上、1:2以上、又は1:1以上であることができる。あるいはまた、又はそれに加えて、研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物中に存在する第2の研磨粒子の濃度の比は、30:1以下、例えば、20:1以下、15:1以下、10:1以下、5:1以下、2:1以下、1.5:1以下、1:1以下、又は1:5以下であることができる。したがって、研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物中に存在する第2の研磨粒子の濃度の比は、前述した端点のうちいずれか2つにより規定される範囲内であることができる。例えば、研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物に存在する第2の研磨粒子の濃度の比は、1:10〜1:1、1:10〜1:5、1:10〜1:3、1:5〜1:1、1:3〜1:1、1:5〜1:3、1:1〜30:1、1:1〜20:1、1:1〜15:1、1:1〜10:1、1:1〜5:1、1:1〜2:1、又は1:1〜1.5:1であることができる。
第2の研磨粒子がセリカ粒子であり、かつ、第2の研磨粒子が20nm〜40nmの粒子サイズを有する場合、研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物中に存在する第2の研磨粒子の濃度の比は、好ましくは1:1〜5:1、より好ましくは1:1〜1.5:1である。
第2の研磨粒子がセリカ粒子であり、かつ、第2の研磨粒子が1nm〜15nmの粒子サイズを有する場合、研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物中に存在する第2の研磨粒子の濃度の比は、好ましくは1:1〜10:1、より好ましくは3:1〜6:1、最も好ましくは5:1である。
第2の研磨粒子がシリカ粒子(例えば、表面が修飾されたシリカ粒子又はカチオン性のシリカ粒子)である場合、研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物中に存在する第2の研磨粒子の濃度の比は、好ましくは1:1〜15:1、より好ましくは10:1である。
第2の研磨粒子が有機粒子(例えば、ゼラチン、ラテックス、セルロース、ポリスチレン、又はポリアクリレート粒子)である場合、研磨組成物中に存在する第1の研磨粒子の濃度と、研磨組成物中に存在する第2の研磨粒子の濃度の比が、好ましくは1:1〜30:1、より好ましくは20:1である。
第1の研磨粒子、第2の研磨粒子、及び任意の追加の研磨粒子は、望ましくは研磨組成物中に、より具体的には研磨組成物の水性キャリヤー中に懸濁される。第1、第2、及び追加の研磨粒子が研磨組成物中に懸濁される場合、第1、第2、及び追加の研磨粒子は好ましくはコロイド状で安定である。コロイドという用語は、水性キャリヤー中における研磨粒子の懸濁を言うものである。コロイド安定性とは、時間を通しての懸濁の維持を言うものである。本発明の範囲内で、研磨粒子を100mlのメスシリンダーの中に入れて、2時間撹拌しないまま放置したときに、メスシリンダーの下部50mlの粒子濃度([B]、単位はg/ml)とメスシリンダーの上部50mlの粒子濃度([T]、単位はg/ml)の差を、研磨組成物中の粒子の初期濃度([C]、単位はg/ml)で除した値が0.5以下(すなわち、{[B]−[T]}/[C]≦0.5)である場合、研磨粒子はコロイド状で安定であるとみなされる。[B]−[T]/[C]の値は、望ましくは0.3以下であり、好ましくは0.1以下である。
研磨組成物は、多峰性の粒度分布を示す。本明細書で用いられる場合には「多峰性」という用語は、研磨組成物が少なくとも2つの極大値(例えば、2以上の極大値、3以上の極大値、4以上の極大値、又は5以上の極大値)を有する平均粒度分布を示すことを意味する。好ましくは、研磨組成物は二峰性の粒度分布、すなわち、研磨組成物が2つの平均粒子サイズの極大値を有する粒度分布を示す。「1つの極大値」及び「複数の極大値」という用語は、粒度分布における1つのピーク又は複数のピークを意味する。その1つのピーク又は複数のピークは、本明細書に記載された第1、第2、及び任意の追加の研磨粒子の平均粒子サイズに対応する。したがって、例えば、研磨組成物が第1の研磨粒子と第2の研磨粒子を含み、追加の研磨粒子を含まない場合、粒子サイズに対する粒子数のプロットは、30nm〜1μmの粒子サイズ範囲の第1ピークと1〜60nmの粒子サイズ範囲の第2ピークを持つ、二峰性の粒度分布を反映する。
研磨組成物は任意の適切なpHを有することができる。典型的には、研磨組成物は3以上のpHを有する。また、研磨組成物のpHは典型的に9以下である。例えば、そのpHは3.5〜9、例えばpH3.5、pH4、pH4.5、pH5、pH5.5、pH6、pH7、pH8、pH9、又はこれらのpH値の任意の2つにより画定される範囲内のpHであることができる。あるいはまた、そのpHは6〜9、例えばpH6.5、pH7、pH7.5、pH8、pH8.5、pH9、又はこれらのpH値の任意の2つにより画定される範囲内のpHであることができる。
研磨組成物のpHは、任意の適切な手段により達成され及び/又は維持することができる。より具体的には、研磨組成物は、pH調整剤、pH緩衝剤、又はそれらの組み合わせをさらに含むことができる。望ましくは、研磨組成物はpH調整剤を含む。pH調整剤は、任意の適切なpH調整剤であることができる。例えば、pH調整剤は、アルキルアミン、アルコールアミン、第四級水酸化アミン、アンモニア、又はそれらの組み合わせであることができる。例えば、pH調整剤は、トリエタノールアミン、テトラメチル水酸化アンモニウム(TMAH若しくはTMA−OH)、又はテトラエチル水酸化アンモニウム(TEAH若しくはTEA−OH)であることができる。好ましくは、pH調整剤は、トリエタノールアミンである。
pH調整剤は、任意の適切な濃度で研磨組成物中に存在することができる。望ましくは、pH調整剤は、本明細書に述べられるpH範囲内、例えば、3〜9、3.5〜9、又は6〜9の範囲で、研磨組成物のpHを達成し及び/又は維持するために十分な濃度で研磨組成物中に存在する。例えば、pH調整剤は10〜300ppm、例えば、50〜200ppm、又は100〜150ppmの濃度で研磨組成物中に存在することができる。
pH緩衝剤は、任意の適切な緩衝剤であることができる。例えば、pH緩衝剤は、リン酸塩、硫酸塩、酢酸塩、ホウ酸塩、アンモニウム塩などであることができる。研磨組成物は任意の適切な濃度のpH緩衝剤を含むことができ、ただし、その適切な濃度は本明細書に述べられるpH範囲内、例えば、3〜9、3.5〜9、又は6〜9範囲で、研磨組成物のpHを達成又は/及び維持するために使用される。
研磨組成物は、水性キャリヤーを含む。水性キャリヤーは、水(例えば、非イオン水)を含有し、1つ又は複数の水溶性の有機溶剤を含有してもよい。使用することができる有機溶剤の例は、アルコール、例えばプロペニルアルコール、イソプロピルアルコール、エタノール、1−プロパノール、メタノール、1−ヘキサノールなど、アルデヒド、例えばアセチルアルデヒドなど、ケトン、例えばアセトン、ジアセトンアルコール、メチルエチルケトンなど、エステル、例えば、ギ酸エチル、ギ酸プロピル、酢酸エチル、酢酸メチル、乳酸メチル、乳酸ブチル、乳酸エチルなど、スルフォキシドを含むエーテル、例えばジメチルスルフォキシド(DMSO)、テトラヒドロフラン、ジオキサン、ジグリムなど、アミド、例えばN,N−ジメチルホルムアミド、ジメチルイミダゾリジノン、N−メチルピロリドンなど、多価アルコールとその同じ誘導体、例えばエチレングリコール、グリセリン、ジエチレングリコール、ジエチレングリコールモノメチルエーテルなど、及び窒素を含有する有機混合物、例えばアセトニトリル、アミルアミン、イソプロピルアミン、イミダゾール、ジメチルアミンなどを含む。好ましくは、水性キャリヤーは水のみ、すなわち有機溶媒の存在しない水である。
研磨組成物は、(a)官能性ピリジン、安息香酸、アミノ酸、若しくはそれらの組み合わせ、及び/又は(b)ポリマー添加物を、さらに含む。
官能性ピリジン、安息香酸、又はアミノ酸は、任意の適切な官能性ピリジン、安息香酸、又はアミノ酸であることができる。例えば、研磨組成物は官能性ピリジン、例えばピコリン酸、若しくはピコリルアミン、安息香酸、例えば4−ヒドロキシ安息香酸、2,3−ジヒドロキシ安息香酸、2,4−ジヒドロキシ安息香酸、2,4,6−トリヒドロキシ安息香酸、サリチル酸、若しくは4−アミノサリチル酸、アミノ酸、例えば、プロリン、グルタミン酸、若しくはアスパラギン酸、又はそれらの任意の組み合わせを含むことができる。好ましくは、官能性ピリジン、安息香酸、又はアミノ酸はピコリン酸である。
官能性ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせは、任意の適切な濃度で研磨組成物中に存在することができる。例えば、官能性ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせは2000ppm以下、例えば1750ppm以下、1500ppm以下、1250ppm以下、1000ppm以下、750ppm以下、500ppm以下、400ppm以下、又は300ppm以下の濃度で研磨組成物中に存在することができる。あるいはまた、又はそれに加えて、官能性ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせは、50ppm以上、例えば60ppm以上、70ppm以上、75ppm以上、85ppm以上、100ppm以上、115ppm以上、125ppm以上、150ppm以上、175ppm以上、200ppm以上、225ppm以上、又は250ppm以上の濃度で研磨組成物中に存在することができる。したがって、官能性ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせは、前述した端点のうちいずれか2つにより規定される範囲内の濃度で研磨組成物中に存在することができる。好ましくは、官能性ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせは、50〜2000ppm、例えば50〜1500ppm、100〜1000ppm、100〜750ppm、100〜500ppm、250〜500ppm、又は250〜750ppmの濃度で研磨組成物中に存在する。
ポリマー添加物は、任意の適切なポリマー添加物であることができる。例えば、ポリマー添加物は、ポリエチレングリコール(PEG)、例えば官能化PEG若しくは疎水的に修飾されたPEG、ポリビニルアルコール、ポリ(ヒドロキシエチルメタクリレート)、ポリ(ヒドロキシエチルメタクリレート)のコポリマー、セルロース、例えばヒドロキシプロピルセルロース若しくはヒドロキシエチルセルロース、カチオン性デンドリマー、メタクロイルオキシエチルトリメチルアンモニウムのモノマー若しくはホモポリマー、又はそれらの組み合わせであることができる。望ましくは、ポリマー添加物は、PEG8000又はメタクロイルオキシエチルトリメチル塩化アンモニウム(例えば、ポリMADQUAT)のホモポリマーである。
研磨組成物は、任意の適切な濃度の1つ又は複数のポリマー添加物を含むことができる。好ましくは、研磨組成物は、約5〜2000ppmの1つ又は複数のポリマー添加物を含む。例えば、1つ又は複数のポリマー添加物は10〜2000ppm、例えば15〜1750ppm、20〜1500ppm、又は20〜1000ppmの濃度で研磨組成物中に存在することができる。
研磨組成物は、任意選択で、1つ又は複数の腐食抑制剤(すなわち、皮膜形成材)をさらに含む。腐食抑制剤は、基材の任意の1つ又は複数の成分に対する任意の適切な腐食抑制剤であることができる。本発明の目的のために、腐食抑制剤は、研磨されるべき基材の少なくとも一部の不活性化層(すなわち、溶解抑制層)の形成を容易にする任意の組成物又は組成物の混合物である。適切な腐食抑制剤は、限定されないが、リジン並びに、ベンゾトリアゾール(BTA)、メチルベンゾトリアゾール(m−BTA)、及び1,2,4−トリアゾール(TAZ)のようなアゾール混合物を含む。好ましくは、腐食抑制剤が研磨組成物中に含まれる場合は、腐食抑制剤はBTA又はリジンである。
研磨組成物は、任意の適切な濃度の1つ又は複数の腐食抑制剤を含むことができる。一般的に、研磨組成物は0.005〜1wt%(例えば、0.01〜0.5wt%又は0.02〜0.2wt%)の1つ又は複数の腐食抑制剤を含む。
研磨組成物は、任意選択で、1つ又は複数の他の添加物をさらに含む。研磨組成物は、粘度増強剤及び凝固剤(例えば、ウレタンポリマーのような重合体レオロジー調整剤)を含む界面活性剤及び/又はレオロジー調整剤、分散剤、殺生物剤(例えば、KATHON(商標)LX)などを含むことができる。適切な界面活性剤は、例えば、カチオン性界面活性剤、アニオン性界面活性剤、アニオン性高分子電解質、非イオン性の界面活性剤、両性の界面活性剤、フッ素化界面活性剤、それらの混合物などを含む。
研磨組成物は、任意の適切な技術により調製することができ、その多くが当業者に知られている。研磨組成物は、バッチ法か又は連続法で調製することができる。一般的に、研磨組成物は、任意の順序でこれらの成分を混合することで調製することができる。本明細書で用いられる「成分」という用語は、個々の成分(例えば、第1の粒子、第2の粒子、官能化ピリジン、安息香酸又はアミノ酸、ポリマー添加物、pH調整剤など)、並びに任意の組み合わせの成分(例えば、第1の粒子、第2の粒子、官能性ピリジン、安息香酸又はアミノ酸、ポリマー添加物、pH調整剤など)を含む。
例えば、官能化ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせを、要求された濃度で水に加えることができる。次いで、pHを(必要に応じて)3.5〜9となるように調整し、第1及び第2の研磨粒子をその混合物に要求された濃度で加え、研磨組成物を生成することができる。あるいはまた、ポリマー添加物を要求された濃度で水に加え、次いで、pHを(必要に応じて)6〜9となるように調整し、そして第1及び第2の研磨粒子をその混合物に要求された濃度で加えて、研磨組成物を生成することができる。研磨組成物は、使用する直前(例えば、使用前1分以内、使用前1時間以内、又は使用前7日以内)に研磨組成物に添加された1つ又は複数の成分と共に、使用前に調製することができる。研磨組成物はまた、研磨操作の際に基材の表面で成分を混合することで製造することができる。
研磨組成物はまた、使用前に適切な量の水性キャリヤー、特に水で薄めることを意図した濃縮物として供給することができる。そのような実施形態において、研磨組成物の濃縮物は、適切な量の水でその濃縮物を希釈した際に研磨組成物の各成分が上記の各成分の適切な範囲内の量で研磨組成物中に存在するような量で、第1及び第2の研磨粒子、官能化ピリジン、安息香酸、アミノ酸、又はその組み合わせ、pH調整剤並びに水を含むことができる。あるいはまた、研磨組成物の濃縮物は、適切な量の水でその濃縮物を希釈した際に研磨組成物の各成分が上記の各成分の適切な範囲内の量で研磨組成物中に存在するような量で、第1及び第2の研磨粒子、ポリマー添加物、pH調整剤並びに水を含むことができる。さらに、当業者によって理解されるように、他の成分を少なくとも部分的に又は完全に濃縮物に溶解することを確実にするために、濃縮物が最終的な研磨組成物中に存在する適切な少量の水を含有することができる。
研磨組成物は、使用の十分前又は使用の直前でさえ調製することができるが、研磨組成物は使用場所で又はその近くで研磨組成物の成分を混合することにより製造することもできる。本明細書で用いられる場合には、「使用場所」という用語は、研磨組成物が基材表面(例えば、研磨パッド又は基材表面自体)に適用される場所を指す。研磨組成物が使用場所での混合を用いて製造される場合、その研磨組成物の成分は2以上の貯蔵デバイス中に別々に貯蔵される。
使用場所で又はその近くで貯蔵デバイス中に含まれる成分を混合して研磨組成物を製造するために、その貯蔵デバイスは、典型的には、各貯蔵デバイスから研磨組成物の使用場所(例えば、定盤、研磨パッド、又は基材表面)まで導く1本又は複数本の流路を備えている。「流路」という用語は、個々の貯蔵容器からそこに貯蔵されている成分の使用場所までの流れの経路を意味する。1本又は複数本の流路はそれぞれ、使用場所に直接通じていてもよいし又は、2本以上の流路が使用される状況では、流路の2本以上を任意の場所で結合して使用場所へ通じる1本の流路にすることもできる。さらに、1つ又は複数の成分が使用場所に到達する前に、1本又は複数本の流路のいずれか(例えば、個々の流路又は結合された流路)を、まず1つ又は複数の他のデバイス(例えばポンピングデバイス、測定デバイス、混合デバイスなど)に導くことができる。
研磨組成物の成分は、独立して使用場所に供給することができるか(例えば、成分を基材の表面に供給し、そこで成分が研磨プロセスの際に混合されるか)、又は成分は使用場所に供給する直前に組み合わせることができる。もし、成分が使用場所に到達する前10秒以内、好ましくは使用場所に到達する前5秒以内、より好ましくは使用場所に到達する前1秒以内、又は使用場所への成分の供給と同時に組み合わせられる(例えば、成分がディスペンサーで組み合わせられる)場合、成分は「使用場所への供給の直前」に組み合わせられる。もし、成分が使用場所の5m以内、例えば、使用場所の1m以内又は使用場所の10cm以内(例えば、使用場所の1cm以内)で組み合わせられる場合、成分は「使用場所への供給の直前」に組み合わせられる。
研磨組成物の2以上の成分が使用場所に到達する前に組み合わせられる場合、成分は流路内で組み合わせることもできるし、混合デバイスを使用せずに使用場所に供給することもできる。あるいはまた、2以上の成分の組み合わせを容易にするために、1本又は複数本の流路を混合デバイスまで導くことができる。任意の適切な混合デバイスを使用することができる。例えば、混合デバイスは、2つ以上の成分が流れるノズル又はジェット(例えば、高圧ノズル又はジェット)であることができる。あるいはまた、混合デバイスは、研磨組成物の2つ以上の成分をミキサーに導入する1つ又は複数の入口と、混合された成分がミキサーを出て、直接又は装置の他の要素を介して(例えば、1本又は複数本の流路を介して)使用場所に供給される少なくとも1つの出口とを備えた、容器型混合デバイスであることができる。さらに、混合デバイスは、2つ以上のチャンバーを備えることができ、各チャンバーは少なくとも1つの入口及び少なくとも1つの出口を有し、2以上の成分が各チャンバーで組み合わせられる。容器型混合デバイスが使用される場合、混合デバイスは、好ましくは、成分の組み合わせをさらに容易にする混合機構を備える。混合機構は当技術分野で一般的に知られており、スターラー、ブレンダー、撹拌機、パドルバッフル、ガススパージャシステム、振動器などを含む。
本発明はまた、本明細書に記載される研磨組成物を用いた基材の研磨方法を提供する。基材の研磨方法は、(i)基材を提供する工程、(ii)研磨パッドを提供する工程、(iii)先述した化学機械研磨組成物を提供する工程、(iv)基材を研磨パッド及び化学機械研磨組成物に接触させる工程、並びに(v)基材に対して、研磨パッド及び化学機械研磨組成物を動かし、基材の少なくとも一部をすり減らして、基材を研磨する工程を含む。
特に、本発明は、(i)基材を提供する工程、(ii)研磨パッドを提供する工程、(iii)化学機械研磨組成物であって、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第2の研磨粒子と、(c)官能化ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせと、(d)pH調整剤と、(e)水性キャリヤーとを含むことができ、それらから本質的になることができ、又はそれらからなることができ、かつ、多峰性の粒度分布を示し、pHが3.5〜9である化学機械研磨組成物を提供する工程、(iv)基材を研磨パッド及び化学機械研磨組成物に接触させる工程、並びに(v)基材に対して、研磨パッド及び化学機械研磨組成物を動かし、基材の少なくとも一部をすり減らして、基材を研磨する工程を含む基材の化学機械研磨の方法をさらに提供する。
本発明はまた、(i)基材を提供する工程、(ii)研磨パッドを提供する工程、(iii)化学機械研磨組成物であって、(a)セリア粒子であり、30nm〜1μmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第1の研磨粒子と、(b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜60nmの平均粒子サイズを有し、0.005〜2wt%の濃度で研磨組成物中に存在する、第2の研磨粒子と、(c)ポリエチレングリコール(PEG)、ポリビニルアルコール、ポリ(ヒドロキシエチルメタクリレート)、ポリ(ヒドロキシエチルメタクリレート)のコポリマー、セルロース、カチオン性デンドリマー、メタクリロイルオキシエチルトリメチルアンモニウムのモノマー若しくはホモポリマー、又はそれらの組み合わせから選択されるポリマー添加物と、(d)pH調整剤と、(e)水性キャリヤーとを含むことができ、それらから本質的になることができ、又はそれらからなることができ、かつ、多峰性の粒度分布を示し、pHが6〜9である化学機械研磨組成物を提供する工程、(iv)基材を研磨パッド及び化学機械研磨組成物に接触させる工程、並びに(v)基材に対して、研磨パッド及び化学機械研磨組成物を動かし、基材の少なくとも一部をすり減らして、基材を研磨する工程を含む基材の化学機械研磨の方法を提供する。
本発明の研磨組成物は、任意の適切な基材の研磨に対して有用である。研磨組成物は酸化ケイ素の層を含む基材の研磨に特に有用である。適切な基材は、限定されないが、フラットパネルディスプレイ、集積回路、メモリー又はリジットディスク、金属、半導体、層間誘電体(ILD)デバイス、微小電気機械システム(MEMS)、強誘電体、及び磁気ヘッドを含む。基材は、少なくとも1つの他の層、例えば絶縁層をさらに含むことができる。絶縁層は、金属酸化物、多孔性金属酸化物、ガラス、有機ポリマー、フッ素化有機ポリマー、又は任意の他の適切な高k又は低k絶縁層であることができる。絶縁層は、酸化ケイ素、窒化ケイ素、又はそれらの組み合わせを含むことができ、それらから本質的になることができ、又はそれらからなることができる。酸化ケイ素の層は、任意の適切な酸化ケイ素を含むことができ、それらから本質的になることができ、又はそれらからなることができ、その多くは当技術分野で公知である。例えば、酸化ケイ素の層は、テトラエトキシシラン(TEOS)、高密度プラズマ(HDP)酸化物、ボロフォスフォシリケートガラス(BPSG)、高アスペクト比プロセス(HARP)酸化物、スピンオン誘電体(SOD)酸化物、化学気相成長(CVD)酸化物、プラズマテトラエトキシシラン(PETEOS)、熱酸化物、又は不純物を含まないシリコン酸化物を含むことができる。基材は金属層をさらに含むことができる。金属層は、その多くは当技術分野で公知である任意の適切な金属、例えば銅、タンタル、タングステン、チタン、プラチナ、ルテニウム、イリジウム、アルミニウム、ニッケル、又はそれらの組み合わせを含むことができ、それらから本質的になることができ、又はそれらからなることができる。
本発明によれば、任意の適切な技術により本明細書に記載された研磨組成物で基材を平坦化又は研磨することができる。本発明の研磨方法は化学機械研磨(CMP)装置と共に使用することに特に適している。典型的には、CMP装置は、使用中、動いていてかつ軌道運動、直線運動又は円運動から生ずる速度を有する定盤と、この定盤と接触し定盤が動くとそれと共に動く研磨パッドと、研磨パッドの表面に対して接触し動くことにより研磨されるべき基材に保持されるキャリヤーとを含む。基材の研磨は、基材を本発明の研磨組成物及び典型的に研磨パッドと接触させて配置し、次いで基材、例えば酸化ケイ素又は本明細書に記載される1つ若しくは複数の基材材料の表面の少なくとも一部を研磨組成物及び典型的に研磨パッドですり減らして、基材を研磨することによって行われる。本発明によれば、任意の適切な研磨条件を、基材を研磨するために使用することができる。好ましくは、下向きの力、すなわち基材が研磨組成物と共に研磨パッドに接触する力は6.89kPa(1psi)〜41.37kPa(6psi)であることができ、定盤速度は15〜120rmpであることができ、ヘッド速度は10〜115rpmであることができ、及び研磨組成物の流量は100〜400mL/分であることができる。
基材を、任意の適切な研磨パッド(例えば、研磨表面)と共に化学機械研磨組成物で平坦化又は研磨することができる。適切な研磨パッドは、例えば、織物状か又は不織布の研磨パッドを含む。さらに、適切な研磨パッドは、様々な密度、硬度、厚み、圧縮性、圧縮に対する跳ね返り能力、及び圧縮弾性率を持つ、任意の適切なポリマーを含むことができる。適切なポリマーは、例えば、塩化ポリビニル、フッ化ポリビニル、ナイロン、フッ化炭素、ポリカーボネート、ポリエステル、ポリアクリレート、ポリエーテル、ポリエチレン、ポリアミド、ポリウレタン、ポリスチレン、ポリプロピレン、それらの共成形体、及びそれらの混合物を含む。
望ましくは、CMP装置は、現場研磨終点検出システムさらに含み、その多くは当技術分野で公知である。ワークピースの表面から反射する光又は他の放射線の分析により研磨プロセスを点検及び監視する技術が当技術分野で公知である。そのような方法は、例えば、米国特許第5196353号明細書、同第5433651号明細書、同第5609511号明細書、同第5643046号明細書、同第5658183号明細書、同第5730642号明細書、同第5838447号明細書、同第5872633号明細書、同第5893796号明細書、同第5949927号明細書、及び銅第5964643号明細書に記載されている。望ましくは、研磨されるべきワークピースに対して研磨プロセスの進行を点検又は監視することは、研磨終点の決定、すなわち特定のワークピースに対して研磨プロセスを終了する時期の決定を可能にする。
以下の実施例によって本発明をさらに説明するが、当然ながら、これらの実施例は何ら本発明の範囲を限定するものとして解されるべきではない。
[実施例1]
本実施例は、酸化ケイ素の除去速度、平坦化効率、ウエハ面内均一性(WIWNU)、及び段差減少に対する、第1の及び第2の研磨粒子を有し並びに多峰性の粒度分布を有する研磨組成物の有効性を実証するものである。
従来のCMP装置を用いて、テトラエトキシシラン(TEOS)及び高密度プラズマ(HDP)酸化物のブランケットウエハを、6つの研磨組成物(すなわち、研磨組成物1A〜1F)で研磨した。研磨組成物1A〜1Dのそれぞれは、表1に示された量で、60nmの平均粒子サイズを有する湿式セリア(すなわち、第1の研磨粒子)及び30nmの平均粒子サイズを有する湿式セリア(すなわち、第2の研磨粒子)を含有していた。研磨組成物1A〜1Dはまた、500ppmのピコリン酸及び水を含有し、トリエタノールアミンを用いてpH4に調整した。研磨組成物1Eは、12.5wt%のヒュームドシリカ及び水を含有し、水酸化カリウム(KOH)を用いてpH10〜11に調整した。研磨組成物1Fは、60nmの平均粒子サイズを有する0.28wt%の湿式セリア、216ppmのピコリン酸、1000ppmのポリエチレングリコール(PEG8000)及び水を含有し、テトラメチル水酸化アンモニウム(TMAH)を用いてpH4に調整した。
テトラエトキシシラン(TEOS)パターンウエハもまた、研磨組成物1A〜1Fで45秒間研磨した。TEOSパターンウエハは、50%の密度で500μmの特徴サイズを有していた。
基材を、同じ研磨条件の下で、研磨組成物1A〜1Fで研磨した。特に、基材をMirra(商標)研磨機(Applied Materials)でIC1010(商標)パッド(Dow Chemical)で研磨した。研磨パラメータは以下のとおりであり、すなわち下向きの力が20.68kPa(3psi)、定盤速度が100rmp、ヘッド速度が85rmp、研磨組成物の流量が150mL/分であった。研磨に続いて、ブランケット酸化ケイ素、具体的にはTEOS及びHDPの除去速度をÅ/分により決定し、TEOSの残段差をÅにより決定した。その結果を表1にまとめる。表1に示される除去速度及び残段差は、研磨組成物1Eの除去速度及び段差により規格化されている。
ウエハ面内均一性(WIWNU)もまた、研磨の均一性の尺度として化学機械研磨組成物について決定した。WIWNUは、除去速度の標準偏差を基材についての平均の除去速度で除して、100を掛けることにより算出した割合である。その結果を表1にまとめる。
Figure 0006542761
これらの結果は、第1の研磨粒子(すなわち、60nmの平均粒子サイズを有する湿式セリア粒子)及び第2の研磨粒子(すなわち、30nmの平均粒子サイズを有する湿式セリア粒子)を含み、かつ、多峰性の粒度分布(すなわち、60nmと30nmに極大値を有する二峰性の粒度分布)を有する研磨組成物が、酸化ケイ素の層(すなわち、TEOS及びHDP)を含む基材を研磨するのに特に効果的であることを実証するものである。特に、研磨組成物1A及び1Cは、より多くの量の研磨粒子を含有するが第2の研磨粒子を含有せず、多峰性の粒度分布を示していない研磨組成物1E及び1Fにより示されたTEOS及びHDPの除去速度よりも、高いTEOS及びHDPに関するブランケット除去速度を示した。研磨組成物1A〜1Dのそれぞれが研磨組成物1Fに比べ、TEOSにおける減少したWIWNUも示し、かつ、研磨組成物1A〜1Cが研磨組成物1Fに比べ、HDPにおける減少したWIWNUを示した。研磨組成物1A及び1Cもまた、研磨組成物1Eに比べ、TEOSにおける減少したWIWNUを示した。加えて、研磨組成物1A〜1Cが、研磨組成物1E及び1Fに比べ低い固体濃度(すなわち、より低い研磨粒子の全体濃度)を有するにも関わらず、研磨組成物1A〜1Cは、研磨中、より多くのTEOSの段差を望ましく除去した。(すなわち、研磨組成物1A〜1Cは研磨後に残っている、TEOSの段差が少なかった。)
[実施例2]
本実施例は、酸化ケイ素の除去速度、平坦化効率、ウエハ面内均一性(WIWNU)、及び段差減少に対する、第1の及び第2の研磨粒子を有し並びに多峰性の粒度分布を有する研磨組成物の有効性を実証するものである。
従来のCMP装置を用いて、テトラエトキシシラン(TEOS)及び高密度プラズマ(HDP)酸化物のブランケットウエハを、4つの研磨組成物(すなわち、研磨組成物2A〜2D)で研磨した。研磨組成物2A〜2Bのそれぞれは、表2に示された量で、90nmの平均粒子サイズを有する焼成セリア(すなわち、第1の研磨粒子)及び60nmの平均粒子サイズを有する湿式セリア(すなわち、第2の研磨粒子)を含有していた。研磨組成物2A〜2Bはまた500ppmのピコリン酸及び水を含有し、トリエタノールアミンを用いてpH4に調整した。研磨組成物2Cは、12.5wt%のヒュームドシリカ及び水を含有し、水酸化カリウム(KOH)を用いてpH10〜11に調整した。研磨組成物2Dは、60nmの平均粒子サイズを有する0.2wt%の湿式セリア、200ppmのピコリン酸、50ppmのポリエチレングリコール(PEG8000)及び水を含有し、トリエタノールアミンを用いてpH4に調整した。
高密度プラズマ(HDP)酸化物パターンウエハもまた、研磨組成物2A〜2Dで60秒間研磨した。HDPパターンウエハは、50%の密度で1800μmの特徴サイズを有していた。
基材を、同じ研磨条件の下で、研磨組成物2A〜2Dで研磨した。特に、基材をMirra(商標)研磨機(Applied Materials)でIC1010(商標)パッド(Dow Chemical)で研磨した。研磨パラメータは以下のとおりであり、すなわち下向きの力が20.68kPa(3psi)、定盤速度が100rmp、ヘッド速度が85rmp、研磨組成物の流量が150mL/分であった。研磨に続いて、ブランケット酸化ケイ素、具体的にはTEOS及びHDP除去速度をÅ/分により決定し、HDPの残段差をÅにより決定した。その結果を表2にまとめる。表2に示される除去速度及び残段差は、研磨組成物2Cの除去速度及び段差により規格化されている。
ウエハ面内均一性(WIWNU)もまた、化学機械研磨組成物に対し決定し、その結果を表2にまとめる。
Figure 0006542761
これらの結果は、第1の研磨粒子(すなわち、90nmの平均粒子サイズを有する焼成セリア粒子)及び第2の研磨粒子(すなわち、60nmの平均粒子サイズを有する湿式セリア粒子)を含み、かつ、多峰性の粒度分布(すなわち、90nmと60nmに極大値を有する二峰性の粒度分布)を有する研磨組成物が、酸化ケイ素の層(すなわち、TEOS及びHDP)を含む基材を研磨するのに特に効果的であることを実証するものである。特に、研磨組成物2A及び2Bは、同量か又はより多くの量の研磨粒子を含有するが第2の研磨粒子を含有せず、多峰性の粒度分布を示していない研磨組成物2D及び2Cにより示されたTEOS及びHDPの除去速度よりも、高いTEOS及びHDPに関するブランケット除去速度を示した。研磨組成物2A及び2Bは、研磨組成物2C及び2Dに比べ、HDPにおける減少したWIWNUを示した。研磨組成物2Aが研磨組成物2C及び2Dに比べ、TEOSにおける減少したWIWNUをも示し、研磨組成物2Bが研磨組成物2Dに比べ、TEOSにおける減少したWIWNUを示した。加えて、研磨組成物2Aが、研磨組成物2C比べ低い固体濃度(すなわち、より低い研磨粒子の全体濃度)を有するにも関わらず、研磨組成物2Aは、研磨中、より多くのHDPの段差を望ましく除去した。(すなわち、研磨組成物2Aは研磨後に残っている、TEOSの段差が少なかった。)
[実施例3]
本実施例は、酸化ケイ素の除去速度、平坦化効率、ウエハ面内均一性(WIWNU)、及び段差減少に対する、第1の及び第2の研磨粒子を有し並びに多峰性の粒度分布を有する研磨組成物の有効性を実証するものである。
従来のCMP装置を用いて、テトラエトキシシラン(TEOS)及び高密度プラズマ(HDP)酸化物のブランケットウエハを、4つの研磨組成物(すなわち、研磨組成物3A〜3D)で研磨した。研磨組成物3A〜3Bのそれぞれは、表3に示された量で、60nmの平均粒子サイズを有する湿式セリア(すなわち、第1の研磨粒子)及び10nmの平均粒子サイズを有する湿式セリア(すなわち、第2の研磨粒子)を含有していた。研磨組成物3A〜3Bはまた500ppmのピコリン酸及び水を含有し、トリエタノールアミンを用いてpH4に調整した。研磨組成物3Cは、12.5wt%のヒュームドシリカ及び水を含有し、水酸化カリウム(KOH)を用いてpH10〜11に調整した。研磨組成物3Dは、60nmの平均粒子サイズを有する0.2wt%の湿式セリア、200ppmのピコリン酸、50ppmのポリエチレングリコール(PEG8000)及び水を含有し、トリエタノールアミンを用いてpH4に調整した。
高密度プラズマ(HDP)酸化物パターンウエハもまた、研磨組成物3A〜3Dで60秒間研磨した。HDPパターンウエハは、50%の密度で100μmの特徴サイズを有していた。
基材を、同じ研磨条件の下で、研磨組成物3A〜3Dで研磨した。特に、基材をMirra(商標)研磨機(Applied Materials)でIC1010(商標)パッド(Dow Chemical)で研磨した。研磨パラメータは以下のとおりであり、すなわち下向きの力が20.68kPa(3psi)、定盤速度が100rmp、ヘッド速度が85rmp、研磨組成物の流量が150mL/分であった。研磨に続いて、ブランケット酸化ケイ素、具体的にはTEOS及びHDP除去速度をÅ/分により決定し、HDPの残段差をÅにより決定した。その結果を表3にまとめる。表3に示される除去速度及び残段差は、研磨組成物3Cの除去速度及び段差により規格化されている。
ウエハ面内均一性(WIWNU)もまた、化学機械研磨組成物に対し決定し、その結果を表3にまとめる。
Figure 0006542761
これらの結果は、第1の研磨粒子(すなわち、60nmの平均粒子サイズを有する湿式セリア粒子)及び第2の研磨粒子(すなわち、10nmの平均粒子サイズを有する湿式セリア粒子)を含み、かつ、多峰性の粒度分布(すなわち、60nmと10nmに極大値を有する二峰性の粒度分布)を有する研磨組成物が、酸化ケイ素の層(すなわち、TEOS及びHDP)を含む基材を研磨するのに特に効果的であることを実証するものである。特に、研磨組成物3A及び3Bは、より多くの量の研磨粒子を含有するが第2の研磨粒子を含有せず、多峰性の粒度分布を示していない研磨組成物3Cにより示されたTEOSの除去速度よりも、高いTEOSに関するブランケット除去速度を示した。研磨組成物3Bもまた、第2の研磨粒子を含有せず、多峰性の粒度分布を示していない研磨組成物3Dの除去速度よりも高いブランケットTEOSの除去速度も示した。研磨組成物3Bが、研磨組成物3Cの除去速度に比べ、HDPに対し高いブランケット除去速度を示した。研磨組成物3A及び3Bもまた、研磨組成物3Dに比べ、TEOS及びHDPの両方における減少したWIWNUを示した。加えて、研磨組成物3Bは、研磨組成物3Dに比べ、研磨中より多くのHDPの段差を望ましく除去した。(すなわち、研磨組成物3Bは研磨後に残っている、TEOSの段差が少なかった。)研磨組成物3Bは研磨組成物3Cに比べ低い固体濃度を有するにも関わらず、研磨組成物3BのHDPの残段差もまた、研磨組成物3CのHDPの残段差に匹敵した。
[実施例4]
本実施例は、酸化ケイ素の除去速度、平坦化効率、ウエハ面内均一性(WIWNU)、及び段差減少に対する、第1の及び第2の研磨粒子を有し並びに多峰性の粒度分布を有する研磨組成物の有効性を実証するものである。
従来のCMP装置を用いて、テトラエトキシシラン(TEOS)及び高密度プラズマ(HDP)酸化物のブランケットウエハを、3つの研磨組成物(すなわち、研磨組成物4A〜4C)で研磨した。研磨組成物4A及び4Bが、表4に示された量で、60nmの平均粒子サイズを有する湿式セリア(すなわち、第1の研磨粒子)及び10nmの平均粒子サイズを有するカチオン性のシリカ(すなわち、第2の研磨粒子)を含有していた。研磨組成物4A〜4Bはまた500ppmのピコリン酸及び水を含有し、トリエタノールアミンを用いてpH4に調整した。研磨組成物4Cは、12.5wt%のヒュームドシリカ及び水を含有し、水酸化カリウム(KOH)を用いてpH10〜11に調整した。
TEOSパターンウエハもまた、研磨組成物4A〜4Dで30秒間研磨した。TEOSパターンウエハは、50%の密度で400μmの特徴サイズを有していた。
基材を、同じ研磨条件の下で、研磨組成物4A〜4Dで研磨した。特に、基材をMirra(商標)研磨機(Applied Materials)でIC1010(商標)パッド(Dow Chemical)で研磨した。研磨パラメータは以下のとおりであり、すなわち下向きの力が20.68kPa(3psi)、定盤速度が100rmp、ヘッド速度が85rmp、研磨組成物の流量が150mL/分であった。研磨に続いて、ブランケット酸化ケイ素、具体的にはTEOS及びHDPの除去速度をÅ/分により決定し、HDPの残段差をÅにより決定した。その結果を表4にまとめる。表4に示される除去速度及び残段差は、研磨組成物4Cの除去速度及び段差により規格化されている。
ウエハ面内均一性(WIWNU)もまた、化学機械研磨組成物に対し決定し、その結果を表4にまとめる。
Figure 0006542761
これらの結果は、第1の研磨粒子(すなわち、60nmの平均粒子サイズを有する湿式セリア粒子)及び第2の研磨粒子(すなわち、10nmの平均粒子サイズを有するカチオン性のシリカ)を含み、かつ、多峰性の粒度分布(すなわち、60nmと10nmに極大値を有する二峰性の粒度分布)を有する研磨組成物が、酸化ケイ素の層(すなわち、TEOS及びHDP)を含む基材を研磨するのに特に効果的であることを実証するものである。特に、研磨組成物4Aは、より多くの量の研磨粒子を含有するが第2の研磨粒子を含有せず、多峰性の粒度分布を示していない研磨組成物4Cにより示されたTEOS及びHDPの除去速度よりも、高いTEOS及びHDPに関するブランケット除去速度を示した。加えて、研磨組成物4Aは研磨組成物4Cに比べ低い固体濃度を有するにも関わらず、研磨組成物4Aは、研磨組成物4Cに比べ、研磨中より多くのTEOSの段差を望ましく除去した。(すなわち、研磨組成物4Aは研磨後に残っている、TEOSの段差が少なかった。)
[実施例5]
本実施例は、酸化ケイ素の除去速度、平坦化効率、ウエハ面内均一性(WIWNU)、及び段差減少に対する、第1の及び第2の研磨粒子を有し並びに多峰性の粒度分布を有する研磨組成物の有効性を実証するものである。
従来のCMP装置を用いて、テトラエトキシシラン(TEOS)及び高密度プラズマ(HDP)酸化物のブランケットウエハを、3つの研磨組成物(すなわち、研磨組成物5A〜5C)で研磨した。研磨組成物5A〜5Bが、表5に示された量で、60nmの平均粒子サイズを有する湿式セリア(すなわち、第1の研磨粒子)及び4nmの平均粒子サイズを有するカチオン性のゼラチン(すなわち、第2の研磨粒子)を含有していた。研磨組成物5A〜5Bはまた500ppmのピコリン酸及び水を含有し、トリエタノールアミンを用いてpH4に調整した。研磨組成物5Cが12.5wt%のヒュームドシリカ及び水を含有し、水酸化カリウム(KOH)を用いてpH10〜11に調整した。
高密度プラズマ(HDP)酸化物パターンウエハもまた、研磨組成物5A〜5Cで30秒間研磨した。HDPパターンウエハは、50%の密度で200μmの特徴サイズを有していた。
基材を、同じ研磨条件の下で、研磨組成物5A〜5Cで研磨した。特に、基材をMirra(商標)研磨機(Applied Materials)でIC1010(商標)パッド(Dow Chemical)で研磨した。研磨パラメータは以下のとおりであり、すなわち下向きの力が20.68kPa(3psi)、定盤速度が100rmp、ヘッド速度が85rmp、研磨組成物の流量が150mL/分であった。研磨に続いて、ブランケット酸化ケイ素、具体的にはTEOS及びHDPの除去速度をÅ/分により決定し、HDPの残段差をÅにより決定した。その結果を表5にまとめる。表5に示される除去速度及び残段差は、研磨組成物5Cの除去速度及び段差により規格化されている。
ウエハ面内均一性(WIWNU)もまた、化学機械研磨組成物に対し決定し、その結果を表5にまとめる。
Figure 0006542761
これらの結果は、第1の研磨粒子(すなわち、60nmの平均粒子サイズを有する湿式セリア粒子)及び第2の研磨粒子(すなわち、4nmの平均粒子サイズを有するカチオン性のゼラチン粒子)を含み、かつ、多峰性の粒度分布(すなわち、60nmと4nmに極大値を有する二峰性の粒度分布)を有する研磨組成物が、酸化ケイ素の層(すなわち、TEOS及びHDP)を含む基材を研磨するのに特に効果的であることを実証するものである。特に、研磨組成物5Aは、TEOS及びHDPに対し効果的なブランケット除去速度を示し、かつ、HDPに対しより高い段差除去を示した。(すなわち、研磨組成物5Aは、より多くの量の研磨粒子を含有するが第2の研磨粒子を含有せず、多峰性の粒度分布を示していない研磨組成物5CのHDPの残段差に比べ、30秒のみの研磨後に極めて減少したHDPの段差を有した。)望ましくは、研磨組成物5Aは、ブランケット酸化ケイ素(すなわち、TEOS及びHDP)に効果的であるが、少し低い除去速度を示し、そしてパターン化された酸化ケイ素(すなわち、HDP)に高い除去速度を示した。
[実施例6]
本実施例は、酸化ケイ素の除去速度、平坦化効率、ウエハ面内均一性(WIWNU)、及び段差減少に対する、第1の及び第2の研磨粒子を有し並びに多峰性の粒度分布を有する研磨組成物の有効性を実証するものである。
従来のCMP装置を用いて、テトラエトキシシラン(TEOS)及び高密度プラズマ(HDP)酸化物ブランケットウエハを、2つの研磨組成物(すなわち、研磨組成物6A〜6B)で研磨した。研磨組成物6Aは、表6に示された量で、60nmの平均粒子サイズを有する湿式セリア(すなわち、第1の研磨粒子)及び30nmの平均粒子サイズを有する湿式セリア(すなわち、第2の研磨粒子)を含む。研磨組成物6Aが、50ppmのポリMADQUAT及び水もまた含有し、トリエタノールアミンを用いてpH8に調整した。研磨組成物6Bは、12.5wt%のヒュームドシリカ及び水を含有し、水酸化カリウム(KOH)を用いてpH10〜11に調整した。
高密度プラズマ(HDP)酸化物パターンウエハもまた、研磨組成物6A及び6Bで60秒間研磨した。HDPパターンウエハは、50%の密度で200μmの特徴サイズを有していた。
基材を、同じ研磨条件の下で、研磨組成物6A及び6Bで研磨した。特に、基材をMirra(商標)研磨機(Applied Materials)でIC1010(商標)パッド(Dow Chemical)で研磨した。研磨パラメータは以下のとおりであり、すなわち下向きの力が20.68kPa(3psi)、定盤速度が100rmp、ヘッド速度が85rmp、研磨組成物の流量が150mL/分であった。研磨に続いて、ブランケット酸化ケイ素、具体的にはTEOS及びHDPの除去速度をÅ/分により決定し、HDPの残段差をÅにより決定した。その結果を表6にまとめる。表6に示される除去速度及び残段差は、研磨組成物6Bの除去速度及び段差により規格化されている。
ウエハ面内均一性(WIWNU)もまた、化学機械研磨組成物に対し決定し、その結果を表6にまとめる。
Figure 0006542761
これらの結果は、第1の研磨粒子(すなわち、60nmの平均粒子サイズを有する湿式セリア粒子)及び第2の研磨粒子(すなわち、30nmの平均粒子サイズを有する湿式セリア粒子)を含み、かつ、多峰性の粒度分布(すなわち、60nmと30nmに極大値を有する二峰性の粒度分布)を有する研磨組成物が、酸化ケイ素の層(すなわち、TEOS及びHDP)を含む基材を研磨するのに特に効果的であることを実証するものである。特に、研磨組成物6Aは、より多くの量の研磨粒子を含有するが第2の研磨粒子を含有せず、多峰性の粒度分布を示していない研磨組成物6Bにより示されたTEOS及びHDPの除去速度よりも、速いTEOS及びHDPに関するブランケット除去速度を示した。研磨組成物6Aが、研磨組成物6Bに比べて、TEOS及びHDPにおける減少したWIWNUをも示した。加えて、研磨組成物6Aが研磨組成物6Bに比べ低い固体濃度を有するにも関わらず、研磨組成物6Aは、研磨組成物6Bに比べ、研磨中より多くのHDPの段差を望ましく除去した。(すなわち、研磨組成物6Aは研磨後に残っている、HDPの段差が少なかった。)
本明細書で引用された刊行物、特許出願及び特許を含む全ての参考文献は、それぞれの参考文献が個別及び具体的に示されてその参照により組み込まれ、全体として本明細書に記載されているのと同じ程度に、その参照により本明細書に組み込まれる。
本発明を説明する中での(特に特許請求の範囲の中での)「1つの(a)」、「1つの(an)」、「その(the)」及び「少なくとも1つの(at least one)」という用語並びに同様の指示語の使用は、本明細書で別段の指摘がないか又は文脈によって明確に否定されない限り、単数及び複数の両方を包含すると解されるべきである。1つ又は複数の項目の列挙が続く「少なくとも1つ(at least one)」という用語の使用(例えば、「AとBの少なくとも1つ(at least one of A and B)」)は、本明細書で別段の指摘がないか又は文脈によって明確に否定されない限り、列挙した項目(A又はB)又は列挙した項目の2つ以上の任意の組み合わせ(A及びB)から選択される1つの項目を意味すると解されるべきである。「含む(comprising)」、「有する(having)」、「含む(including)」及び「含有する(containing)」という用語は、特に断りのない限り、制限のない用語(すなわち、「含むが、限定されない」ことを意味する)として解されるべきである。本明細書における値の範囲の記載は、本明細書で別段の指摘がない限り、単に範囲内に入っているそれぞれ独立した値を個々に言及することの省略方法として機能することを意図しており、それぞれの独立した値は、まるでそれが本明細書で個々に列挙されたかのように本明細書中に組み込まれる。本明細書に記載の全ての方法は、本明細書で別段の指摘がないか又は文脈によって明確に否定されない限り、任意の適切な順序で実施することができる。本明細書で提供される任意の及び全ての例又は例示的な語(例えば、「のような(such as)」)の使用は、単に本発明をより明らかにすることを意図しており、特許請求の範囲に別段の記載がない限り、本発明の範囲に関する限定をもたらすものではない。本明細書中の如何なる言語も、特許請求の範囲に記載されていない任意の要素を本発明の実施に必須であるものとして示すと解されるべきではない。
本発明を実施するために、発明者らが知っている最良の形態を含めて、本発明の好ましい実施形態が本明細書において記載されている。それらの好ましい実施形態の変形態様は、前述の説明を読めば当業者には明らかになるであろう。発明者らは、当業者がそのような変形態様を適宜利用すると予期しており、発明者らは本明細書に具体的に記載したのと別の方法で、本発明が実施されることを意図している。したがって、本発明は、準拠法によって容認されているように、特許請求の範囲に記載される主題の全ての改良及びそれと同等なものを包含する。さらに、それらの全ての可能な変形態様における上記の要素の任意の組み合わせは、本明細書で別段の指摘がないか又は文脈によって明確に否定されない限り、本発明によって包含される。

Claims (18)

  1. 化学機械研磨組成物であって、
    (a)セリア粒子であり、50nm〜70nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第1の研磨粒子と、
    (b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜40nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第2の研磨粒子と、
    (c)官能化ピリジン、安息香酸、アミノ酸、又はそれらの組み合わせと、
    (d)pH調整剤と、
    (e)水性キャリヤーと
    を含み、多峰性の粒度分布を示し、pHが3.5〜9である、化学機械研磨組成物。
  2. 前記第2の研磨粒子がセリア粒子であり、20nm〜40nmの平均粒子サイズを有する、請求項1に記載の化学機械研磨組成物。
  3. 前記研磨組成物中に存在する、前記第1の研磨粒子の濃度と前記第2の研磨粒子の濃度の比が1:1〜5:1である、請求項2に記載の化学機械研磨組成物。
  4. 前記第2の研磨粒子がセリア粒子であり、1nm〜15nmの平均粒子サイズを有する、請求項1に記載の化学機械研磨組成物。
  5. 前記研磨組成物中に存在する、前記第1の研磨粒子の濃度と前記第2の研磨粒子の濃度の比が3:1〜6:1である、請求項4に記載の化学機械研磨組成物。
  6. 前記第2の研磨粒子が、表面が修飾されたシリカ粒子である、請求項1に記載の化学機械研磨組成物。
  7. 前記第2の研磨粒子がカチオン性のシリカ粒子である、請求項6に記載の化学機械研磨組成物。
  8. 前記研磨組成物中に存在する、前記第1の研磨粒子の濃度と前記第2の研磨粒子の濃度の比が1:1〜15:1である、請求項6に記載の化学機械研磨組成物。
  9. 前記第2の研磨粒子が、ゼラチン、ラテックス、セルロース、ポリスチレン、及びポリアクリレートから選択される有機粒子である、請求項1に記載の化学機械研磨組成物。
  10. 前記第2の研磨粒子がゼラチン粒子である、請求項9に記載の化学機械研磨組成物。
  11. 前記研磨組成物中に存在する、前記第1の研磨粒子の濃度と前記第2の研磨粒子の濃度の比が1:1〜30:1である、請求項9に記載の化学機械研磨組成物。
  12. 前記官能化ピリジン、安息香酸、又はアミノ酸がピコリン酸である、請求項1に記載の化学機械研磨組成物。
  13. 前記pH調整剤が、アルキルアミン、アルコールアミン、第四級水酸化アミン、アンモニア、又はそれらの組み合わせである、請求項1に記載の化学機械研磨組成物。
  14. 前記pH調整剤がトリエタノールアミンである、請求項13に記載の化学機械研磨組成物。
  15. 前記研磨組成物のpHが3.5〜5である、請求項1に記載の化学機械研磨組成物。
  16. 化学機械研磨組成物であって、
    (a)セリア粒子であり、50nm〜70nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第1の研磨粒子と、
    (b)セリア粒子、表面が修飾されたシリカ粒子、又は有機粒子であり、1nm〜40nmの平均粒子サイズを有し、0.005〜2wt%の濃度で前記研磨組成物中に存在する、第2の研磨粒子と、
    (c)ポリエチレングリコール(PEG)、ポリビニルアルコール、ポリ(ヒドロキシエチルメタクリレート)、ポリ(ヒドロキシエチルメタクリレート)のコポリマー、セルロース、カチオン性デンドリマー、メタクリロイルオキシエチルトリメチルアンモニウムのモノマー若しくはホモポリマー、又はそれらの組み合わせから選択されるポリマー添加物と、
    (d)pH調整剤と、
    (e)水性キャリヤーと
    を含み、多峰性の粒度分布を示し、pHが6〜9である、化学機械研磨組成物。
  17. (i)基材を提供する工程、
    (ii)研磨パッドを提供する工程、
    (iii)請求項1に記載の化学機械研磨組成物を提供する工程、
    (iv)前記基材を前記研磨パッド及び前記化学機械研磨組成物に接触させる工程、並びに、
    (v)前記基材に対して、前記研磨パッド及び前記化学機械研磨組成物を動かし、前記基材の少なくとも一部をすり減らして、前記基材を研磨する工程
    を含む、基材の研磨方法。
  18. (i)酸化ケイ素の層を含む基材を提供する工程、
    (ii)研磨パッドを提供する工程、
    (iii)請求項1〜15のいずれか1項に記載の化学機械研磨組成物を提供する工程、
    (iv)前記基材を前記研磨パッド及び前記化学機械研磨組成物に接触させる工程、並びに、
    (v)前記基材に対して、前記研磨パッド及び前記化学機械研磨組成物を動かし、前記基材の表面の酸化ケイ素の層の少なくとも一部をすり減らして、前記基材を研磨する工程
    を含む、基材の研磨方法。
JP2016521703A 2013-10-10 2014-09-30 混合研磨材の研磨組成物 Active JP6542761B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/051,121 US9340706B2 (en) 2013-10-10 2013-10-10 Mixed abrasive polishing compositions
US14/051,121 2013-10-10
PCT/US2014/058268 WO2015053985A1 (en) 2013-10-10 2014-09-30 Mixed abrasive polishing compositions

Publications (3)

Publication Number Publication Date
JP2016538359A JP2016538359A (ja) 2016-12-08
JP2016538359A5 JP2016538359A5 (ja) 2017-11-09
JP6542761B2 true JP6542761B2 (ja) 2019-07-10

Family

ID=52808764

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016521703A Active JP6542761B2 (ja) 2013-10-10 2014-09-30 混合研磨材の研磨組成物

Country Status (7)

Country Link
US (1) US9340706B2 (ja)
EP (2) EP3055376B1 (ja)
JP (1) JP6542761B2 (ja)
KR (1) KR102289577B1 (ja)
CN (1) CN105814163B (ja)
TW (1) TWI516582B (ja)
WO (1) WO2015053985A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9303187B2 (en) * 2013-07-22 2016-04-05 Cabot Microelectronics Corporation Compositions and methods for CMP of silicon oxide, silicon nitride, and polysilicon materials
TWI629325B (zh) * 2014-10-21 2018-07-11 卡博特微電子公司 鈷凹陷控制劑
EP4345142A3 (en) * 2015-07-13 2024-05-29 CMC Materials LLC Methods and compositions for processing dielectric substrate
US10128146B2 (en) 2015-08-20 2018-11-13 Globalwafers Co., Ltd. Semiconductor substrate polishing methods and slurries and methods for manufacturing silicon on insulator structures
US20170066944A1 (en) * 2015-09-03 2017-03-09 Cabot Microelectronics Corporation Methods and compositions for processing dielectric substrate
CN109906257B (zh) * 2016-10-17 2021-11-09 Cmc材料股份有限公司 具有改善的凹陷及图案选择性的对氧化物及氮化物有选择性的化学机械抛光组合物
US9783702B1 (en) 2016-10-19 2017-10-10 Rohm And Haas Electronic Materials Cmp Holdings Inc. Aqueous compositions of low abrasive silica particles
JP6708994B2 (ja) 2017-03-27 2020-06-10 日立化成株式会社 スラリ及び研磨方法
WO2018179061A1 (ja) 2017-03-27 2018-10-04 日立化成株式会社 研磨液、研磨液セット及び研磨方法
JP7132942B2 (ja) * 2017-04-17 2022-09-07 シーエムシー マテリアルズ,インコーポレイティド バルク酸化物の平坦化のための自己停止研磨組成物および方法
CN109251675B (zh) * 2017-07-13 2021-07-30 安集微电子科技(上海)股份有限公司 一种化学机械抛光液
US10584265B2 (en) * 2017-09-28 2020-03-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry and amine carboxylic acid compositions selective for nitride removal in polishing and methods of using them
US10584266B2 (en) * 2018-03-14 2020-03-10 Cabot Microelectronics Corporation CMP compositions containing polymer complexes and agents for STI applications
WO2019181014A1 (ja) * 2018-03-22 2019-09-26 日立化成株式会社 研磨液、研磨液セット及び研磨方法
WO2019182061A1 (ja) * 2018-03-22 2019-09-26 日立化成株式会社 研磨液、研磨液セット及び研磨方法
WO2020021680A1 (ja) 2018-07-26 2020-01-30 日立化成株式会社 スラリ及び研磨方法
US10988635B2 (en) 2018-12-04 2021-04-27 Cmc Materials, Inc. Composition and method for copper barrier CMP
KR102241941B1 (ko) * 2018-12-28 2021-04-20 주식회사 케이씨텍 다결정 실리콘 연마용 cmp 슬러리 조성물 및 그를 이용한 연마 방법
US11326076B2 (en) 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives
US20200303198A1 (en) * 2019-03-22 2020-09-24 Fujimi Incorporated Polishing composition and polishing method
KR102453292B1 (ko) * 2020-07-07 2022-10-12 주식회사 나노신소재 산화세륨 복합분말의 분산 조성물
TWI804925B (zh) * 2020-07-20 2023-06-11 美商Cmc材料股份有限公司 矽晶圓拋光組合物及方法
KR102396281B1 (ko) * 2021-04-14 2022-05-10 성균관대학교산학협력단 연마용 조성물 및 이의 제조방법
KR102620964B1 (ko) 2021-07-08 2024-01-03 에스케이엔펄스 주식회사 반도체 공정용 연마 조성물 및 이를 이용한 연마된 물품의 제조방법

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JPH07223166A (ja) * 1994-02-10 1995-08-22 Tokyo Jiki Insatsu Kk 研磨フィルム
JP3270282B2 (ja) 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
JP3416855B2 (ja) 1994-04-15 2003-06-16 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US6602439B1 (en) 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
US5896870A (en) * 1997-03-11 1999-04-27 International Business Machines Corporation Method of removing slurry particles
US6093649A (en) 1998-08-07 2000-07-25 Rodel Holdings, Inc. Polishing slurry compositions capable of providing multi-modal particle packing and methods relating thereto
US5942015A (en) * 1997-09-16 1999-08-24 3M Innovative Properties Company Abrasive slurries and abrasive articles comprising multiple abrasive particle grades
US6143662A (en) 1998-02-18 2000-11-07 Rodel Holdings, Inc. Chemical mechanical polishing composition and method of polishing a substrate
US6358853B2 (en) 1998-09-10 2002-03-19 Intel Corporation Ceria based slurry for chemical-mechanical polishing
US6270395B1 (en) 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
US6368955B1 (en) * 1999-11-22 2002-04-09 Lucent Technologies, Inc. Method of polishing semiconductor structures using a two-step chemical mechanical planarization with slurry particles having different particle bulk densities
JP2001192645A (ja) * 2000-01-14 2001-07-17 Asahi Kasei Corp 半導体装置製造用の研磨用組成物
JP4123685B2 (ja) 2000-05-18 2008-07-23 Jsr株式会社 化学機械研磨用水系分散体
US6443811B1 (en) * 2000-06-20 2002-09-03 Infineon Technologies Ag Ceria slurry solution for improved defect control of silicon dioxide chemical-mechanical polishing
JP2002110596A (ja) 2000-10-02 2002-04-12 Mitsubishi Electric Corp 半導体加工用研磨剤およびこれに用いる分散剤、並びに上記半導体加工用研磨剤を用いた半導体装置の製造方法
TWI281493B (en) * 2000-10-06 2007-05-21 Mitsui Mining & Smelting Co Polishing material
US20040192172A1 (en) 2001-06-14 2004-09-30 Dan Towery Oxidizing polishing slurries for low dielectric constant materials
US6638328B1 (en) 2002-04-25 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd Bimodal slurry system
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US6896591B2 (en) * 2003-02-11 2005-05-24 Cabot Microelectronics Corporation Mixed-abrasive polishing composition and method for using the same
JP2004319759A (ja) * 2003-04-16 2004-11-11 Hitachi Chem Co Ltd 金属用研磨液及び研磨方法
TWI415926B (zh) * 2003-07-11 2013-11-21 Grace W R & Co 化學機械研磨用磨粒
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US7470295B2 (en) * 2004-03-12 2008-12-30 K.C. Tech Co., Ltd. Polishing slurry, method of producing same, and method of polishing substrate
IL161771A0 (en) * 2004-05-04 2005-11-20 J G Systems Inc Method and composition to minimize dishing in semiconductor wafer processing
US7368388B2 (en) * 2005-04-15 2008-05-06 Small Robert J Cerium oxide abrasives for chemical mechanical polishing
EP2410558A3 (en) * 2005-11-11 2012-04-18 Hitachi Chemical Co., Ltd. Polishing slurry for silicon oxide, additive liquid and polishing method
JP2007266500A (ja) * 2006-03-29 2007-10-11 Toshiba Corp タッチアップcmp用スラリーおよび半導体装置の製造方法
JP2007273910A (ja) * 2006-03-31 2007-10-18 Fujifilm Corp 研磨用組成液
US8167684B2 (en) * 2006-10-24 2012-05-01 Cabot Microelectronics Corporation Chemical mechanical polishing slurry, its preparation method, and use for the same
US20080274618A1 (en) * 2007-05-04 2008-11-06 Ferro Corporation Polishing composition and method for high selectivity polysilicon cmp
CN101463227B (zh) 2007-12-21 2013-06-12 安集微电子(上海)有限公司 一种用于阻挡层抛光的化学机械抛光液
JP5396047B2 (ja) * 2008-09-03 2014-01-22 三井金属鉱業株式会社 ガラス用研摩材スラリー
CN102149789A (zh) * 2008-09-12 2011-08-10 费罗公司 化学-机械抛光组合物及其制造和使用的方法
KR101084676B1 (ko) 2008-12-03 2011-11-22 주식회사 엘지화학 1차 화학적 기계적 연마용 슬러리 조성물 및 화학적 기계적 연마 방법
KR101075491B1 (ko) 2009-01-16 2011-10-21 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20100091436A (ko) * 2009-02-10 2010-08-19 삼성전자주식회사 화학적 기계적 연마용 용액 조성물
US8883034B2 (en) * 2009-09-16 2014-11-11 Brian Reiss Composition and method for polishing bulk silicon
TWI431080B (zh) * 2009-10-13 2014-03-21 Lg Chemical Ltd 化學機械拋光之漿料組成物及拋光方法
JP6005516B2 (ja) 2009-11-13 2016-10-12 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 無機粒子及びポリマー粒子を含む化学的機械研磨(cmp)組成物
US8858819B2 (en) 2010-02-15 2014-10-14 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a tungsten-containing substrate
JP2012182299A (ja) * 2011-03-01 2012-09-20 Hitachi Chem Co Ltd 半導体基板用研磨液及び半導体基板の研磨方法
KR20140122271A (ko) * 2012-02-10 2014-10-17 바스프 에스이 단백질을 포함하는 화학적 기계적 폴리싱 (cmp) 조성물
US8859428B2 (en) * 2012-10-19 2014-10-14 Air Products And Chemicals, Inc. Chemical mechanical polishing (CMP) composition for shallow trench isolation (STI) applications and methods of making thereof
US8974692B2 (en) * 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications

Also Published As

Publication number Publication date
US9340706B2 (en) 2016-05-17
JP2016538359A (ja) 2016-12-08
TWI516582B (zh) 2016-01-11
EP3470487A1 (en) 2019-04-17
CN105814163B (zh) 2017-12-08
KR20160070094A (ko) 2016-06-17
EP3055376A4 (en) 2017-05-10
KR102289577B1 (ko) 2021-08-13
EP3470487B1 (en) 2021-01-20
WO2015053985A1 (en) 2015-04-16
CN105814163A (zh) 2016-07-27
US20150102012A1 (en) 2015-04-16
EP3055376B1 (en) 2019-01-16
TW201518492A (zh) 2015-05-16
EP3055376A1 (en) 2016-08-17

Similar Documents

Publication Publication Date Title
JP6542761B2 (ja) 混合研磨材の研磨組成物
EP3265525B1 (en) Polishing composition containing cationic polymer additive
US10414947B2 (en) Polishing composition containing ceria particles and method of use
US9944828B2 (en) Slurry for chemical mechanical polishing of cobalt
EP3265534A1 (en) Polishing composition containing ceria abrasive
TWI765140B (zh) 用於sti應用之cmp組合物
KR102650526B1 (ko) 개선된 안정성 및 개선된 연마 특징을 갖는 선택적 질화물 슬러리
JP2018504770A (ja) Stiウエハーの研磨にてディッシングの低減を示すcmp組成物
EP3149101B1 (en) Cmp compositions selective for oxide over polysilicon and nitride with high removal rate and low defectivity
TWI662096B (zh) 具有改善之凹陷及圖案選擇性之對氧化物及氮化物有選擇性之cmp組成物
TW202344640A (zh) 用於矽氧化物、矽氮化物及多晶矽的選擇性及非選擇性cmp之基於氧化鈰的漿料組合物
JP2024500162A (ja) 高トポロジカル選択比のための自己停止ポリッシング組成物及び方法
TW202340402A (zh) 用於矽氧化物、矽氮化物及多晶矽的選擇性及非選擇性cmp之基於氧化鈰的漿料組合物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170926

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170926

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180808

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180814

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181113

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190405

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190613

R150 Certificate of patent or registration of utility model

Ref document number: 6542761

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350