JP6106908B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP6106908B2
JP6106908B2 JP2012279752A JP2012279752A JP6106908B2 JP 6106908 B2 JP6106908 B2 JP 6106908B2 JP 2012279752 A JP2012279752 A JP 2012279752A JP 2012279752 A JP2012279752 A JP 2012279752A JP 6106908 B2 JP6106908 B2 JP 6106908B2
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride film
film
semiconductor device
heat treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012279752A
Other languages
English (en)
Other versions
JP2014123667A5 (ja
JP2014123667A (ja
Inventor
務 駒谷
務 駒谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Electric Device Innovations Inc
Original Assignee
Sumitomo Electric Device Innovations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Electric Device Innovations Inc filed Critical Sumitomo Electric Device Innovations Inc
Priority to JP2012279752A priority Critical patent/JP6106908B2/ja
Priority to US14/102,639 priority patent/US9396927B2/en
Publication of JP2014123667A publication Critical patent/JP2014123667A/ja
Publication of JP2014123667A5 publication Critical patent/JP2014123667A5/ja
Priority to US15/180,851 priority patent/US9818838B2/en
Application granted granted Critical
Publication of JP6106908B2 publication Critical patent/JP6106908B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • H01L21/244Alloying of electrode materials
    • H01L21/246Alloying of electrode materials with AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • H01L21/28587Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds characterised by the sectional shape, e.g. T, inverted T

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、半導体装置の製造方法に関し、例えば、窒化物半導体層上に窒化シリコン膜を形成する半導体装置の製造方法に関する。
窒化物半導体を用いた半導体装置は、高周波かつ高出力で動作するパワー素子等に用いられている。特に、マイクロ波、準ミリ波、及びミリ波等の高周波帯域での増幅に適した半導体装置として、例えば高電子移動度トランジスタ(HEMT:High Electron Mobility Transistor)等の電界効果トランジスタ(FET:Field Effect Transistor)が知られている。
ドレイン電流のコラプス現象を抑制するため、窒化物半導体層上にシリコンリッチな窒化シリコン膜を形成することが知られている(特許文献1)。
特開2005−278812号公報
しかしながら、シリコンリッチな窒化シリコン膜中のシリコンの組成比が高くなると、ドレイン電流のドリフトが生じる。本発明は、ドレイン電流のドリフトを抑制することを目的とする。
本発明は、窒化物半導体上に2.2以上の屈折率を有する窒化シリコン膜を形成する工程と、前記窒化シリコン膜に酸素、窒素、弗素、燐、硫黄およびセレンの少なくとも一つからなる元素を導入する工程と、を含み、前記元素が導入された前記窒化シリコン膜は、前記窒化物半導体上に残存してなることを特徴とする半導体装置の製造方法である。本発明によれば、ドレイン電流のドリフトを抑制することができる。
上記構成において、前記元素は、前記元素を含むプラズマに曝す工程、前記元素をイオン注入する工程、および前記元素を熱拡散する工程の何れかにより導入される構成とすることができる。
上記構成において、前記元素を導入する工程の前に、前記窒化シリコン膜が設けられた前記窒化物半導体に熱処理を実施する工程を含む構成とすることができる。
上記構成において、前記熱処理の温度は、前記窒化シリコン膜の成長温度よりも50℃以上高い構成とすることができる。
上記構成において、前記元素を導入する工程の前または後に前記窒化物半導体にオーミック接触する電極を形成する工程を含む構成とすることができる。
上記構成において、前記窒化シリコン膜は、電界効果トランジスタのゲート電極とドレイン電極の間の領域に設けられてなる構成とすることができる。
上記構成において、前記窒化シリコン膜上に、2.2より小さい屈折率を有する窒化シリコンからなる保護膜を形成する工程を含む構成とすることができる。
上記構成において、前記窒化シリコン膜は、前記窒化物半導体の表面に接して形成されている構成とすることができる。
上記構成において、前記元素を導入する工程は、前記窒化シリコン膜の全面に前記元素を導入する工程である構成とすることができる。
本発明によれば、ドレイン電流のドリフトを抑制することができる。
図1(a)から図1(d)は、実施例1に係る半導体装置の製造方法を示す断面図(その1)である。 図2(a)から図2(d)は、実施例1に係る半導体装置の製造方法を示す断面図(その2)である。 図3(a)および図3(b)は、それぞれ比較例1および実施例1におけるドレイン電流−電圧特性を示す図である。 図4(a)および図4(b)は、連続通電時の利得変動および飽和電力変動を示す図である。 図5(a)は、半導体装置の断面図、図5(b)は、図5(b)のA−Aのエネルギーバンド図である。 図6(a)および図6(b)は、図5(a)のB−Bのエネルギーバンド図である。 図7は、各サンプルのFTIRスペクトルを示す図である。 図8(a)および図8(b)は、それぞれサンプルAおよびCの深さに対する濃度またはイオン強度を示す図である。 図9は、窒化シリコン膜の温度に対する水素イオン強度を示す図である。 図10(a)から図10(d)は、実施例1の変形例に係る半導体装置の製造方法を示す断面図(その1)である。 図11(a)から図11(c)は、実施例1の変形例に係る半導体装置の製造方法を示す断面図(その2)である。 図12(a)および図12(b)は、実施例1の変形例に係る半導体装置の製造方法を示す断面図(その3)である。 図13(a)および図13(b)は、実施例2に係る半導体装置の製造方法を示す断面図である。 図14(a)から図14(c)は、実施例3に係る半導体装置の製造方法を示す断面図である。
以下、図面を参照し本発明の実施例について説明する。
図1(a)から図2(d)は、実施例1に係る半導体装置の製造方法を示す断面図である。図1(a)を参照し、基板10上に、MOCVD(Metal Organic Chemical Vapor Deposition)法を用い窒化物半導体層20を形成する。基板10は、例えば(0001)主面を有するSiC基板であり、窒化物半導体層11の積層方向は例えば[0001]方向である。窒化物半導体層20として、核形成層12、電子走行層14、電子供給層16、およびキャップ層18を基板10側から順に形成する。核形成層12は、例えば厚さが300nmの窒化アルミニウム(AlN)層である。電子走行層14は、例えば厚さが1000nmのノンドープ窒化ガリウム(GaN)層である。電子供給層16は、例えば厚さ20nmのn型窒化アルミニウムガリウム(AlGaN)層である。キャップ層18は、厚さ5nmのn型窒化ガリウム層である。
図1(b)を参照し、窒化物半導体層20上に、下層50aおよび上層50bを有するフォトレジスト50を塗布する。露光現像することにより、フォトレジスト50に開口を形成する。蒸着法を用い、窒化物半導体層20上に窒化物半導体層20側からTi(チタン)膜およびAl(アルミニウム)膜を有するソース電極22およびドレイン電極24を形成する。Ti膜の膜厚は例えば30nm、Al膜の膜厚は例えば300nmである。Ti膜はTa膜でもよい。フォトレジスト50上には金属24aが形成される。その後、フォトレジスト50を除去することにより金属24aを除去する。これにより、窒化物半導体層20上にソース電極22およびドレイン電極24が形成される。例えば550℃において熱処理することにより、ソース電極22およびドレイン電極24と窒化物半導体層20とを合金化する。
図1(c)を参照し、窒化物半導体層20上にプラズマCVD法を用い窒化シリコン膜26を形成する。
窒化シリコン膜26の成膜条件例を以下に示す。
成膜装置:平行平板型プラズマCVD装置
基板温度:250℃
成膜ガス:SiH、NH、N、He
ガス流量:SiH:4sccm、NH:2sccm、N:200sccm、He:800sccm
圧力 :1.0Torr
パワー :75Watts
膜厚 :20nm
上記条件により、窒化シリコン膜26の屈折率は、約2.35となる。
図1(d)を参照し、窒化シリコン膜26を熱処理する。熱処理温度は、例えば400℃であり、熱処理時間は例えば5分である。
図2(a)を参照し、窒化シリコン膜26の表面をプラズマに曝す。
プラズマ処理の処理条件の例を以下に示す。(プラズマ処理は、窒化シリコン膜26を成膜した装置から取り出し下記CCP法で実施される)
処理装置:平行平板電極構造(CCP法:Capacitively Coupled Plasma)
温度 :室温
ガス :OまたはN
ガス流量:100sccm
圧力 :0.5Torr
パワー :100Watts
時間 :3分
また、別の処理条件の例を以下に示す。
処理装置:誘導結合型構造(ICP法:Inductively Coupled Plasma)
温度 :室温
ガス :OまたはN
ガス流量:100sccm
圧力 :5Pa
ICPパワー:700Watts
バイアスパワー:30Watts
時間 :3分
図2(b)を参照し、窒化物半導体層20上に、下層52aおよび上層52bを有するフォトレジスト52を塗布する。露光現像することにより、フォトレジスト52に開口を形成する。フォトレジスト52をマスクに窒化シリコン膜26に開口を形成する。蒸着法を用い、窒化物半導体層20上に窒化物半導体層20側からNi(ニッケル)膜およびAu(金)膜を有するゲート電極28を形成する。Ni膜の膜厚は例えば50nm、Au膜の膜厚は例えば400nmである。フォトレジスト52上には金属28aが形成される。その後、フォトレジスト52を除去することにより金属28aを除去する。これにより、窒化物半導体層20上にゲート電極28が形成される。
図2(c)を参照し、ゲート電極28を覆うように、窒化シリコン膜26上に絶縁膜30を例えばCVD法を用い形成する。絶縁膜30は、例えば膜厚が500nm、屈折率が2.2より小さく化学量論的な組成に近い窒化シリコン膜である。
図2(d)を参照し、絶縁膜30に開口を形成する。絶縁膜30の開口を介しソース電極22およびドレイン電極24にそれぞれ接続するソース配線32およびドレイン配線34を形成する。ソース配線32およびドレイン配線34は、例えばAu膜であり、めっき法を用い形成する。
図2(a)のプラズマ処理としてCCP装置およびOガスを用いたサンプル(実施例1)と、熱処理およびプラズマ処理を行わないサンプル(比較例1)を作製した。その他の条件は図1(a)から図2(d)において例示した条件を用いた。
図3(a)および図3(b)は、それぞれ比較例1および実施例1におけるドレイン電流−電圧特性を示す図である。図3(a)および図3(b)を参照し、ドレイン電流−電圧特性はカーブトレーサを用い測定した。ドレイン電圧を0Vから最大電圧まで掃引した。破線はドレイン電圧の最大電圧を15Vとしゲート電圧を2Vとしたときのドレイン電流であり、実線はドレイン電圧の最大電圧を50Vとしゲート電圧を−2Vから+2Vまで1Vステップで掃引したときのドレイン電流である。
図3(a)に示すように、比較例1においては、破線に比べ実線はドレイン電圧が低い範囲78でドレイン電流が低くなる。一方、図3(b)に示すように、実施例1においてはドレイン電流がほとんど変化しない。このように、実施例1では比較例1よりドレイン電流コラプスがより抑制される。
図4(a)および図4(b)は、連続通電時の利得変動および飽和電力変動を示す図である。用いたサンプルのゲート幅は、2.25mmである。通電条件は、チャネル温度が250℃、ドレイン電圧が50V、ドレイン電流が100mAである。測定は、通電を中断し高周波信号として周波数が10GHzにおける利得と飽和電力を測定した。ドットは、測定値を示し、直線はドットを結ぶ線を示している。時間が1時間のドットは通電前の測定値を示し、その他のドットは通電後の測定値を示す。縦軸は通電前の測定値との変化量を示している。範囲76は規格範囲を示している。
図4(a)に示すように、利得は、実施例1と比較例1とで大きく異ならない。一方、図4(b)に示すように、比較例1においては、飽和電力が通電時間とともに小さくなる。これは、連続通電によりドレイン電流が減少していることに対応する。実施例1においては、飽和電力が通電時間に対しほとんど変化しない。
以下に、実施例1により、ドレイン電流および/または飽和電力の変動が抑制できる理由を推測する。図5(a)は、半導体装置の断面図、図5(b)は、図5(b)のA−Aのエネルギーバンド図である。図5(a)を参照し、半導体装置の構造は図2(d)と同じであり説明を省略する。図5(a)のA−Aにおけるエネルギーバンド図を図5(b)に示す。図5(b)を参照し、伝導帯の底のエネルギーをEc、価電子帯の頂のエネルギーをEv、フェルミ準位エネルギーをEfとする。電子走行層14の電子供給層16との界面において、EcがEfより低くなることにより2次元電子ガス2DEGが形成される。
図6(a)および図6(b)は、図5(a)のB−Bのエネルギーバンド図である。シリコンリッチ窒化シリコン膜26は化学量論的な組成の窒化シリコン膜(絶縁膜30)に比べバンドギャップが小さくなる。
図6(a)は、比較例1に対応する。窒化物半導体層20表面には、酸化ガリウム等のV族酸化物からなる酸化層が存在する。この酸化層は不安定でありコラプス現象および/または寄生容量の変化の原因となる。シリコンリッチな窒化シリコン膜26内には活性なSi−H基が多く含まれる。Si−H基が熱処理により酸化層を吸着除去する。これにより、コラプス現象および/または寄生容量の変化を抑制できる。
しかしながら、酸化シリコン膜26のシリコン組成比を大きくすると、図4(a)および図5(b)に示したように、連続通電時のドレイン電流の変動が生じる。発明者はこの原因を以下のように考えた。Si−H結合の一部のHが脱離し、Siが酸化層と結合したとしても窒化シリコン膜26中にはSi−H結合が多く存在している。製造工程の熱処理において、Si−H結合の水素が脱離するとSiの未結合軌道(ダングリングボンド)となる。Si未結合軌道の準位は深い準位となる。2DEGが高電界となると、矢印64のように2DEGから熱電子が窒化シリコン膜26および絶縁膜30内に注入される。窒化シリコン膜26内の電子60のうち一部はSi−Si結合に起因した準位をホッピング伝導して絶縁膜30内に移動(矢印66)し、トラップされる(矢印72)。または表面で反射される(矢印68)。窒化シリコン膜26内の電子60のうち一部はSi未結合軌道に起因する準位にトラップされる(矢印62)。Si未結合軌道に起因した準位のエネルギーはSi−Si結合よりさらに低い。このため、Si未結合軌道にトラップされた電子60は、窒化シリコン膜26内を伝導できない。これにより、破線74のように窒化シリコン膜26のエネルギーが高くなる。よって、2DEGのエネルギーが上昇し、2DEG濃度が減少し、ドレイン電流が変動する。
そこで、発明者は、以下の方法を考えた。まず、シリコンリッチ窒化シリコン膜26内のSi−H結合のHを脱離させる。次に、Siの未結合軌道に結合させる元素を導入し、Siの未結合軌道にこの元素を結合させる。この元素としては、Siと結合させるため電子親和力が大きくギブスの自由エネルギーの低い化合形態をとる元素が好ましい。さらに、窒化シリコン膜26内を移動させるためイオン半径が比較的小さい元素が好ましい。よって、V族からVII族であり、かつ第2周期から第4周期の元素が好ましい。さらに、窒化シリコン膜26内で深い準位を形成しないため、Siと結合しバンドギャップが広くなる化学形態を示す元素が好ましい。これらを満足する元素として、O(酸素)、N(窒素)、F(弗素)、P(燐)、S(硫黄)およびSe(セレン)が挙げられる。このうち、プラズマ処理に適した元素はO、NまたはFである。
このように、図1(d)の熱処理において、Si−H結合のHを脱離させSi未結合軌道を生成する。図2(a)のプラズマ処理において、Si未結合軌道に例えばOを結合させる。Si−O結合に起因した準位は浅くなるため、Si未結合軌道に起因した準位のように電子をトラップさせない。
図6(b)は、実施例1に対応する。窒化シリコン膜26内にはSi未結合軌道に起因する準位が少ないため、窒化シリコン膜26内に注入された電子は絶縁膜30内に伝導する。これにより、窒化シリコン膜26のエネルギーの変化は小さくドレイン電流の変動が抑制される。
窒化シリコン膜26内のSi未結合軌道がOと結合しているかを調べるため、FTIR(Fourier Transform Infrared Spectroscopy)測定を行った。サンプルは、以下の3つを準備した。なお、窒化シリコン膜26の成膜条件は図1(c)、熱処理条件は図1(d)、プラズマ条件は図2(a)のICP法において例示した条件である。
サンプルA:GaN層上に窒化シリコン膜26を形成した直後のサンプル
サンプルB:サンプルAを熱処理せずにOを用いプラズマ処理したサンプル
サンプルC:サンプルAを熱処理した後Oを用いプラズマ処理したサンプル
図7は、各サンプルのFTIRスペクトルを示す図である。図7を参照し、横軸は波数、縦軸は強度である。Si−N信号は、Si−N結合の伸縮振動の信号であり約840cm−1である。Si−O信号は、Si−O結合の伸縮振動の信号であり約1050cm−1である。Si−H信号は、Si−H結合の伸縮振動の信号であり約2150cm−1である。N−H信号は、N−H結合の伸縮振動の信号であり約3300cm−1である。
Si−N信号はサンプルAからCに従い小さくなる。Si−O信号はサンプルAからCに従い大きくなる。Si−H信号はサンプルAに比べサンプルBおよびCが小さい。N−H信号はサンプルによる差がほとんどない。これより、プラズマ処理によりSi−H結合が減少しSi−O結合が増加している。Si−N結合の一部もSi−O結合となる。熱処理によりSi−O結合がさらに多くなる。
窒化シリコン膜26内の深さ方向のO濃度についてSIMS(Secondary Ion Mass Spectrometry)法を用い測定した。図8(a)および図8(b)は、それぞれサンプルAおよびCの深さに対する濃度またはイオン強度を示す図である。深さは窒化シリコン膜26の表面からの深さに対応する。測定した元素はH、O、SiおよびGaである。HおよびOは濃度で示し、SiおよびGaは2次イオン強度で示す。縦の点線が窒化シリコン膜26とGaN層との界面に対応する。
図8(a)および図8(b)を参照し、サンプルAおよびCにおいて、窒化シリコン膜26のGaN層との界面(深さ15nmから20nmあたり)においてO濃度が高くなっている。これは、GaN層の表面に形成された酸化ガリウム層の酸素をシリコンリッチ酸化シリコン膜26内の過剰のSi−H基によりゲッタリングしたことを示している。深さ15nmより浅い領域においてはSi−HのHが脱離したSi未結合軌道が残存していると考えられる。
図8(b)のように、サンプルCにおいては、深さ0nmから10nmの領域において、O濃度が図8(a)のサンプルAより高くなっている。これは、Si未結合軌道がOと共有結合したためと考えられる。
以上のように、Oプラズマ処理により、窒化シリコン膜26内のSi未結合軌道がSi−O共有結合対に置換されたことが確認された。
実施例1によれば、図1(c)のように窒化物半導体層20上に屈折率が2.2以上の窒化シリコン膜26を形成する。図2(a)のように窒化シリコン膜26表面にOを含むプラズマに曝す。これにより、図5(b)のように、ドレイン電流の変動を抑制できる。
窒化シリコン膜26は、シリコンリッチ膜とするため、屈折率が2.3以上が好ましく、2.35以上がより好ましい。また、アモルファス状とならない程度以下であることが好ましく、例えば2.85以下が好ましく、2.6以下がより好ましい。窒化シリコン膜26の組成比Si/N(原子比)は0.75より大きいことによりシリコンリッチ膜となる。Si/Nは、0.8以上が好ましく、0.9以上がより好ましい。Si/Nは1.2以下が好ましい。
プラズマ処理工程は、窒化シリコン膜26表面にO、N、およびFの少なくとも一つを含むプラズマに曝せばよい。プラズマ処理に用いる処理装置はCCP装置、ICP装置以外にも例えばECR(Electron Cyclotron Resonance)装置でもよい。プラズマ処理条件は、O、NまたはFが窒化物半導体層20には到達せず、窒化シリコン膜26のSi−H結合が残存する領域に導入できるように、適宜設定することができる。
図1(d)のように、窒化シリコン膜26を形成する工程後かつプラズマ処理工程前に、窒化シリコン膜26を窒化シリコン膜26を形成する温度より50℃以上高い温度で熱処理する。これにより、Si−HのHを脱離させることができる。なお、図7のように、熱処理を行わなくてもSi−H結合をSi−O結合に置換することは可能である。
窒化シリコン膜26を成膜後、TDS(Thermal Desorption Spectrometry)法を用い熱処理とH脱離の関係を調べた。図9は、窒化シリコン膜の温度に対する水素イオン強度を示す図である。図9を参照し、窒化シリコン膜26の成膜温度は300℃である。熱処理温度が高くなるとHの脱離が多くなる。約700℃においてH脱離は飽和する。Hの脱離は成膜温度+50℃から始まる。よって、Hを脱離させるため、図1(d)の熱処理温度は窒化シリコン膜26を形成する温度より50℃以上であることが好ましい。さらに、熱処理温度は、成膜温度より100℃以上が好ましく、200℃以上がより好ましい。H脱離が飽和するため、熱処理温度は、成膜温度より400℃以下が好ましい。
次に、実施例1の変形例について説明する。図10(a)から図12(b)は、実施例1の変形例に係る半導体装置の製造方法を示す断面図である。図10(a)を参照し、図1(a)と同様に基板10上に窒化物半導体層20を形成する。図10(b)を参照し、窒化物半導体層20上に屈折率が2.2以上の窒化シリコン膜26を形成する。窒化シリコン膜26の形成方法は図1(c)と同じである。図10(c)を参照し、窒化シリコン膜26を熱処理する。熱処理条件等は図1(d)と同じである。図10(d)を参照し、窒化シリコン膜26表面をO、N、およびFの少なくとも一つを含むプラズマに曝す。プラズマ処理条件等は図2(a)と同じである。
図11(a)を参照し、図1(b)と同様に、フォトレジスト50を形成する。窒化シリコン膜26に開口を形成し、開口内にソース電極22およびドレイン電極24を形成する。ソース電極22およびドレイン電極24の形成条件等は図1(b)と同じである。図11(b)を参照し、ソース電極22、ドレイン電極24および窒化シリコン膜26上に絶縁膜36を形成する。絶縁膜36は、例えば膜厚が50nm、屈折率が2.2より小さく化学量論的な組成に近い窒化シリコン膜である。図11(c)を参照し、図2(b)と同様にゲート電極28を形成する。
図12(a)を参照し、図2(c)と同様に絶縁膜30を形成する。図12(b)を参照し、図2(d)と同様にソース配線32およびドレイン配線34を形成する。
実施例1のように、ソース電極22およびドレイン電極24を形成する工程を、プラズマ処理工程の前に実施してもよい。実施例1の変形例のように、ソース電極22およびドレイン電極24を形成する工程を、プラズマ処理工程の後に実施してもよい。
実施例2は、窒化シリコン膜に元素をイオン注入する例である。図13(a)および図13(b)は、実施例2に係る半導体装置の製造方法を示す断面図である。図13(a)を参照し、図1(a)から図1(c)の工程を行なう。窒化シリコン膜26を熱処理する。熱処理条件は図1(d)と同じである。図13(b)を参照し、窒化シリコン膜26に、O、N、F、P、SおよびSeの少なくとも一つを含むイオンを注入する。イオン注入条件は、例えば注入エネルギーが0.5keV、ドーズ量が5×1012cm-2である。
実施例2によれば、プラズマ処理の変わりにイオン注入することにより、窒化シリコン膜26内にO、N、F、P、SまたはSeを導入できる。これにより、実施例1と同様にドレイン電流の変動を抑制できる。イオン注入条件は、O、N、F、P、SまたはSeが窒化物半導体層20には到達せず、窒化シリコン膜26のSi−H結合が残存する領域に導入できるように、適宜設定することができる。
イオン注入工程の後に熱処理を行なっても良い。熱処理温度は、注入したイオンがSiと結合する程度の温度とすることができる。また、ソース電極22およびドレイン電極24を形成する工程を、イオン注入処理工程の前に実施してもよい。実施例1の変形例のように、ソース電極22およびドレイン電極24を形成する工程を、イオン注入処理工程の後に実施してもよい。図1(d)の熱処理は行わなくてもよい。
実施例3は、窒化シリコン膜に元素を拡散により導入する例である。図14(a)から図14(c)は、実施例3に係る半導体装置の製造方法を示す断面図である。図14(a)を参照し、図1(a)から図1(c)の工程を行なう。窒化シリコン膜26を熱処理する。熱処理条件は図1(d)と同じである。図14(b)を参照し、窒化シリコン膜26上にSe膜38を形成する。Se膜38は、例えば膜厚が3nmであり、スパッタ法を用い形成する。図14(c)を参照し、熱処理することにより、Se膜38のSeを窒化シリコン膜26内に熱拡散させる。熱処理温度は例えば400℃である。
実施例3によれば、プラズマ処理の変わりに拡散処理することにより、窒化シリコン膜26内にSeを導入できる。これにより、実施例1と同様にドレイン電流の変動を抑制できる。拡散条件は、Seが窒化物半導体層20には到達せず、窒化シリコン膜26のSi−H結合が残存する領域に導入できるように、適宜設定することができる。
ソース電極22およびドレイン電極24を形成する工程を、拡散処理工程の前に実施してもよい。実施例1の変形例のように、ソース電極22およびドレイン電極24を形成する工程を、拡散処理工程の後に実施してもよい。図1(d)の熱処理は行わなくてもよい。
実施例1から3のように、窒化シリコン膜26にO、N、F,P、SおよびSeの少なくとも一つからなる元素を導入する工。また、これらの元素が導入された窒化シリコン膜26は、半導体装置を製造した後においても窒化物半導体20上に残存する。すなわち、これらの元素が導入された窒化シリコン膜26は除去されない。これにより、ドレイン電流の変動を抑制できる。
これらの元素の導入は、実施例1のように導入する元素を含むプラズマに曝すことにより行なってもよい。また、実施例2のように、導入する元素をイオン注入することにより行なってもよい。さらに、導入する元素を熱拡散することにより行なってもよい。
Si−HのHを脱離させるため、元素を導入する前に、窒化シリコン膜26が設けられた窒化物半導体層20に熱処理を実施することが好ましい。熱処理温度は、窒化シリコン膜26の成長温度よりも50℃以上高いことが好ましい。
実施例1の変形例のように、元素を導入する工程の前または後に窒化物半導体層20にオーミック接触する電極を形成することができる。
窒化シリコン膜26は、電界効果トランジスタのゲート電極28とドレイン電極24の間の領域に設けられている。これにより、ドレイン電流の変動を抑制できる。
さらに、図2(c)のように、窒化シリコン膜26上に、2.2より小さい屈折率を有する窒化シリコンからなる保護膜(絶縁膜30)を形成することができる。
ドレイン電流の変動を抑制するため、窒化シリコン膜26は、窒化物半導体層の表面に接して形成されていることが好ましい。
また、元素は、窒化シリコン膜26の全面に導入することが好ましい。
実施例1から実施例3において、窒化物半導体層11は、例えばGaN、InN、AlN、AlGaN、InGaN、AlInNおよびAlInGaNの少なくとも一つの層を含めばよい。
以上、本発明の実施例について詳述したが、本発明はかかる特定の実施例に限定されるものではなく、特許請求の範囲に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
10 基板
20 窒化物半導体層
22 ソース電極
24 ドレイン電極
26 窒化シリコン膜
28 ゲート電極
30 絶縁膜
32 ソース配線
34 ドレイン配線

Claims (5)

  1. 窒化物半導体上にオーミック接触するソース電極およびドレイン電極からなる電極を形成する工程と、
    前記窒化物半導体の表面に接触し、2.2以上の屈折率を有する窒化シリコン膜を形成する工程と、
    前記窒化シリコン膜を形成する工程の後、前記窒化物半導体および前記窒化シリコン膜に対し前記窒化シリコン膜の成膜温度から50℃以上かつ400℃以下の温度範囲で熱処理を実施する工程と、
    前記熱処理を実施する工程の後、前記窒化シリコン膜に酸素、窒素、弗素、燐、硫黄およびセレンの少なくとも一つからなる元素を導入する工程と、
    前記元素を導入する工程の後、前記窒化物半導体上に形成されたフォトレジストをマスクとして利用し、前記窒化シリコン膜に開口を形成する工程と、
    前記開口内の前記窒化物半導体上にゲート電極を形成する工程と、
    前記元素を導入する工程の後、前記窒化シリコン膜上に、2.2より小さい屈折率を有する窒化シリコンからなる保護膜を形成する工程と、
    を含み、
    前記ソース電極と前記ゲート電極との間および前記ゲート電極とドレイン電極との間における前記元素が導入された前記窒化シリコン膜および前記保護膜は、前記窒化物半導体上に残存してなることを特徴とする半導体装置の製造方法。
  2. 前記元素は、酸素、窒素またはフッ素であり、前記元素を含むプラズマに曝す工程により前記窒化シリコン膜に導入されることを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記熱処理を実施する工程は、前記窒化シリコン膜の成膜温度より100℃以上高い温度で実施されることを特徴とする請求項1または2記載の半導体装置の製造方法。
  4. 前記熱処理を実施する工程は、前記窒化シリコン膜の成膜温度より200℃以上高い温度で実施される請求項3記載の半導体装置の製造方法。
  5. 前記元素は、前記元素をイオン注入する工程、および前記元素を熱拡散する工程の何れかにより前記窒化シリコン膜に導入されることを特徴とする請求項記載の半導体装置の製造方法。
JP2012279752A 2012-12-21 2012-12-21 半導体装置の製造方法 Active JP6106908B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2012279752A JP6106908B2 (ja) 2012-12-21 2012-12-21 半導体装置の製造方法
US14/102,639 US9396927B2 (en) 2012-12-21 2013-12-11 Method for fabricating semiconductor device
US15/180,851 US9818838B2 (en) 2012-12-21 2016-06-13 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012279752A JP6106908B2 (ja) 2012-12-21 2012-12-21 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2014123667A JP2014123667A (ja) 2014-07-03
JP2014123667A5 JP2014123667A5 (ja) 2016-02-18
JP6106908B2 true JP6106908B2 (ja) 2017-04-05

Family

ID=50975093

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012279752A Active JP6106908B2 (ja) 2012-12-21 2012-12-21 半導体装置の製造方法

Country Status (2)

Country Link
US (2) US9396927B2 (ja)
JP (1) JP6106908B2 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6197344B2 (ja) * 2013-04-18 2017-09-20 住友電気工業株式会社 半導体装置
JP6241915B2 (ja) * 2013-07-31 2017-12-06 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
CN104409431B (zh) * 2014-10-24 2017-07-04 苏州能讯高能半导体有限公司 一种半导体器件
JP2016171162A (ja) * 2015-03-12 2016-09-23 株式会社東芝 半導体装置
JP2017079288A (ja) * 2015-10-21 2017-04-27 住友電気工業株式会社 半導体装置の製造方法及び半導体装置
JP6640687B2 (ja) * 2016-09-09 2020-02-05 株式会社東芝 半導体装置
JP7031282B2 (ja) * 2017-12-20 2022-03-08 富士通株式会社 半導体装置及びその製造方法、高周波増幅器
JP2019175913A (ja) * 2018-03-27 2019-10-10 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
CN112186031A (zh) * 2020-09-25 2021-01-05 浙江大学杭州国际科创中心 一种等离子体的处理方法及其应用

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260372A (ja) * 1996-03-21 1997-10-03 Toshiba Corp 半導体装置の絶縁膜の形成方法
JP2006269673A (ja) * 2005-03-23 2006-10-05 Nec Electronics Corp 半導体装置およびその製造方法
JP4912604B2 (ja) 2005-03-30 2012-04-11 住友電工デバイス・イノベーション株式会社 窒化物半導体hemtおよびその製造方法。
US8482035B2 (en) * 2005-07-29 2013-07-09 International Rectifier Corporation Enhancement mode III-nitride transistors with single gate Dielectric structure
JP5186776B2 (ja) * 2007-02-22 2013-04-24 富士通株式会社 半導体装置及びその製造方法
JP5345328B2 (ja) * 2008-02-22 2013-11-20 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
US8213751B1 (en) * 2008-11-26 2012-07-03 Optonet Inc. Electronic-integration compatible photonic integrated circuit and method for fabricating electronic-integration compatible photonic integrated circuit
JP5531432B2 (ja) * 2009-03-27 2014-06-25 富士通株式会社 化合物半導体装置及びその製造方法
JP2012033688A (ja) * 2010-07-30 2012-02-16 Sumitomo Electric Ind Ltd 半導体装置の製造方法
JP6339762B2 (ja) * 2013-01-17 2018-06-06 富士通株式会社 半導体装置及びその製造方法、電源装置、高周波増幅器

Also Published As

Publication number Publication date
JP2014123667A (ja) 2014-07-03
US20140179078A1 (en) 2014-06-26
US20160293724A1 (en) 2016-10-06
US9818838B2 (en) 2017-11-14
US9396927B2 (en) 2016-07-19

Similar Documents

Publication Publication Date Title
JP6106908B2 (ja) 半導体装置の製造方法
JP5345328B2 (ja) 半導体装置の製造方法
US7709403B2 (en) Silicon carbide-oxide layered structure, production method thereof, and semiconductor device
Park et al. High-Quality ICPCVD $\hbox {SiO} _ {2} $ for Normally Off AlGaN/GaN-on-Si Recessed MOSHFETs
US10388779B2 (en) Semiconductor device and manufacturing method thereof
US9396928B2 (en) Method for fabricating semiconductor device
US9960266B2 (en) Damage-free plasma-enhanced CVD passivation of AlGaN/GaN high electron mobility transistors
JP2013140835A (ja) 半導体装置及び半導体装置の製造方法
JP5499319B2 (ja) 半導体デバイス及びその製造方法
US20160155835A1 (en) Semiconductor device
JP2011210780A (ja) GaN−MISトランジスタ、GaN−IGBT、およびこれらの製造方法
JP2018200932A (ja) 半導体装置の製造方法および半導体装置
US20070126007A1 (en) SiC semiconductor device and method of fabricating same
TW201417192A (zh) 半導體晶圓的製造方法、半導體晶圓、半導體裝置的製造方法及半導體裝置
Yang et al. A Normally-Off GaN MIS-HEMT Fabricated Using Atomic Layer Etching to Improve Device Performance Uniformity for High Power Applications
Watanabe et al. Low-interface-trap-density and high-breakdown-electric-field SiN films on GaN formed by plasma pretreatment using microwave-excited plasma-enhanced chemical vapor deposition
JP6582537B2 (ja) 半導体装置および半導体装置の製造方法
JP2015073002A (ja) 化合物半導体装置及びその製造方法
Watanabe et al. Low interface trap density and high breakdown electric field SiN films on GaN formed by plasma pretreatment using microwave-excited plasma-enhanced chemical vapor deposition
US9966447B2 (en) Method of manufacturing semiconductor device by plasma treatment and heat treatment, and semiconductor device
JP2017098448A (ja) 窒化物半導体装置の製造方法
Mistele et al. Engineering and impact of surface states on AlGaN/GaN-based hetero field effect transistors
Chen Optimization of Ohmic Contacts and Surface Passivation for ‘Buffer-Free’GaN HEMT Technologies
Arith 4H-SiC metal oxide semiconductor devices
CN116885000A (zh) 一种基于P型氮化物隔离的P-GaN晶体管及其制备方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151221

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170217

R150 Certificate of patent or registration of utility model

Ref document number: 6106908

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250