JP6032913B2 - リソグラフィ・プロセス制御のための方法およびシステム - Google Patents

リソグラフィ・プロセス制御のための方法およびシステム Download PDF

Info

Publication number
JP6032913B2
JP6032913B2 JP2012075834A JP2012075834A JP6032913B2 JP 6032913 B2 JP6032913 B2 JP 6032913B2 JP 2012075834 A JP2012075834 A JP 2012075834A JP 2012075834 A JP2012075834 A JP 2012075834A JP 6032913 B2 JP6032913 B2 JP 6032913B2
Authority
JP
Japan
Prior art keywords
wafer
resist
post
exposure
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2012075834A
Other languages
English (en)
Other versions
JP2012169638A (ja
Inventor
ラッカプラガダ,サレッシュ
ブラウン,カイル・エイ
ハンキンソン,マット
レビイ,アディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2012169638A publication Critical patent/JP2012169638A/ja
Application granted granted Critical
Publication of JP6032913B2 publication Critical patent/JP6032913B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

(発明の背景)
1.発明の分野
本発明は、一般に半導体製造プロセスを評価し、かつ制御するためのシステムおよび方法に関する。一定の実施形態は、レジストの特性を測定し、リソグラフィ・プロセスで必要とされるプロセス・ステップを制御することにより、リソグラフィ・プロセスを評価し、かつ/または制御するためのシステムおよび方法に関する。
2.関連技術の説明
半導体製造プロセスは、一般に、半導体デバイスの様々なフィーチャや複数の堆積層を形成するためにいくつかのリソグラフィ・ステップを必要とする。リソグラフィは、一般にウェハと称することがある半導体基板上に形成されたレジストに、パターンを転写することを必要とする。レチクルまたはマスクは、レジストより上方に配置することができ、レジストに転写することができるパターン状に構成された実質的に透明な領域と実質的に不透明な領域とを有する。したがって、レチクルの実質的に不透明な領域は、下にあるレジストの領域をエネルギー源にさらされることから保護することができる。したがって、紫外光、電子線、またはX線源など、エネルギー源にレジストの領域を選択的にさらすことによってレジストにパターン形成することができる。次いで、パターン形成されたレジストを使用して、イオン注入やエッチングなど後続の半導体製造プロセス内で下にある層をマスクすることができる。したがって、レジストは、誘電材料または半導体基板など下にある層をイオンの注入またはエッチングによる除去を実質的に抑止することができる。
半導体デバイスのフィーチャ・サイズが縮小し続けるとき、製造に成功することができる最小フィーチャ・サイズが、リソグラフィ・プロセスの性能特性によって制限されることが多い。リソグラフィ・プロセスの性能特性の例には、それだけには限らないが、解像能力、チップ全体にわたる線幅変動、ウェハ全体にわたる線幅変動が含まれる。光学リソグラフィでは、リソグラフィ・プロセスの解像能力など性能特性は、レジスト塗布の質、レジストの性能、露光装置、レジストを露光するために使用する光の波長によって制限されることが多い。しかし、最小フィーチャを解像する能力は、露光後ベーク・プロセスの温度、または露光プロセスの露光線量など、リソグラフィ・プロセスの他のクリティカルなパラメータに強く左右される可能性がある。したがって、リソグラフィ・プロセスのクリティカルなパラメータを制御することが、半導体デバイスの製造に成功するために重要となりつつある。
リソグラフィ・プロセスの性能特性を改善するための1つの戦略は、リソグラフィ・プロセスのクリティカル(critical)なパラメータの変動を制御し、減少させることを必要とする可能性がある。たとえば、リソグラフィ・プロセス内の1つのクリティカルなパラメータは、露光後ベーク温度である可能性がある。具体的には、化学増幅レジストの露光済み部分内の化学反応を、露光プロセスの後でレジストを加熱することによって起こし、制御することができる。そのようなレジストには、それだけには限られないかもしれないが、樹脂および光酸生成化合物を含むことができる。露光後ベーク・プロセスの温度は、レジスト内で光によって生成される酸を生成させ、かつ拡散させることができ、これは樹脂の分解を引き起こす。樹脂の分解によりレジストの溶解度が実質的に変わり、それにより、後続の現像プロセス内で水性現像溶液にさらすことによってレジストを除去することができる。したがって、露光済みレジスト内の温度制御された拡散は、残りのレジストの物理的寸法、または解像されたフィーチャに影響を及ぼす可能性がある。さらに、露光後ベーク・プロセス・モジュールのベーク・プレート全体にわたる温度の変動は、ウェハ上の様々な位置でフィーチャの寸法の変動を引き起こす可能性がある。したがって、リソグラフィ・プロセスの解像能力は、露光後ベーク・プロセス・モジュールのベーク・プレート全体にわたる温度変動を低減することによって改善することができる。
しかし、リソグラフィ・プロセスの解像能力を改善するために現在使用可能な方法を使用する際には、いくつかの欠点がある。たとえば、現在使用可能な方法は、クリティカルなパラメータが経時的に劣化することを反映することができない。露光後ベーク・モジュールの場合、加熱要素の熱緩和、汚染、または他の性能変動が、経時的に様々な程度でリソグラフィ・プロセスの解像能力に悪影響を及ぼす可能性がある。したがって、クリティカルなパラメータの時間依存性変動を監視し、かつ制御することにより、リソグラフィ・プロセスの性能特性を維持し、かつ改善することができる。さらに、露光後ベーク・モジュールの温度の変動を監視するために現在使用することができる一体化された制御機構は、ウェハ・レベルでプロセスを制御し、かつ変更することができる。したがって、ウェハ上の位置またはフィールドはすべて均等に影響を受け、改善は、ウェハ全体にわたって平均的な性能についてなされる。このような形では、ウェハ全体にわたってフィールドからフィールドにかけての解像能力の系統的な変動を監視する、または変更することができず、リソグラフィ・プロセスの全体の性能特性に悪影響を及ぼす可能性がある。
したがって、リソグラフィ・プロセスによって形成されたフィーチャのクリチカル寸法(critical dimension)のウェハ内変動を低減することができるようにリソグラフィ・プロセスを評価し、かつ制御するための方法およびシステムを開発することが有利であろう。
米国特許第5,968,691号 米国特許第5,689,614号 公開欧州特許出願EP 1066925 A2 公開PCT特許出願WO 99/41434、 WO 99/25004 公開欧州特許出願1065567 A2 米国特許第5,619,548号 公開PCT出願WO 01/09566
(発明の概要)
本発明の一実施態様は、リソグラフィ・プロセスのクリティカルな測定基準のウェハ内(WIW)変動を低減するための方法に関する。リソグラフィ・プロセスのクリティカルな測定基準には、それだけには限らないが、リソグラフィ・プロセス中に形成されたフィーチャのクリティカル寸法、およびオーバーレイを含むことができる。リソグラフィ・プロセス中に形成されたフィーチャのクリティカル寸法には、たとえばフィーチャの幅、高さ、側壁プロフィルを含むことができる。オーバーレイは、一般に、ウェハのある堆積層上におけるフィーチャの横位置に対する、ウェハの別の堆積層上におけるフィーチャの横位置のことを言う。リソグラフィ・プロセスは、光学リソグラフィ、電子線リソグラフィ、X線リソグラフィを含むことができる。
本方法は、リソグラフィ・プロセス中にウェハ上に配置されたレジストの少なくとも1つの特性を測定することを含む。たとえば、本方法は、ウェハ全体にわたって様々な場所でレジストの少なくともその1つの特性を測定することを含むことができる。さらに、本方法は、リソグラフィ・プロセスのステップ間、またはリソグラフィ・プロセスのあるステップ中にレジストの少なくともその1つの特性を測定することを含むことができる。さらに、リソグラフィ・プロセス中に少なくとも2枚のウェハ上に配置されたレジストの少なくとも1つの特性を測定することを含むことができる。少なくともその1つの特性は、それだけには限られないかもしれないが、厚さ、屈折率、消光係数、潜像の線幅、潜像の高さ、フィーチャの幅、フィーチャの高さ、オーバーレイ、またはそれらの任意の組合せを含むことができる。潜像は、一般に、露光後ベーク・プロセスの後で露光済みレジスト内に形成される可能性のある像のことを言う。
本方法は、少なくともその1つの測定されたレジスト特性に応答して、リソグラフィ・プロセスのあるステップを実行するように構成されたプロセス・モジュールの少なくとも1つのパラメータを変更することをさらに含む。このような形で、クリティカルな測定基準のウェハ内変動を低減することができる。プロセス・モジュールは、それだけには限られないかもしれないが、表面準備モジュール、コーティング・モジュール、ベーク・モジュール、露光モジュール、または現像モジュールを含むことができる。さらに、少なくとも2枚のウェハ上に配置されたレジストの少なくとも1つの特性を測定する場合には、少なくとも2枚のウェハ上に配置されたレジストの少なくともその1つの測定された特性に応答して、プロセス・モジュールの少なくとも1つのパラメータを変更することを含むことができる。少なくともその1つのパラメータは、フィードバック制御技法、フィードフォワード制御技法、in situ制御技法、またはそれらの任意の組合せを使用して変更することができる。
少なくともその1つのパラメータを変更することは、ウェハの第1の部分を、そのステップ中に第1の1組のプロセス条件で加工すること、およびウェハの第2の部分を、そのステップ中に第2の1組のプロセス条件で加工することを含むことができる。たとえば、少なくともその1つの測定された特性がウェハ全体にわたる厚さ変動を含む場合には、測定された厚さ変動に応答して、より厚いレジストでコーティングされたウェハの一部分を、より薄いレジストでコーティングされたウェハの一部分より高い露光線量で露光することができる。追加の一例では、測定された厚さ変動に応答して、より厚いレジストでコーティングされたウェハの一部分を、露光後ベーク・プロセス中により薄いレジストでコーティングされたウェハの一部分より高い温度に加熱することができる。このような形で、レジスト特性の変動に関わらずリソグラフィ・プロセスのクリティカルな測定基準をウェハ全体にわたって実質的に均一とすることができるように、リソグラフィ・プロセス・ステップのプロセス条件をウェハ全体にわたって変えることができる。
追加の実施態様は、リソグラフィ・プロセスのクリティカルな測定基準のウェハ内変動を低減するように構成されたシステムに関する。クリティカルな測定基準には、リソグラフィ・プロセスによって形成されたフィーチャのクリティカル寸法、または上述のどんなクリティカルな測定基準でも含むことができる。本システムは、少なくとも1つの測定装置を含むことができる。少なくともその1つの測定装置は、リソグラフィ・プロセス中にウェハ上に配置されたレジストの少なくとも1つの特性を測定するように構成することができる。たとえば、少なくともその1つの測定装置は、ウェハ全体にわたって様々な場所でレジストの少なくともその1つの特性を測定するように構成することができる。さらに、少なくともその1つの測定装置は、リソグラフィ・プロセスのステップ間でレジストの少なくともその1つの特性を測定するように構成することができる。別法として、少なくともその1つの測定装置は、リソグラフィ・プロセスのステップ中にレジストの少なくともその1つの特性を測定するように構成することができる。たとえば、測定装置は、本明細書で述べるようにリソグラフィ・クラスタ・ツール内に一体化することができる。レジストの特性をリソグラフィ・プロセス中に測定することができるため、本明細書で述べる方法は、従来のリソグラフィ・プロセス制御方法よりターン・アラウンド時間を速くすることができる。したがって、本明細書で述べる方法は、比較的高い性能ビン特性を有する多数の半導体デバイスを産出することができる。少なくともその1つの特性は、本明細書で述べるどんな特性でも含むことができる。
本システムはまた、リソグラフィ・プロセスのステップを実行するように構成されたプロセス・モジュールを含むことができる。プロセス・モジュールは、たとえば、表面準備モジュール、コーティング・モジュール、ベーク・モジュール、露光モジュール、または現像モジュールを含むことができる。プロセス・モジュールの少なくとも1つのパラメータは、クリティカルな測定基準のウェハ内変動を低減することができるように、少なくともその1つの測定された特性に応答して変更することができる。さらに、プロセス・モジュールの少なくともその1つのパラメータは、フィードバック制御技法、フィードフォワード制御技法、in situ制御技法、またはそれらの任意の組合せを使用して変更することができる。プロセス・モジュールの少なくともその1つのパラメータはまた、ウェハの第1の部分を、そのステップ中に第1の1組のプロセス条件で加工することができるように、またウェハの第2の部分を、そのステップ中に第2の1組のプロセス条件で加工することができるように変更することができる。
本システムはまた、少なくともその1つの測定装置、およびプロセス・モジュールに結合された制御装置コンピュータを含むことができる。制御装置コンピュータは、測定装置からレジストの少なくとも1つの測定された特性を受け取るように構成することができる。制御装置コンピュータはまた、少なくともその1つの測定された特性に応答して、プロセス・モジュールの少なくとも1つのパラメータを変更するように構成することができる。
他の実施態様は、半導体デバイスを作製するための方法に関する。たとえば、本方法は、リソグラフィ・プロセス中にウェハ上に配置されたレジストの少なくとも1つの特性を測定することを含むことができる。本方法はまた、少なくともその1つの測定された特性に応答して、少なくとも1つのプロセス・モジュールの少なくとも1つのパラメータを変更し、リソグラフィ・プロセスのクリティカルな測定基準のウェハ内変動を低減することができる。さらに、本方法は、ウェハを加工して、ウェハ上に少なくとも1つの半導体デバイスの少なくとも一部分を形成することを含むことができる。たとえば、ウェハを加工することは、エッチング、イオン注入、堆積、化学的機械的研磨、またはめっきを含むことができる。このような形で、本方法によって形成される半導体デバイスはより高い性能のビン特性を有することができ、それにより、歩留まりだけでなく高マージンの製品歩留まりをも改善する。
本発明の他の利点は、好ましい実施形態の以下の詳細な説明の恩恵により、また添付の図面を参照したとき、当業者には明らかになろう。
本発明は、様々な修正および代替形態を受けやすいが、その特定の実施形態について、例を介して示し、本明細書で詳しく述べることができる。図面は原寸に比例して示されていない。しかし、図面とそれに対する詳細な説明は、開示する特定の形態に本発明を制限するものではなく、対照的に本発明は、添付の特許請求の範囲によって定義される本発明の精神および範囲内に入る修正、均等物、および代替物すべてを包含するものとする。
リソグラフィ・プロセスを評価し、かつ制御するための方法を示す流れ図である。 全体的な1次加熱要素に加えていくつかの離散的な2次加熱要素を有する露光後ベーク・プロセス・モジュールのベーク・プレートの平面図である。
(好ましい実施の形態の詳細な説明)
次いで図面に転じると、図1は、リソグラフィ・プロセスの性能特性を評価し、かつ制御するための方法の一実施形態を示す。たとえば、本発明を使用して、リソグラフィ工程のクリティカルな測定基準のウェハ内(WIW)変動を低減し、さらに最小限に抑えることができる。リソグラフィ・プロセスのクリティカルな測定基準には、それだけには限らないが、リソグラフィ・プロセスによって形成されたフィーチャのクリティカル寸法、およびオーバーレイを含むことができる。リソグラフィ・プロセス中に形成されたフィーチャのクリティカル寸法には、たとえばフィーチャの幅、高さ、側壁プロフィルを含むことができる。フィーチャの側壁プロフィルは、たとえば、ウェハの上部表面に対するフィーチャの側壁角度、フィーチャの側壁の粗さ、およびフィーチャの他の物理特性によって説明することができる。オーバーレイは、一般に、ウェハのある堆積層上におけるフィーチャ横位置に対する、ウェハの別の堆積層上におけるフィーチャの横位置のことを言う。リソグラフィ・プロセスは、光学リソグラフィ、電子線リソグラフィ、X線リソグラフィを含むことができる。
リソグラフィ・クラスタ・ツールまたはリソグラフィ・トラックは、1組のプロセス・モジュールを含むことができる。リソグラフィ・クラスタ・ツールの一例は、Yoshiokaらの米国特許第5,968,691号に示されており、これを参照により本明細書に全体が述べられているかの如く組み込む。リソグラフィ・クラスタ・ツールは、露光装置に結合することができる。プロセス・モジュールの第1の部分は、レジストを露光する前にリソグラフィ・プロセスの少なくとも1つのステップを実行するように構成することができる。プロセス・モジュールの第2の部分は、レジストを露光した後でリソグラフィ・プロセスのプロセス・ステップを実行するように構成することができる。リソグラフィ・クラスタ・ツールはまた、少なくとも1つのロボット・ウェハ・ハンドラを含むことができる。ロボット・ウェハ・ハンドラは、ウェハをモジュールからモジュールに移動させることができる。ロボット・ウェハ・ハンドラはまた、ウェハをリソグラフィ・クラスタ・ツールから露光装置に移動させるために使用することができる。
ステップ10に示すように、ロボット・ウェハ・ハンドラは、オペレータによってリソグラフィ・クラスタ・ツール内に載せることができるカセットから、ウェハを拾い上げることができる。カセットは、リソグラフィ・プロセス中に加工することができるいくつかのウェハを容れることができる。ウェハは、ベア・シリコン・ウェハとすることができる。別法として、リソグラフィ・プロセスの前にウェハを加工しておくことができる。たとえば、表面形状のフィーチャをウェハ上に形成しておくことができる。表面形状のフィーチャには、トレンチ、ビア、ラインなどを含むことができる。さらに、誘電材料など1つまたは複数の材料層を、リソグラフィ・プロセスの前にウェハ上に形成しておくことができる。
ステップ12に示すように、ウェハは、表面準備チャンバなどプロセス・モジュール内に配置することができる。表面準備チャンバは、ヘキサメチルジシラザン(HMDS)など接着促進用化学薬品の層をウェハの表面上に形成するように構成することができる。HMDSは、約80℃から約180℃の温度で付着させることができる。したがって、表面準備プロセスの後で、ロボット・ウェハ・ハンドラは、表面準備チャンバからウェハを取り出すことができ、ステップ14に示すようにウェハを冷却モジュール内に配置することができる。したがって、後続の加工に適した温度にウェハを下げることができる(たとえば、約20℃から約25℃)。
追加の一実施形態では、反射防止コーティングをウェハの表面上に形成することもできる。反射防止コーティングは、たとえば、スピン・コーティングとそれに続く塗布後ベーク・プロセスによってウェハ上に形成することができる。反射防止コーティング用の塗布後ベーク・プロセスは、一般に約175℃から約230℃の温度にコーティング済みウェハを加熱することを必要とし、冷却プロセスもまた塗布後ベーク・プロセスの後で実行することができる。
レジストは、ステップ16に示すようにウェハ上に形成することができる。たとえば、ウェハをレジスト塗布プロセス・モジュール内に配置することができる。レジストは、ウェハの上部表面上に自動かけることができる。レジストは、約2000rpmから約4000rpmなど高速度でウェハを回転させることにより、ウェハ全体にわたって均一に分配することができる。回転プロセスはレジストを適切に乾燥させることができ、それにより、コーティング済みレジストに影響を及ぼすことなくウェハをレジスト塗布モジュールから取り出すことができる。ステップ18に示すように、レジスト・コーティング済みウェハは、塗布後ベーク・プロセスで加熱することができる。塗布後ベーク・プロセスは、約90℃から約140℃の温度でレジスト・コーティング済みウェハを加熱することを含むことができる。塗布後ベーク・プロセスは、余分な溶剤をレジストから取り除くために、また、表面張力など、レジストの上部表面の特性を変えるために使用することができる。塗布後ベーク・プロセスの後で、ステップ20に示すように、ウェハを約20℃から約25℃の温度で冷却することができる。
本方法はまた、冷却の後で、ウェハ上に形成されたレジストの特性を測定することを含むことができる。ステップ22に示すように、たとえば、塗布後ベーク・ステップ後の冷却の後で、ウェハを測定装置に、またはウェハ被膜測定装置内に移動させることができる。別法として、たとえば、測定装置が冷却モジュールに結合されている場合は、測定中にウェハを冷却モジュール内に残すことができる。測定装置は、光学技法を使用してレジストの少なくとも1つの特性を測定するように構成された任意のデバイスとすることができる。測定装置はまた、ウェハ上の複数の位置でレジストの少なくとも1つの特性を測定するように構成することができる。光学技法は、それだけには限らないが、スキャタロメータ法、干渉法、反射率法、分光偏光解析法、または分光反射率法を含むことができる。さらに、他の光学測定装置を使用してレジストの特性を測定することもできる。使用することができる測定装置の例は、Goldらの米国特許第4,999,014号、Goldらの第5,042,951号、Rosencwaigらの第5,412,473号、Hobbsらの第5,516,608号、Chenらの第5,581,350号、Rosencwaigらの第5,596,406号、Fantonらの第5,596,411号、Piwonka−Corleらの第5,608,526号、Nortonらの第5,747,813号、Carterらの第5,771,094号、Aspnesらの第5,798,837号、Nortonらの第5,859,424号、Aspnesらの第5,877,859号、Bareketらの第5,889,593号、Aspnesらの第5,900,939号、Piwonka−Corleらの第5,910,842号、Addiegoらの第5,917,588号、Nortonらの第5,917,594号、Aspnesらの第5,973,787号、Kulkarniらの第5,991,699号に示されており、これらを参照により本明細書に全体が述べられているかの如く組み込む。測定装置の追加の例が、RosencwaigらのPCT出願WO 99/02970およびPCT出願WO 99/45340に示されており、これらを参照により本明細書に全体が述べられているかの如く組み込む。
測定装置は、レジストの少なくとも1つの特性を測定することができる。さらに、測定装置は、レジストのいくつかの特性を実質的に同時に測定することができる。塗布後ベーク・プロセスの後で測定されるレジストの特性は、それだけには限らないが、レジストの厚さ、屈折率、または消光係数(extinction coefficient)を含むことができる。測定された特性は、ステップ24に示すように制御装置コンピュータ、またはウェハ内被膜制御装置に送ることができる。制御装置コンピュータは、測定装置に結合することができる。制御装置コンピュータは、測定されたレジスト特性に応答して、リソグラフィ・プロセスのプロセス・ステップのパラメータを決定することができる。たとえば、制御装置コンピュータは、外部で決定された、または数値シミュレーションされた関係を使用して、レジストに応じてプロセス・ステップのパラメータを決定することができる。制御装置コンピュータはまた、リソグラフィ・クラスタ・ツールの少なくとも1つのプロセス・モジュールに結合することができる。このような形で、制御装置コンピュータは、リソグラフィ・クラスタ・ツールのプロセス・モジュールのパラメータを変更するように構成することができる。したがって、制御装置コンピュータは、リソグラフィ・クラスタ・ツール内に含まれるどんなプロセス・モジュールの動作でも制御することができる。別法として、プロセス・モジュールのパラメータは、測定装置または制御装置コンピュータからの出力に応答して、オペレータが手動で変更することができる。
一実施形態では、フィードフォワード制御技法を使用して、プロセス・モジュールのパラメータを変更することができる。たとえば、オペレータまたは制御装置コンピュータは、測定されたレジスト上で追加のリソグラフィ・プロセス・ステップを実行するために使用することができる、プロセス・モジュールの少なくとも1つのパラメータを決定することができる。追加のリソグラフィ・プロセス・ステップは、露光および露光後ベークを含むことができる。このような形で、レジストの特性を使用して、露光ステップまたは露光後ベーク・ステップを実行するように構成されたプロセス・モジュールのパラメータを変更することができる。たとえば、冷却プロセスの後で測定されたレジストの厚さ、屈折率、および/または消光係数を使用して、露光プロセスの露光線量または露光後ベーク・プロセスの温度を決定することができる。オペレータまたは制御装置コンピュータは、決定された露光線量または温度に応答して、露光プロセス・モジュールまたは露光後ベーク・プロセス・モジュールの少なくとも1つのパラメータをそれぞれ変更することができる。
さらに、レジストの少なくとも1つの特性はウェハ全体にわたって様々な位置で測定することができるため、様々な位置のそれぞれについて、少なくとも1つのパラメータを決定することができる。したがって、プロセス・モジュールのパラメータもまた、上述のように、ウェハ上のフィールドからフィールドにかけて独立して変更することができる。たとえば、露光線量および/または露光後ベーク温度などプロセス条件は、フィールドからフィールドにかけてウェハ全体にわたる少なくとも1つの測定された特性の変動に応答して、後続のプロセス内でウェハ全体にわたって変わる可能性がある。このような形で、リソグラフィ・プロセスのクリティカルな測定基準は、ウェハ全体にわたって実質的に均一にすることができる。
追加の一実施形態では、フィードバック制御技法を使用して、プロセス・モジュールのパラメータを変更することができる。このような形で、レジストを形成するために使用された少なくとも1つのプロセス・モジュールのパラメータをプロセスの前またはその間に変更して、追加のウェハ上にレジストを形成することができる。追加のウェハは、測定済みウェハと同じロット内に含まれるウェハ、または測定済みウェハと異なるロットに含まれるウェハを含むことができる。そのようなパラメータは、上述のようにレジストの少なくとも1つの測定された特性に応答して決定することができる。たとえば、レジストの特性を使用して、追加ウェハの加工の前、および/またはその間に、レジスト塗布プロセス・モジュールまたは塗布後ベーク・プロセス・モジュールのパラメータを変更することができる。
ステップ26に示すように、ウェハを露光プロセス・モジュールに移送することができる。露光プロセス・モジュールは、それだけには限らないが、ウェハを位置合わせすること、および所定のパターンにレジストを露光することを含むことができるいくつかの動作を実行することができる。たとえば、露光プロセス・モジュールは、当技術分野で周知の任意のステッパまたはスキャナを含むことができる。レジストを露光することはまた、特定の光強度、または露光線量、および特定の合焦条件にレジストをさらすことを含むことができる。多数の露光プロセス・モジュールは、露光プロセスの露光線量または合焦条件を、たとえばフィールドからフィールドにかけてウェハ全体にわたって変更することができるように構成することができる。露光線量または合焦条件は、フィードバックまたはフィードフォワード制御技法を使用して、本明細書で述べるように決定し、かつ/または変更することができる。
ステップ28に示すように、リソグラフィ・プロセス内の任意選択のプロセス・ステップは、縁部露光ステップを含むことができる。縁部露光ステップは、ウェハの外縁に近接して配置されたレジストを光源にさらして、ウェハの外縁のレジストを除去することを含むことができる。そのようなウェハの外縁のレジストを除去することにより、後続のプロセスで使用されるプロセス・チャンバおよびデバイスの汚染を低減することができる。
ステップ30に示すように、ウェハは、露光後ベーク・プロセス・ステップを受けることができる。露光後ベーク・プロセスを使用して、レジストの露光済み部分内で化学反応を起こし、それにより、レジストの各部分を後続の加工の際に除去できるようにすることができる。したがって、露光後ベーク・プロセスの性能は、リソグラフィ・プロセスの性能にとってクリティカルな可能性がある。露光後ベーク・プロセスは、約90℃から約150℃の温度にウェハを加熱することを含むことができる。ステップ32に示すように、測定装置、またはウェハ内クリティカル寸法測定装置を露光後ベーク・プロセス・モジュールに結合することができる。このような形で、露光後ベーク・プロセス中にレジストの特性を測定することができる。測定装置は、光学技法を使用して、厚さ、潜像の線幅、潜像の高さ、屈折率、または消光係数など、レジストの特性を測定することができる。測定装置は、スキャタロメータ法、干渉法、反射率法、分光偏光解析法、または分光反射率法などの技法を使用するように構成することができる。測定装置の追加の例には、本明細書で述べるどんな測定装置でも含むことができる。したがって、レジストの測定された特性は、in situ制御技法を使用して露光後ベーク・プロセスを評価し、かつ制御するために使用することができる。たとえば、測定装置は、露光後ベーク・プロセス中にレジストの特性を測定することができ、露光後ベーク・プロセス・モジュールのパラメータを、プロセス中に、測定された特性に応答して変更することができる。
さらに、測定装置を使用して、露光後ベーク・プロセス中に様々な時点でレジストの特性を測定することができる。したがって、測定装置は、レジストの少なくとも1つの特性の変動を経時的に監視することができる。このような形で、露光後ベーク・プロセスの終点に特徴的なシグナチャを決定することができ、その時点でプロセスを終わりにすることができる。露光後ベーク・プロセス中にレジストの少なくとも1つの特性の変動を監視することはまた、ウェハ上の複数の位置でレジストの少なくとも1つの特性を測定することによって向上させることができる。
測定装置は、露光後ベーク・プロセス中に、フィールド内の複数の位置で、またウェハ上の少なくとも2つのフィールド内の複数の位置で、レジストの特性を測定するように構成することができる。このような形で、プロセス・モジュールの少なくとも1つのパラメータを、ウェハ全体にわたって様々な位置で決定することができる。したがって、露光後ベーク・モジュールのパラメータは、上述のようにウェハ上のフィールドからフィールドにかけて独立して変更することができる。たとえば、露光後ベーク・プロセス・モジュールのベーク・プレートの温度は、フィールドからフィールドにかけてウェハ全体にわたるレジストの少なくとも1つの測定特性の変動に応答して、露光後ベーク・プロセス中にベーク・プレート全体にわたって変わる可能性がある。したがって、クリティカルなパラメータのウェハ内変動を低減し、あるいはさらに最小限に抑えることができる。
図2に示すように、露光後ベーク・プレートの温度は、1次加熱要素50内に配置されたいくつかの離散的な2次加熱要素48を使用することによって、ベーク・プレート全体にわたって変えることができる。2次加熱要素48および1次加熱要素50は、抵抗性加熱要素、または当技術分野で周知の任意の他の熱源を含むことができる。2次加熱要素48は、たとえば、2次加熱要素のそれぞれに供給される電流を変えて、1次加熱要素50の温度プロフィルを変更することによって、独立して制御することができる。したがって、露光後ベーク・プロセス中のウェハ全体にわたる温度プロフィルを変更し、それにより、ウェハ上の個々のフィールドを実質的に同じ温度で、または個々に決定された温度で加熱できるようにすることができる。このような形で、ウェハ全体にわたってリソグラフィ・プロセスのクリティカルな測定基準の均一性を高めることができる。
再度図1を参照すると、ステップ34に示すように、露光後ベーク・プロセスの後でウェハを冷却する。冷却の後で、ウェハを測定装置に移動させることができる。別法として、たとえば、測定装置が冷却モジュールに結合されている場合は、測定中にウェハを冷却モジュール内に残すことができる。測定装置は、本明細書で述べる任意の測定装置として構成することができる。測定装置は、レジストの少なくとも1つの特性を測定することができる。さらに、測定装置は、レジストのいくつかの特性を実質的に同時に測定することができる。冷却プロセス中の後で測定されるレジストの特性は、それだけには限らないが、厚さ、潜像の線幅、潜像の高さ、屈折率、または消光係数を含むことができる。レジストの測定された特性は、フィードバック制御技法またはフィードフォワード制御技法を使用してリソグラフィ・クラスタ・ツールのプロセス・モジュールのパラメータを変更するために使用することができる。たとえば、レジストの測定された特性は、フィードバック制御技法を使用して露光線量または露光後ベーク温度を変更するために、またはフィードフォワード制御技法を使用して現像時間を変更するために使用することができる。
測定装置は、冷却プロセスの後で、またはその間に、フィールド内の複数の位置で、またウェハ上の少なくとも2つのフィールド内の複数の位置で、レジストの特性を測定するように構成することができる。このような形で、リソグラフィ・クラスタ・ツールのプロセス・モジュールの少なくとも1つのパラメータを、ウェハ全体にわたって様々な位置で決定することができる。したがって、露光プロセス・モジュール、露光後ベーク・プロセス・モジュール、または現像プロセス・モジュールのパラメータは、上述のようにウェハ上のフィールドからフィールドにかけて独立して変更することができる。たとえば、露光後ベーク・プロセス・モジュールのベーク・プレートの温度は、フィールドからフィールドにかけてウェハ全体にわたるレジストの少なくとも1つの測定特性の変動に応答して、ベーク・プレート全体にわたって変わる可能性がある。したがって、上述のように、クリティカルなパラメータのウェハ内変動を低減し、あるいはさらに最小限に抑えることができる。
ステップ36に示すように、露光後プロセスの後で、ウェハは現像プロセス・ステップを受けることができる。現像プロセス・ステップは、レジストの一部分を除去するように構成することができる。たとえば、現像プロセスは、露光後ベーク・プロセスの後でウェハ上に水性現像溶液をかけること、および脱イオン水でウェハをすすぐことを含むことができる。現像プロセス後に残るレジストが、元のレジスト層内に形成されたパターンを画定することができる。形成されたパターンは、ライン、トレンチ、および/またはビアの配列を含むことができる。現像プロセスの後で、ステップ38に示すように、測定装置、またはウェハ内クリティカル寸法測定装置を使用して、それだけには限らないが、残りのレジストの厚さ、屈折率、または消光係数、フィーチャの幅、高さ、または側壁プロフィル、あるいはオーバーレイなど、レジストの特性を測定することができる。測定された特性は、ステップ46に示すように制御装置コンピュータ、またはウェハ内クリティカル測定基準制御装置に送ることができる。
リソグラフィ・プロセス内で必要とされるプロセス・モジュールのパラメータは、フィードバック制御技法を使用して、測定された特性に応答して変更することができる。たとえば、プロセス・モジュールの変更されたパラメータは、レジストの測定された特性の関数とすることができる。フィードバック制御技法は、たとえば、現像プロセスの後でレジスト内に形成されたフィーチャの線幅を測定すること、および露光プロセス・モジュールまたは露光後ベーク・プロセス・モジュールのパラメータを変更することを含むことができ、これを使用して追加のウェハを作製することができる。さらに、レジスト内に形成されたフィーチャの線幅は、現像プロセス・ステップの後でウェハ全体にわたって様々な位置で測定することができる。このような形で、露光プロセス・モジュールのパラメータは、各フィールドで露光線量や露光合焦条件など露光プロセス・ステップのパラメータを変更することにより、レジストの測定された特性に応答して、フィールド・レベルで変更することができる。したがって、制御装置コンピュータは、レジストの測定された特性に応答して、露光線量および/または露光合焦条件の2次元アレイを露光プロセス・モジュールに提供することができる。したがって、リソグラフィ・プロセスのウェハ内クリティカル測定基準を低減し、あるいはさらに最小限に抑えることができる。
ステップ40に示すように、レジストの特性を測定した後で、ハード・ベークまたは現像後ベーク・プロセスを実行することができる。ハード・ベーク・プロセスを使用して、汚染物質、およびどんな余分な水分でもレジストから取り除くことができる。したがって、ハード・ベーク・プロセスは、約90℃から約130℃の温度でウェハを加熱することを含む。ステップ42に示すように、次いでウェハの温度を、ウェハ冷却プロセスを使用することによって下げることができる。ステップ42のウェハ冷却プロセスの後で、ステップ44に示すように、レジストの少なくとも1つの特性の追加測定を本明細書で述べるように実行することができる。測定装置は、上記実施形態のいずれかに述べたように構成することができる。この測定はまた、本明細書で述べるフィードバック制御技法を使用してプロセス・モジュールのパラメータを変更するために使用することができる。たとえば、レジストの少なくとも1つの測定された特性は、ステップ48に示すように制御装置コンピュータ、またはウェハ内クリティカル寸法制御装置に送ることができる。
上述した測定はすべて、フィードバック、フィードフォワード、またはin situプロセス制御技法を使用して、リソグラフィ・プロセス・モジュールのパラメータを変更するために使用することができることを理解されたい。さらに、リソグラフィ・プロセスのクリティカルな測定基準のウェハ内変動は、上記技法の組合せを使用することによってさらに低減することができる。本方法はまた、ウェハ上に反射防止コーティングを形成した後で、反射防止コーティングの少なくとも1つの特性を測定することなど、リソグラフィ・プロセスの追加のポイントでの測定を含むことができる。反射防止コーティングの特性は、本明細書で述べるフィードバック制御技法、フィードフォワード制御技法、またはin situ制御技法を使用してプロセス・モジュールのパラメータを変更するために使用することができる。
追加の一実施形態では、リソグラフィ・プロセスを評価し、かつ制御するように構成されたシステムが、少なくとも1つの測定装置、および少なくとも1つのプロセス・モジュールを含むことができる。本システムは、リソグラフィ工程の少なくとも1つのクリティカルな測定基準のウェハ内変動を低減し、さらに最小限に抑えるように構成することができる。リソグラフィ・プロセスのクリティカルな測定基準には、それだけには限らないが、上述のようにリソグラフィ・プロセスによって形成されたフィーチャのクリティカル寸法、およびオーバーレイを含む。
測定装置は、リソグラフィ・プロセス中にウェハ上に配置されたレジストの少なくとも1つの特性を測定するように構成することができる。図1に示すように、たとえば、測定装置は、ウェハ内被膜測定装置22、ウェハ内クリティカル寸法制御装置32、ウェハ内クリティカル寸法測定装置38、および/またはウェハ内クリティカル寸法測定装置44を含むことができる。そのような測定装置は、本明細書で述べるように構成することができる。さらに、本システムは、本明細書で述べるように追加の測定装置を含むことができる。測定装置は、上述したどんなプロセス・ステップ中でも、または上述したどんなプロセス・ステップの後でもレジストの特性を測定するように構成することができる。
したがって、一実施形態では、測定装置を少なくとも1つのプロセス・モジュールに結合し、それにより、測定装置がレジストのin situ測定を実行できるようにすることができる。別法では、測定装置をリソグラフィ・クラスタ・ツール内に配置し、それにより、測定装置が2つのプロセス・ステップ中でレジストの測定を実行できるようにすることができる。このような形で、本明細書で述べる方法は、従来のリソグラフィ・プロセス制御方法よりターン・アラウンド時間を速くすることができる。本明細書で述べるように、少なくともその1つの測定された特性は、厚さ、屈折率、消光係数、潜像の線幅、潜像の高さ、フィーチャの幅、フィーチャの高さ、フィーチャの側壁プロフィル、オーバーレイ、またはそれらの任意の組合せを含むことができる。少なくともその1つの測定装置はまた、ウェハ全体にわたって様々な場所でレジストの少なくともその1つの特性を測定するように構成することができる。たとえば、レジストの厚さは、ウェハ全体にわたって様々な位置またはフィールドで測定することができる。さらに、レジストの特性は、ウェハのフィールド内の様々な位置で、またはウェハのいくつかのフィールド内の様々な位置で測定することができる。
プロセス・モジュールは、リソグラフィ・プロセスのステップを実行するように構成することができる。図1に示すように、たとえば、そのようなプロセス・モジュールは、それだけには限らないが、表面準備チャンバ12、レジスト塗布プロセス・モジュール16、塗布後ベーク・プロセス・モジュール18、露光プロセス・モジュール26、露光後ベーク・プロセス・モジュール30、現像プロセス・モジュール36、ハード・ベーク・プロセス・モジュール40を含むことができる。プロセス・モジュールの少なくとも1つのパラメータは、少なくともその1つの測定された特性に応答して変更し、リソグラフィ・プロセスのウェハ内クリティカル測定基準を低減し、あるいはさらに最小限に抑えることができるようにすることができる。たとえば、プロセス・モジュールの少なくとも1つのパラメータは、フィードバック制御技法、フィードフォワード制御技法、in situ制御技法、またはそれらの任意の組合せを使用して変更することができる。
さらに、プロセス・モジュールの少なくともその1つのパラメータは、ウェハの第1の部分を、リソグラフィ・プロセスのステップ中に第1の1組のプロセス条件で加工することができるように、かつウェハの第2の部分を、そのステップ中に第2の1組のプロセス条件で加工することができるように変更することができる。たとえば、ウェハの各部分はウェハのフィールドとすることができる。このような形で、ウェハの各フィールドは、それだけには限らないが、露光線量および合焦条件および露光後ベーク温度など、様々なプロセス条件を受けることができる。したがって、ウェハの各フィールドが、ウェハ上に形成されたレジストの測定された特性に応じて変わる可能性のあるプロセス条件を受けることができるため、リソグラフィ・プロセスのクリティカルな測定基準のウェハ内変動を実質的に低減し、あるいはさらに最小限に抑えることができる。
本システムはまた、少なくとも1つの測定装置に、また少なくとも1つのプロセス・モジュールに結合された制御装置コンピュータを含む。図1に示すように、たとえば、制御装置コンピュータは、ウェハ内被膜制御装置24内、およびウェハ内クリティカル寸法測定装置48を含むことができる。制御装置コンピュータは、当技術分野で周知のどんな制御装置デバイスでも含むことができる。制御装置コンピュータは、測定装置からレジストの少なくとも1つの測定された特性を受け取るように構成することができる。さらに、制御装置コンピュータは、レジストの測定された特性に応答して、プロセス・モジュールの少なくとも1つのパラメータを決定するように構成することができる。たとえば、制御装置コンピュータは、外部で決定された、または数値シミュレーションされた、特性とパラメータ間の関係を使用して、特性に応答してパラメータを決定するように構成することができる。制御装置コンピュータはさらに、決定されたパラメータに応答してパラメータを変更することができるようにプロセス・モジュールを制御するように構成することができる。したがって、プロセス・ステップの変更されたパラメータは、レジストの少なくとも1つの測定された特性の関数となる可能性がある。制御装置コンピュータはまた、測定装置を制御してレジストの物理特性を測定するように構成することができる。
追加の一実施形態では、本システムは、レジストの少なくとも1つの特性の変動を監視するように構成することができる。たとえば、測定装置は、リソグラフィ・プロセスのステップ中に、実質的に連続して、または所定の時間間隔でレジストの特性を測定するように構成することができる。したがって、システムに結合された制御装置コンピュータは、測定装置から測定された特性を受け取ることができ、リソグラフィ・プロセスのプロセス・ステップの持続時間にわたって特性の変動を監視することができる。リソグラフィ・プロセスのステップ中にレジストの少なくとも1つの特性の変動を分析することにより、制御装置コンピュータはまた、露光後ベーク・プロセスなどプロセス・ステップを表すシグナチャを生成することができる。シグナチャは、露光後ベーク・プロセスの終点に特徴的とすることができる少なくとも1つの特異性を含むことができる。プロセス・ステップのための適切な終点は、露光後ベーク・プロセス中に形成されたレジスト内の潜像の線幅または厚さとすることができる。潜像の線幅または厚さは、リソグラフィ・プロセスによって作製される半導体デバイスのフィーチャに応じてより大きく、またはより小さくすることができる。制御装置コンピュータがシグナチャの特異性を検出した後、制御装置コンピュータは、露光後ベーク・プロセス・モジュールに結合されている機器のパラメータのレベルを変えることによって露光後ベーク・プロセスを止めることができる。
一実施形態では、半導体デバイスを作製するための方法が、パターンをレチクルからレジストに転写することができるリソグラフィ・プロセスを含むことができる。たとえば、レジストの一部分をリソグラフィ・プロセスを使用して除去し、それにより、ウェハまたは下にある層の領域を、イオン注入プロセスなど後続のプロセスにさらすことができるようにすることができる。所定の領域は、たとえばソース/ドレイン接合など、半導体デバイスのフィーチャを形成するウェハまたは下にある層の領域とすることができる。半導体デバイスを作製することはまた、リソグラフィ・プロセス中にウェハ上に配置されたレジストの少なくとも1つの測定された特性を測定することによって、リソグラフィ・プロセスを評価し、かつ制御することを含むことができる。さらに、レジストの少なくとも1つの特性を測定することは、リソグラフィ・プロセス中にレジストの少なくとも1つの特性のウェハ内変動を測定することを含むことができる。レジストの物理特性は、リソグラフィ・プロセスのプロセス・ステップによって変更することができる。
半導体デバイスを作製するための方法はまた、リソグラフィ・プロセスのステップを実行するように構成することができるプロセス・モジュールの少なくとも1つのパラメータを決定し、かつ/または変更することを含むことができる。変更されたパラメータは、レジストの少なくとも1つの測定された特性に応答して決定し、リソグラフィ・プロセスのクリティカルな測定基準のウェハ内変動を低減することができる。たとえば、変更されたパラメータは、レジストの物理特性と、リソグラフィ・プロセスのプロセス・ステップのパラメータとの間の関係を説明する関数を使用して決定することができる。変更されたパラメータはまた、ウェハのフィールド内またはいくつかのフィールド内の様々な位置で独立して決定することができる。このような形で、本方法によって作製される半導体デバイスはより高い性能ビン分布を有することができ、それにより、歩留まりだけでなく高マージンの製品歩留まりをも改善する。さらに、半導体デバイスを作製するための方法は、ウェハを加工して、ウェハ上に少なくとも1つの半導体デバイスの少なくとも一部分を形成することを含むことができる。たとえば、ウェハを加工することは、エッチング、イオン注入、堆積、化学的機械的研磨、めっき、および/または当技術分野で周知の任意の他の半導体作製プロセスなど、少なくとも1つの半導体作製プロセスを含むことができる。
1組のデータを収集し、かつ分析することができ、それを使用して、ウェハ上に形成されたレジストの測定された特性に応答してプロセス・モジュールのパラメータを決定することができる。本明細書で述べるプロセス制御方法はまた、リソグラフィ・プロセスを用いて形成することができる半導体デバイスの電気測定と共に、本明細書で述べる光学測定を使用することによって、リソグラフィ・プロセスをさらに最適化するために使用することができる。光学測定と電気測定の組合せは、リソグラフィ・プロセスについて多数の特性データを提供することができる。このような形で、特性データを使用して、リソグラフィの機構を理解し、欠陥の原因を正確に示し、様々なプロセス・モジュールのパラメータ、またはプロセス条件に正確な調整を加えることができる。さらに、そのようなプロセス制御戦略は、新しいリソグラフィ・ツールを適したものとし、またはその性能を特徴付けるために使用することができる。プロセス制御方法はまた、いくつかの同様なリソグラフィ・ツールの性能を比較するために使用することができる。そのような比較は、たとえば、いくつかのツールを平行して使用して1つのデバイスまたは製品を製造することができる製造環境内で使用することができる。さらに、このプロセス制御戦略は、リソグラフィ・プロセスを定義する開発段階において適切なレジストおよび厚さを決定するために使用することができる。
一実施形態では、変更することができるプロセス・モジュールのパラメータとレジストの特性との間で定量関係を創出することができる。たとえば、プロセス・モジュールのパラメータの変形を使用していくつかのウェハを加工することができる。プロセス・モジュールおよび追加のプロセス・モジュールの他のパラメータはすべて一定のままとすることができ、変更されたパラメータとレジストの特性との間の相互関係を創出することができる。このような形で、プロセス・モジュールについてのプロセス・パラメータのそれぞれとレジストの測定された特性との間の定量関係を説明するアルゴリズムを決定することができる。創出されたアルゴリズムは、製品ウェハの加工中に使用して、プロセスおよびプロセス・モジュールについて設計公差内でプロセスが動作しているかどうか判定することができる。さらに、アルゴリズムを創出し、かつ使用して、現行のプロセスをさらに最適化し、新しいプロセス・モジュールを特徴付け、または次世代デバイスを作製するためのプロセスを開発することができる。
さらに、このアルゴリズムは、測定装置またはプロセス・モジュール用の制御装置内に一体化することができる。制御装置は、スキャタロメータ、干渉計、反射率計、分光エリプソメータ、または分光反射率計など、測定装置の動作を制御するためのソフトウェアを動作させるように構成されたコンピュータ・システムとすることができる。コンピュータ・システムは、デバイスを動作させ、収集されたデータに関する計算を実行するためのコンピュータ・プログラムを格納したメモリ媒体を含むことができる。「メモリ媒体」という用語は、たとえばクリティカル寸法−ROMまたはフロッピ・ディスクなど取付け媒体、DRAM、SRAM、EDO RAM、Rambus RAMなどコンピュータ・システム・メモリ、または、たとえばハード・ドライブもしくは光学記憶装置などの磁気媒体など不揮発性メモリを含むものとする。メモリ媒体は、他のタイプのメモリも同様に、またはそれらの組合せを含むことができる。さらに、メモリ媒体は、プログラムが実行される第1のコンピュータ内に位置することができ、またはネットワークを介して第1のコンピュータに接続する第2の異なるコンピュータ内に位置することができる。後者の場合には、第2のコンピュータが、実行するために第1のコンピュータにプログラム命令を提供する。コンピュータ・システムはまた、パーソナル・コンピュータ・システム、メインフレーム・コンピュータ・システム、ワークステーション、ネットワーク機器、インターネット機器、パーソナル・デジタル・アシスタント(PDA)、テレビジョン・システム、または他のデバイスを含む様々な形態をとることができる。一般に、「コンピュータ・システム」という用語は、メモリ媒体から命令を実行するプロセッサを有するどんなデバイスでも包含すると広く定義することができる。
メモリ媒体は、測定装置および/またはプロセス・モジュールのオペレーション用ソフトウェア・プログラムも記憶することが好ましい。ソフトウェア・プログラムは、とりわけ手続きベースの技法、コンポーネント・ベースの技法、および/またはオブジェクト指向型技法を含む様々な方法のいずれかで実施することができる。たとえば、ソフトウェア・プログラムは、望むならActiveXコントロール、C++オブジェクト、Java(登録商標)Beans、Microsoft Foundation Classes(MFC)、または他の技法もしくは方法を使用して実施することができる。メモリ媒体からのコードおよびデータを実行するホストCPUなどCPUは、上述した方法によるソフトウェア・プログラムを作成し、かつ実行するための手段を含む。
様々な実施形態は、前述の説明に従って実施された命令および/またはデータをキャリヤ媒体上で受け取ること、または記憶することをさらに含む。適切なキャリヤ媒体は、たとえばディスクまたはクリティカル寸法−ROMなどの磁気媒体または光学媒体などメモリ媒体または記憶媒体、ならびにネットワークおよび/または無線リンクなど通信媒体を介して搬送される電気信号、電磁信号、またはデジタル信号など信号を含む。
次いで、測定装置用ソフトウェアを使用して、後続のリソグラフィ・プロセスの加工条件を監視し、かつ予測することができる。リソグラフィ・プロセスのプロセス・ステップのために事前定義されたアルゴリズムを、測定装置とインターフェースするソフトウェア・パッケージ内に組み込むことができることが好ましい。このような形で、ソフトウェアは、測定装置によって測定することができるデータを受け取るように構成することができる。ソフトウェアはまた、適切な計算を実行して、データをレジストの特性に変換するように構成することができる。さらに、ソフトウェアはまた、製品ウェハ上に形成されたレジストの特性を、リソグラフィ・プロセスのための基準ウェハ上に形成されたレジストの特性に比較するように構成することができる。このような形で、ソフトウェアは、特性の変動を、プロセス条件内で生じることのできる変動に変換するように構成することができる。さらに、適切なアルゴリズムを組み込むことにより、ソフトウェアはまた、レジストの特性を、露光ステップの特徴または露光後ベーク・ステップの特徴を含むリソグラフィ・プロセスのプロセス条件に関して意味をもったデータに変換するように構成することができる。
上述したフィールド・レベル分析を使用してリソグラフィ・プロセスを評価し、かつ制御するための方法は、現行のプロセス制御方法に優る劇的な改善を実現することができる。クリティカルな測定基準、またはクリティカル寸法のウェハ内変動を測定することにより、クリティカル寸法分布のより厳重な制御を実現することができる。したがって、製造歩留まりを改善することに加えて、上述した方法はまた、製造されたデバイスの分布性能をより高い性能レベルに、製造プロセスによって近づけることを可能にすることができる。したがって、リソグラフィ・プロセスを評価し、かつ制御するためのそのような方法を使用することによって、高マージンの製品歩留まりを改善することもできる。さらに、リソグラフィ・プロセスの追加の変動もまた最小限に抑えることができる。たとえば、プロセスが2つの異なる露光後ベーク・ユニットを使用して、1ロットのウェハを加工することができる。2つのベーク・ユニットを使用して同じプロセスを実行し、全体の加工時間を減らすために2枚のウェハを同時に処理できるようにすることができる。したがって、上記の方法を使用して、各ベーク・ユニットを別々に評価し、かつ制御することができる。したがって、全体のプロセスの広がりを減少させることもできる。
本発明に従って集められたデータは、どんな適切な手段によってでも分析し、編成し、かつ表示することができる。たとえば、データは、半径の連続関数、半径範囲によるビン、ステッパ領域によるビン、xy位置(またはグリッドなどxy位置の範囲)による、最も近いダイによる、および/または他の適切な方法としてウェハ全体にわたってグループ化することができよう。データの変動は、平均値からの標準偏差、値の範囲、および/または任意の他の適切な統計方法によってレポートすることができる。
ウェハ内変動の程度(範囲、標準偏差など)は、ウェハ、ロットおよび/またはプロセス条件に応じて分析することができる。たとえば、測定されたクリティカル寸法のウェハ内標準偏差は、ロット間、ウェハ間などの変動について分析することができる。ウェハ内変動の程度はまた、現像時間、フォトリソグラフィの露光条件、レジスト厚、露光後ベーク時間および/または温度、露光前ベーク時間および/または温度など、1つまたは複数のプロセス条件の変動に応じてグループ化し、レポートし、かつ/または分析することができる。ウェハ内変動の程度はまた、あるいはそれに代えて、そのような加工条件の1つまたは複数のウェハ内変動に応じてグループ化し、レポートし、かつ/または分析することができる。
本発明に従って集められたデータは、プロセス条件をよりよく制御するためだけでなく、望ましい場合には、in situ終点検出および/またはプロセス制御技法においてよりよく制御するために使用することができる。たとえば、本発明に従って集められたデータは、米国特許第5,689,614号および/または公開欧州特許出願EP 1066925 A2(これらを参照により本明細書に全体が述べられているかの如く組み込む)に述べられているものなど装置と共に使用し、基板の局所加熱に対する制御、または閉ループ制御アルゴリズムを改善することができる。ウェハ内変動データをそのようなツールにフィードフォワードまたはフィードバックして、局所ウェハの加熱または研磨を制御する際に使用されるアルゴリズムを最適化し、またはさらにツール設計を最適化することができよう。そのような局所プロセス制御の他の例では、ウェハ内変動データを使用して、公開PCT特許出願WO 99/41434、またはWO 99/25004および/または公開欧州特許出願1065567 A2(これらを参照により本明細書に全体が述べられているかの如く組み込む)の1つまたは複数に述べられているものなどプロセスまたはツールを制御し、または最適化することができよう。この場合も、たとえばスタンド・アロンおよび/または一体化された測定ツールから得たウェハ内変動データを使用して、そのようなツールまたはプロセス内で、アルゴリズム、プロセス・パラメータ、および一体化されたプロセス制御装置および方法をよりよく制御し、かつ/または最適化することができよう。金属の厚さとそのウェハ内変動に関するデータは、米国特許第5,619,548号および/または公開PCT出願WO 01/09566(これらを参照により本明細書に全体が述べられているかの如く組み込む)に開示されているものなどX線反射率ツールから、うず電流測定により、または電子線誘導X線分析により、または任意の他の適切な方法により導出することができよう。
この説明に鑑みて、当業者には、本発明の様々な形態の他の修正および代替実施形態が明らかであろう。たとえば、リソグラフィ・プロセス制御のための方法およびシステムが提供される。したがって、この説明は、例示として解釈するにすぎないものとし、本発明を実施する一般的な形を当業者に教示するためのものである。本明細書に示され、また述べられている本発明の形態は、現在の好ましい実施形態と解釈するべきであることを理解されたい。本明細書に示され、また述べられているものの代わりに要素および材料を使用することができ、各部およびプロセスは逆転させることができ、本発明の一定の特徴は独立して使用することができ、すべてこの本発明の説明の恩恵を得た後で当業者には明らかになろう。以下の特許請求の範囲に述べた本発明の精神および範囲から逸脱することなく
、本明細書で述べた要素に変更を加えることが可能である。

Claims (2)

  1. 一組のプロセス・モジュールとロボット・ウェハ・ハンドラを含むリソグラフィ・クラスタ・ツールであって、
    前記ロボット・ウェハ・ハンドラは、前記リソグラフィ・クラスタ・ツール内に載せられたカセットから前記一組のプロセス・モジュールのうちの1つのプロセス・モジュールにウェハを移動させるように構成される、リソグラフィ・クラスタ・ツールと、
    前記リソグラフィ・クラスタ・ツール内に一体化された測定装置であって、露光プロセスを実行する前に、前記ウェハ上の2以上の位置において前記ウェハ上に配置されたレジストの少なくとも1つの特性を測定するために光学技法を用いるように構成され、前記光学技法はスキャタロメータ法を含む、測定装置と、
    前記ウェハの第1の部分を、露光後ベーク・プロセスのステップ中に第1の温度条件で加工することができるように、かつ前記ウェハの第2の部分を、そのステップ中に第2の温度条件で加工することができるように、前記少なくとも1つの特性に応答して、前記一組のプロセス・モジュールのうちの露光後ベーク・プロセスのステップを実行するように構成された少なくとも1つのプロセス・モジュールについて、少なくとも1つのパラメータを変更するように構成される制御装置コンピュータであって、前記第1の温度条件は、前記第2の温度条件とは異なる、制御装置コンピュータと、を含むシステムであって、
    少なくとも前記1つの特性は厚さであり、
    少なくとも前記1つのパラメータは露光後ベーク温度であることを特徴とするシステム。
  2. リソグラフィ・クラスタ・ツールのプロセス・モジュールから前記リソグラフィ・クラスタ・ツール内に配置された測定装置へウェハをロボット・ウェハ・ハンドラにより移動させるステップと、
    前記測定装置により、露光プロセスのステップを実行する前に、前記ウェハ上の2以上の位置においてレジストの少なくとも1つの特性を測定するステップと、
    前記ウェハの第1の部分を、前記リソグラフィ・クラスタ・ツールにより実行される露光後ベーク・プロセスのステップ中に第1の温度条件で加工することができるように、かつ前記ウェハの第2の部分を、そのステップ中に第2の温度条件で加工することができるように、前記少なくともその1つの特性に応答して、露光後ベーク・プロセスのステップを実行するように構成された前記リソグラフィ・クラスタ・ツールの少なくとも1つのパラメータを変更するステップと、を含み、
    少なくとも前記1つの特性は厚さであり、
    少なくとも前記1つのパラメータは露光後ベーク温度であり、
    前記第1の温度条件は、前記第2の温度条件とは異なることを特徴とする方法。
JP2012075834A 2000-05-04 2012-03-29 リソグラフィ・プロセス制御のための方法およびシステム Expired - Lifetime JP6032913B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20237200P 2000-05-04 2000-05-04
US60/202,372 2000-05-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011189697A Division JP6032875B2 (ja) 2000-05-04 2011-08-31 リソグラフィ・プロセス制御のための方法およびシステム

Publications (2)

Publication Number Publication Date
JP2012169638A JP2012169638A (ja) 2012-09-06
JP6032913B2 true JP6032913B2 (ja) 2016-11-30

Family

ID=22749596

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2001580732A Pending JP2003532306A (ja) 2000-05-04 2001-05-04 リソグラフィ・プロセス制御のための方法およびシステム
JP2011189697A Expired - Lifetime JP6032875B2 (ja) 2000-05-04 2011-08-31 リソグラフィ・プロセス制御のための方法およびシステム
JP2012075834A Expired - Lifetime JP6032913B2 (ja) 2000-05-04 2012-03-29 リソグラフィ・プロセス制御のための方法およびシステム

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2001580732A Pending JP2003532306A (ja) 2000-05-04 2001-05-04 リソグラフィ・プロセス制御のための方法およびシステム
JP2011189697A Expired - Lifetime JP6032875B2 (ja) 2000-05-04 2011-08-31 リソグラフィ・プロセス制御のための方法およびシステム

Country Status (3)

Country Link
US (5) US6689519B2 (ja)
JP (3) JP2003532306A (ja)
WO (1) WO2001084382A1 (ja)

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100279213A1 (en) * 2000-05-04 2010-11-04 Kla-Tencor Corporation Methods and systems for controlling variation in dimensions of patterned features across a wafer
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6806951B2 (en) * 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
EP1205806A1 (en) * 2000-11-09 2002-05-15 Semiconductor300 GmbH & Co KG Method for exposing a semiconductor wafer
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6956659B2 (en) 2001-05-22 2005-10-18 Nikon Precision Inc. Measurement of critical dimensions of etched features
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6967068B1 (en) * 2001-11-07 2005-11-22 Advanced Micro Devices, Inc. Method of controlling stepper process parameters based upon optical properties of incoming anti-reflecting coating layers, and system for accomplishing same
JP3839306B2 (ja) * 2001-11-08 2006-11-01 株式会社ルネサステクノロジ 半導体装置の製造方法および製造システム
US6737208B1 (en) 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information
US6758612B1 (en) * 2002-01-16 2004-07-06 Advanced Micro Devices, Inc. System and method for developer endpoint detection by reflectometry or scatterometry
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US6858361B2 (en) 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
TW531822B (en) * 2002-03-29 2003-05-11 Taiwan Semiconductor Mfg Method for controlling inter-field critical dimensions of wafer
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6974653B2 (en) 2002-04-19 2005-12-13 Nikon Precision Inc. Methods for critical dimension and focus mapping using critical dimension test marks
JP4018438B2 (ja) * 2002-04-30 2007-12-05 キヤノン株式会社 半導体露光装置を管理する管理システム
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US6924088B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US8321048B1 (en) * 2002-06-28 2012-11-27 Advanced Micro Devices, Inc. Associating data with workpieces and correlating the data with yield data
US6912435B2 (en) * 2002-08-28 2005-06-28 Inficon Lt Inc. Methods and systems for controlling reticle-induced errors
DE10240115B4 (de) * 2002-08-30 2004-10-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Handhaben von Substraten in einer Produktionslinie mit einer Cluster-Anlage und einer Messanlage
US6733936B1 (en) 2002-09-19 2004-05-11 Integrated Device Technology, Inc. Method for generating a swing curve and photoresist feature formed using swing curve
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
AU2003302049A1 (en) * 2002-11-20 2004-06-15 Mehrdad Nikoohahad System and method for characterizing three-dimensional structures
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
CN1732412A (zh) 2002-12-30 2006-02-08 皇家飞利浦电子股份有限公司 确定最佳工艺窗口的最佳工艺设定的方法,该最佳工艺窗口优化了确定光刻工艺最佳工艺窗口的工艺性能
US6982043B1 (en) * 2003-03-05 2006-01-03 Advanced Micro Devices, Inc. Scatterometry with grating to observe resist removal rate during etch
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US20050234684A1 (en) * 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
JP3848332B2 (ja) * 2003-08-29 2006-11-22 キヤノン株式会社 露光方法及びデバイス製造方法
US6873938B1 (en) * 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7198873B2 (en) * 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
US7274429B2 (en) * 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
US7018855B2 (en) * 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7042551B2 (en) * 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
DE102004022016B4 (de) * 2004-05-03 2006-04-20 Infineon Technologies Ag Verfahren zur Bildung eines Musters in einer Schicht auf einem Halbleitersubstrat
JP2007536673A (ja) * 2004-05-09 2007-12-13 メンター・グラフィクス・コーポレーション 見込み欠陥位置同定方法、見込み欠陥位置同定ツール
US7289864B2 (en) * 2004-07-12 2007-10-30 International Business Machines Corporation Feature dimension deviation correction system, method and program product
WO2006012388A2 (en) * 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060094131A1 (en) * 2004-11-02 2006-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for critical dimension control in semiconductor manufacturing
DE102004055449B4 (de) * 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7371022B2 (en) * 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
WO2006069255A2 (en) * 2004-12-22 2006-06-29 Kla-Tencor Technologies Corp. Methods and systems for controlling variation in dimensions of patterned features across a wafer
US20070091325A1 (en) * 2005-01-07 2007-04-26 Mehrdad Nikoonahad Multi-channel optical metrology
US20060154388A1 (en) 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
JP4634822B2 (ja) * 2005-02-24 2011-02-16 株式会社東芝 レジストパターン形成方法および半導体装置の製造方法
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7906270B2 (en) * 2005-03-23 2011-03-15 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7781149B2 (en) * 2005-03-23 2010-08-24 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20060222975A1 (en) * 2005-04-02 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated optical metrology and lithographic process track for dynamic critical dimension control
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
JP4701030B2 (ja) * 2005-07-22 2011-06-15 キヤノン株式会社 露光装置、露光パラメータを設定する設定方法、露光方法、デバイス製造方法及びプログラム
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7502096B2 (en) * 2006-02-07 2009-03-10 Asml Netherlands B.V. Lithographic apparatus, calibration method, device manufacturing method and computer program product
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7951616B2 (en) * 2006-03-28 2011-05-31 Lam Research Corporation Process for wafer temperature verification in etch tools
US8206996B2 (en) 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7759136B2 (en) * 2006-03-29 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension (CD) control by spectrum metrology
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
CN101512721A (zh) 2006-04-05 2009-08-19 硅源公司 利用层转移工艺制造太阳能电池的方法和结构
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7666576B2 (en) * 2006-06-07 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Exposure scan and step direction optimization
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7999940B2 (en) 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US20080032491A1 (en) * 2006-08-07 2008-02-07 Sokudo Co., Ltd. Wafer backside particle removal for track tools
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7643666B2 (en) * 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8383323B2 (en) * 2006-09-13 2013-02-26 Samsung Austin Semiconductor, L.P. Selective imaging through dual photoresist layers
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7532331B2 (en) * 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7625680B2 (en) * 2006-09-29 2009-12-01 Tokyo Electron Limited Method of real time dynamic CD control
US7445446B2 (en) * 2006-09-29 2008-11-04 Tokyo Electron Limited Method for in-line monitoring and controlling in heat-treating of resist coated wafers
US8294907B2 (en) * 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US7493186B2 (en) * 2006-12-20 2009-02-17 International Business Machines Corporation Method and algorithm for the control of critical dimensions in a thermal flow process
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7858276B2 (en) * 2007-07-06 2010-12-28 Advanced Micro Devices, Inc. Method for determining suitability of a resist in semiconductor wafer fabrication
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
DE102007036811B3 (de) * 2007-08-03 2008-12-18 Vistec Semiconductor Systems Gmbh Vorrichtung und Verfahren zum Erfassen der gesamten Oberfläche eines Wafers
WO2009033101A1 (en) * 2007-09-06 2009-03-12 Deka Products Limited Partnership Processing system and method
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7880863B2 (en) * 2008-01-22 2011-02-01 Infineon Technologies Ag Lithography system with illumination monitor
JP5065082B2 (ja) 2008-02-25 2012-10-31 東京エレクトロン株式会社 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
NL1036468A1 (nl) 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
NL2003718A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
NL2003699A (en) * 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
JP2010278204A (ja) * 2009-05-28 2010-12-09 Oki Semiconductor Co Ltd レジストパターンの形成方法
JP5951753B2 (ja) * 2011-04-22 2016-07-13 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィ機のクラスタのためのネットワークアーキテクチャおよびプロトコル
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
US9360858B2 (en) 2011-08-08 2016-06-07 Globalfoundries Inc. Alignment data based process control system
WO2015090774A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
US10073354B2 (en) * 2014-10-29 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method of wafer substrate, manufacturing method of semiconductor device, and exposure tool
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP3470924A1 (en) * 2017-10-11 2019-04-17 ASML Netherlands B.V. Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
US10466597B2 (en) * 2017-11-01 2019-11-05 Texas Instruments Incorporated Methods and apparatus to control grayscale photolithography
US10545409B1 (en) 2019-05-30 2020-01-28 International Business Machines Corporation Dynamic adjustment of post exposure bake during lithography utilizing real-time feedback for wafer exposure delay

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59123321A (ja) * 1982-12-28 1984-07-17 Toshiba Corp スイツチ回路
US4631416A (en) 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
JPS62132318A (ja) * 1985-12-04 1987-06-15 Canon Inc 露光装置
US5327221A (en) 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5189494A (en) 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
JPH02192114A (ja) 1989-01-20 1990-07-27 Canon Inc 位置合わせ装置
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
JP2704002B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出方法
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5182610A (en) 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
JP3013393B2 (ja) * 1990-05-31 2000-02-28 ソニー株式会社 フォトレジスト塗布手段
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
JPH04282820A (ja) * 1991-03-11 1992-10-07 Nippon Telegr & Teleph Corp <Ntt> パタン形成方法
JPH07509379A (ja) * 1992-01-09 1995-10-19 アドヴァンスド カーディオヴァスキュラー システムズ インコーポレーテッド ガイドワイヤ交換装置
US5747813A (en) 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5316984A (en) 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
JP3082516B2 (ja) 1993-05-31 2000-08-28 キヤノン株式会社 光学式変位センサおよび該光学式変位センサを用いた駆動システム
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5412473A (en) 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
JP3368017B2 (ja) * 1993-10-29 2003-01-20 キヤノン株式会社 位置検出装置及びそれを用いた半導体素子の製造方法
JPH07211630A (ja) * 1994-01-26 1995-08-11 Sony Corp パターン形成方法及びその装置
US5516608A (en) 1994-02-28 1996-05-14 International Business Machines Corporation Method for controlling a line dimension arising in photolithographic processes
JPH10507833A (ja) 1994-10-21 1998-07-28 サーマ−ウェイブ・インク 分光偏光解析装置
KR100197191B1 (ko) 1994-11-14 1999-06-15 모리시다 요이치 레지스트 패턴 형성방법
JPH08233555A (ja) 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd レジストパターンの測定方法及びレジストパターンの測定装置
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5581350A (en) 1995-06-06 1996-12-03 Tencor Instruments Method and system for calibrating an ellipsometer
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
JPH0955352A (ja) * 1995-08-16 1997-02-25 Sony Corp 露光装置および露光方法
US5801390A (en) 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
JP2861911B2 (ja) 1996-02-23 1999-02-24 日本電気株式会社 半導体デバイスのレジストパターンの形成方法
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5877859A (en) 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5700732A (en) 1996-08-02 1997-12-23 Micron Technology, Inc. Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns
JP3287236B2 (ja) * 1996-10-03 2002-06-04 キヤノン株式会社 回折光学素子の製作方法
JPH10135099A (ja) * 1996-10-25 1998-05-22 Sony Corp 露光装置及び露光方法
US5917588A (en) 1996-11-04 1999-06-29 Kla-Tencor Corporation Automated specimen inspection system for and method of distinguishing features or anomalies under either bright field or dark field illumination
US5771094A (en) 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
TW389949B (en) 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
JP3342828B2 (ja) * 1997-01-30 2002-11-11 東京エレクトロン株式会社 レジスト塗布現像装置とレジスト塗布現像方法
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
EP1012571A1 (en) 1997-07-11 2000-06-28 Therma-Wave Inc. An apparatus for analyzing multi-layer thin film stacks on semiconductors
US5798837A (en) 1997-07-11 1998-08-25 Therma-Wave, Inc. Thin film optical measurement system and method with calibrating ellipsometer
US6134011A (en) * 1997-09-22 2000-10-17 Hdi Instrumentation Optical measurement system using polarized light
JPH11102851A (ja) 1997-09-26 1999-04-13 Mitsubishi Electric Corp アライメント補正方法及び半導体装置の製造方法
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6301011B1 (en) 1997-11-07 2001-10-09 Xerox Corporation Dynamic plug and play interface for output device
US6110011A (en) 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
JP4109736B2 (ja) * 1997-11-14 2008-07-02 キヤノン株式会社 位置ずれ検出方法
JPH11241908A (ja) 1997-12-03 1999-09-07 Canon Inc 位置検出装置及びそれを用いたデバイスの製造方法
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
WO1999041434A2 (en) 1998-02-12 1999-08-19 Acm Research, Inc. Plating apparatus and method
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
JPH11274030A (ja) * 1998-03-20 1999-10-08 Hitachi Ltd レジスト処理方法および装置ならびにレジスト塗布方法
US5917594A (en) 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
JP2000082661A (ja) * 1998-07-02 2000-03-21 Toshiba Corp 加熱装置,加熱装置の評価法及びパタ―ン形成方法
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
EP1046192A1 (en) 1998-10-20 2000-10-25 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with an alignment grating and an at least partially recessed oxide pattern
US6690473B1 (en) * 1999-02-01 2004-02-10 Sensys Instruments Corporation Integrated surface metrology
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6754305B1 (en) 1999-08-02 2004-06-22 Therma-Wave, Inc. Measurement of thin films and barrier layers on patterned wafers with X-ray reflectometry
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP2001144004A (ja) * 1999-11-16 2001-05-25 Nikon Corp 露光方法、露光装置、及びデバイス製造方法
US6484060B1 (en) * 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
AU2001281243A1 (en) 2000-08-11 2002-02-25 Sensys Instruments Corporation Device and method for optical inspection of semiconductor wafer
US6486954B1 (en) 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6856408B2 (en) 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system

Also Published As

Publication number Publication date
WO2001084382A1 (en) 2001-11-08
JP6032875B2 (ja) 2016-11-30
JP2012169638A (ja) 2012-09-06
US6689519B2 (en) 2004-02-10
US20030148198A1 (en) 2003-08-07
US20090079974A1 (en) 2009-03-26
US20040005507A1 (en) 2004-01-08
US20060138366A1 (en) 2006-06-29
US6987572B2 (en) 2006-01-17
US7767956B2 (en) 2010-08-03
JP2003532306A (ja) 2003-10-28
US7462814B2 (en) 2008-12-09
US20020072001A1 (en) 2002-06-13
JP2012009890A (ja) 2012-01-12

Similar Documents

Publication Publication Date Title
JP6032913B2 (ja) リソグラフィ・プロセス制御のための方法およびシステム
US7065737B2 (en) Multi-layer overlay measurement and correction technique for IC manufacturing
US6579733B1 (en) Using scatterometry to measure resist thickness and control implant
US8980651B2 (en) Overlay measurement for a double patterning
KR101028867B1 (ko) 회로 내의 구조의 측정치를 획득하기 위한 산란계측법의 사용
JP5610664B2 (ja) レジストがコーティングされたウエハの熱処理をインラインで監視及び制御する方法
US7076320B1 (en) Scatterometry monitor in cluster process tool environment for advanced process control (APC)
WO2006028951A2 (en) Controlling critical dimensions of structures formed on a wafer in semiconductor processing
US7080330B1 (en) Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7751025B2 (en) Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US20100279213A1 (en) Methods and systems for controlling variation in dimensions of patterned features across a wafer
US6602727B1 (en) Scatterometry based active control of exposure conditions
US6762133B1 (en) System and method for control of hardmask etch to prevent pattern collapse of ultra-thin resists
US20090023101A1 (en) Lithography track systems and methods for electronic device manufacturing
TWI669516B (zh) 用於控制製造設備之方法及其相關設備
TWI803728B (zh) 判定用於微影製程的控制參數之方法及設備、包含指令之電腦程式、度量衡設備及微影設備
JP2000091210A (ja) 露光装置、露光量関数設定方法及び露光方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130509

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130528

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140304

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140604

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141014

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160301

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160401

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160502

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161025

R150 Certificate of patent or registration of utility model

Ref document number: 6032913

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term