AU2001281243A1 - Device and method for optical inspection of semiconductor wafer - Google Patents

Device and method for optical inspection of semiconductor wafer

Info

Publication number
AU2001281243A1
AU2001281243A1 AU2001281243A AU8124301A AU2001281243A1 AU 2001281243 A1 AU2001281243 A1 AU 2001281243A1 AU 2001281243 A AU2001281243 A AU 2001281243A AU 8124301 A AU8124301 A AU 8124301A AU 2001281243 A1 AU2001281243 A1 AU 2001281243A1
Authority
AU
Australia
Prior art keywords
semiconductor wafer
optical inspection
inspection
optical
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001281243A
Inventor
James M. Cahill Jr.
Kenneth C. Johnson
Adam E. Norton
Douglas E. Ruth
Fred E. Stanke
Edric H. Tong
Michael Weber-Grabau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sensys Instruments Corp
Original Assignee
Sensys Instruments Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sensys Instruments Corp filed Critical Sensys Instruments Corp
Publication of AU2001281243A1 publication Critical patent/AU2001281243A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
AU2001281243A 2000-08-11 2001-08-10 Device and method for optical inspection of semiconductor wafer Abandoned AU2001281243A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US22457100P 2000-08-11 2000-08-11
US60/224,571 2000-08-11
PCT/US2001/025196 WO2002015238A2 (en) 2000-08-11 2001-08-10 Device and method for optical inspection of semiconductor wafer

Publications (1)

Publication Number Publication Date
AU2001281243A1 true AU2001281243A1 (en) 2002-02-25

Family

ID=22841241

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001281243A Abandoned AU2001281243A1 (en) 2000-08-11 2001-08-10 Device and method for optical inspection of semiconductor wafer

Country Status (5)

Country Link
US (1) US20020018217A1 (en)
EP (1) EP1309875A2 (en)
JP (1) JP2004536440A (en)
AU (1) AU2001281243A1 (en)
WO (1) WO2002015238A2 (en)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003532306A (en) 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション Method and system for lithographic process control
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US7196782B2 (en) * 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US7130029B2 (en) * 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6782337B2 (en) * 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US7115858B1 (en) 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US6721052B2 (en) 2000-12-20 2004-04-13 Kla-Technologies Corporation Systems for measuring periodic structures
WO2002079760A2 (en) * 2001-03-30 2002-10-10 Therma-Wave, Inc. Polarimetric scatterometer for critical dimension measurements of periodic structures
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6898537B1 (en) 2001-04-27 2005-05-24 Nanometrics Incorporated Measurement of diffracting structures using one-half of the non-zero diffracted orders
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP3757844B2 (en) * 2001-10-19 2006-03-22 ソニー株式会社 Semiconductor manufacturing method
US6898596B2 (en) * 2001-10-23 2005-05-24 Therma-Wave, Inc. Evolution of library data sets
JP3783615B2 (en) * 2001-11-21 2006-06-07 ウシオ電機株式会社 Method and apparatus for curing resist applied to large substrate
US7095496B2 (en) * 2001-12-12 2006-08-22 Tokyo Electron Limited Method and apparatus for position-dependent optical metrology calibration
US7064828B1 (en) 2001-12-19 2006-06-20 Nanometrics Incorporated Pulsed spectroscopy with spatially variable polarization modulation element
US6882413B2 (en) * 2002-02-04 2005-04-19 Therma-Wave, Inc. Rotating head ellipsometer
IL148485A (en) * 2002-03-04 2008-07-08 Nova Measuring Instr Ltd Optical measurement of patterned structure parameters
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6853942B2 (en) * 2002-03-26 2005-02-08 Timbre Technologies, Inc. Metrology hardware adaptation with universal library
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6965432B2 (en) * 2002-06-07 2005-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Non-invasive wafer transfer position diagnosis and calibration
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
EP1429592A1 (en) * 2002-12-09 2004-06-16 Hewlett-Packard Company (a Delaware corporation) Electromagnetic shield
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7075639B2 (en) * 2003-04-25 2006-07-11 Kla-Tencor Technologies Corporation Method and mark for metrology of phase errors on phase shift masks
US7046375B2 (en) * 2003-05-02 2006-05-16 Timbre Technologies, Inc. Edge roughness measurement in optical metrology
US7430898B1 (en) 2003-09-04 2008-10-07 Kla-Tencor Technologies Corp. Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
KR100577559B1 (en) * 2003-12-03 2006-05-08 삼성전자주식회사 White light equipment of wafer chuck for producting semiconductor element
US7061613B1 (en) 2004-01-13 2006-06-13 Nanometrics Incorporated Polarizing beam splitter and dual detector calibration of metrology device having a spatial phase modulation
DE102004023739A1 (en) * 2004-05-12 2005-12-15 Leica Microsystems Semiconductor Gmbh Measuring device and method for operating a measuring device for the optical inspection of an object
US7359052B2 (en) * 2004-05-14 2008-04-15 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7564552B2 (en) * 2004-05-14 2009-07-21 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7067819B2 (en) * 2004-05-14 2006-06-27 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
US7349079B2 (en) * 2004-05-14 2008-03-25 Kla-Tencor Technologies Corp. Methods for measurement or analysis of a nitrogen concentration of a specimen
US7490295B2 (en) * 2004-06-25 2009-02-10 Apple Inc. Layer for accessing user interface elements
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
DE102004058128B4 (en) * 2004-12-02 2008-05-15 Vistec Semiconductor Systems Jena Gmbh System for inspection of a disc-shaped object
US7557921B1 (en) 2005-01-14 2009-07-07 Kla-Tencor Technologies Corporation Apparatus and methods for optically monitoring the fidelity of patterns produced by photolitographic tools
US7408641B1 (en) 2005-02-14 2008-08-05 Kla-Tencor Technologies Corp. Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system
FR2892188B1 (en) 2005-10-14 2007-12-28 Nanotec Solution Soc Civ Ile METHOD AND DEVICE FOR MEASURING PATTERN HEIGHTS
US7787685B2 (en) 2006-04-17 2010-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Extracting ordinary and extraordinary optical characteristics for critical dimension measurement of anisotropic materials
US20080233487A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Optimizing Lithography Focus and/or Energy Using a Specially-Designed Optical Critical Dimension Pattern
WO2011024170A1 (en) * 2009-08-31 2011-03-03 Brightview Systems Ltd. A method and apparatus for thin film quality control in a batch manufacturing layout
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
JP2014526148A (en) * 2011-07-25 2014-10-02 エレクトロ サイエンティフィック インダストリーズ インコーポレーテッド Method and apparatus for characterizing an object and monitoring a manufacturing process
US9252060B2 (en) * 2012-04-01 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of OCD measurement noise by way of metal via slots
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US10146141B2 (en) * 2014-08-28 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process and system with enhanced overlay quality
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10317667B2 (en) * 2015-07-04 2019-06-11 The Regents Of The University Of California Compressive plenoptic microscopy for functional brain imaging
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US10818564B2 (en) * 2016-03-11 2020-10-27 Applied Materials, Inc. Wafer processing tool having a micro sensor
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10304177B2 (en) 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
DE102016112557B4 (en) * 2016-07-08 2019-08-22 Jenoptik Advanced Systems Gmbh Optical steel forming unit and distance measuring device
WO2019091678A1 (en) * 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11586794B2 (en) * 2020-07-30 2023-02-21 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models
US20230236113A1 (en) * 2022-01-25 2023-07-27 Kla Corporation Annular apodizer for small target overlay measurement
CN115388762A (en) * 2022-07-25 2022-11-25 魅杰光电科技(上海)有限公司 CD measuring equipment of wafer and corresponding CD measuring method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6386429A (en) * 1986-09-30 1988-04-16 Toshiba Corp Strain measurement of x-ray mask
US5233191A (en) * 1990-04-02 1993-08-03 Hitachi, Ltd. Method and apparatus of inspecting foreign matters during mass production start-up and mass production line in semiconductor production process
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5459404A (en) * 1994-03-28 1995-10-17 Ulsi Technology, Inc. Apparatus and method for detecting floating nodes
JP2956597B2 (en) * 1996-07-31 1999-10-04 日本電気株式会社 Semiconductor inspection equipment
US5940175A (en) * 1996-11-01 1999-08-17 Msp Corporation Method and apparatus for surface inspection in a chamber
US5909276A (en) * 1997-03-31 1999-06-01 Microtherm, Llc Optical inspection module and method for detecting particles and defects on substrates in integrated process tools
EP0991918B1 (en) * 1997-06-28 2002-10-16 Leopold Kostal GmbH & Co. KG Method for determining the absolute angular position of the steering wheel of a motor vehicle, and optoelectronic steering angle sensor
US6020957A (en) * 1998-04-30 2000-02-01 Kla-Tencor Corporation System and method for inspecting semiconductor wafers
US6304999B1 (en) * 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems

Also Published As

Publication number Publication date
US20020018217A1 (en) 2002-02-14
EP1309875A2 (en) 2003-05-14
WO2002015238A3 (en) 2002-10-03
WO2002015238A2 (en) 2002-02-21
JP2004536440A (en) 2004-12-02

Similar Documents

Publication Publication Date Title
AU2001281243A1 (en) Device and method for optical inspection of semiconductor wafer
AU2001267703A1 (en) Apparatus and method for investigating semiconductor wafers
EP1130626A3 (en) Method and apparatus for manufacturing semiconductor device
AU2002353481A1 (en) Method and apparatus for measuring stress in semiconductor wafers
AU5947499A (en) Inspection system and method for leads of semiconductor devices
EP0984489A4 (en) Method and device for cleaving semiconductor wafer
AU2002354254A1 (en) Method for making nitride semiconductor substrate and method for making nitride semiconductor device
AU4432899A (en) Semiconductor wafer evaluating apparatus and method
AU2001243200A1 (en) Method and system for wafer and device-level testing of an integrated circuit
AU2001296891A1 (en) Method and system for wafer and device-level testing of an integrated circuit
AU3308699A (en) Apparatus and method for cleaning semiconductor wafers
AU2001289124A1 (en) A testing device for semiconductor components and a method of using the device
EP1235268A4 (en) Methods of inspecting and manufacturing silicon wafer, method of manufacturing semiconductor device, and silicon wafer
AU2002337875A1 (en) Semiconductor package device and method of formation and testing
AU2001270277A1 (en) Apparatus and methods for semiconductor wafer processing equipment
GB0016949D0 (en) Reticle and method of fabricating semiconductor device
AU2002351294A1 (en) Method and apparatus for wafer level testing of integrated optical waveguide circuits
SG100661A1 (en) Method of fabricating compound semiconductor device and apparatus for fabricating compound semiconductor device
AU3903000A (en) Semiconductor wafer cleaning apparatus and method
AU2002228766A1 (en) Semiconductor device and method of making same
AU2002349646A1 (en) Inspecting method for end faces of brittle-material-made substrate and device therefor
AU2001239776A1 (en) Silicon wafer manufacturing system and method
AU3230801A (en) Semiconductor device fabrication method and semiconductor device fabrication device
AU2001230409A1 (en) A method and apparatus for implanting semiconductor wafer substrates
AU2002212587A1 (en) Wafer processing equipment and method for processing wafers