JP5662257B2 - 半導体装置 - Google Patents

半導体装置 Download PDF

Info

Publication number
JP5662257B2
JP5662257B2 JP2011133376A JP2011133376A JP5662257B2 JP 5662257 B2 JP5662257 B2 JP 5662257B2 JP 2011133376 A JP2011133376 A JP 2011133376A JP 2011133376 A JP2011133376 A JP 2011133376A JP 5662257 B2 JP5662257 B2 JP 5662257B2
Authority
JP
Japan
Prior art keywords
region
fin
well
well region
conductivity type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011133376A
Other languages
English (en)
Other versions
JP2013004676A (ja
Inventor
葉 聡 稲
葉 聡 稲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2011133376A priority Critical patent/JP5662257B2/ja
Priority to US13/364,716 priority patent/US8569867B2/en
Publication of JP2013004676A publication Critical patent/JP2013004676A/ja
Application granted granted Critical
Publication of JP5662257B2 publication Critical patent/JP5662257B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/74Thyristor-type devices, e.g. having four-zone regenerative action
    • H01L29/7436Lateral thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0259Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using bipolar transistors as protective elements
    • H01L27/0262Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using bipolar transistors as protective elements including a PNP transistor and a NPN transistor, wherein each of said transistors has its base coupled to the collector of the other transistor, e.g. silicon controlled rectifier [SCR] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66363Thyristors
    • H01L29/66393Lateral or planar thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thyristors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明の実施形態は半導体装置に関する。
近年、シリコン基板上に形成されるLSIにおいて、そこで用いられる素子の微細化、すなわちいわゆるスケーリング則に基づいてゲート長を縮小したり、ゲート絶縁膜を薄膜化したりすることにより、LSIの高性能化を達成している。現在、ゲート長Lgが30nm以下の短チャネル領域におけるカットオフ特性を改善するために、完全空乏型チャネルMOSFET(Fully−Depleted channel MOSFET: FD型チャネルMOSFET)が研究開発されている。
このような半導体の1種として、現在、以下の様な3次元構造MIS(Metal−Insulator−Semiconductor)型半導体装置が提案されている。詳細には、SOI(Silicon On Insulator)基板、もしくはバルクシリコン基板を短冊状に細く切り出して突起状領域を形成し(この突起状領域をフィン領域と呼ぶ)、これにゲート電極を立体交差させることで、切り出した突起状領域の上面及び側面をチャネルとするようなダブルゲート完全空乏型チャネルMOSFET(FinFET)が提案されている。
このようなFinFETを完全空乏型チャネルとして動作させるためには、ゲート長Lgよりもフィン領域の幅を小さくする必要がある。従って、FinFETにおいては、非常に狭い幅を有する複数のフィン領域が配置されることとなる。
特開平2−263473号公報 特開2006−310458号公報 特開2008−172174号公報
D.Hisamoto, W-C.Lee, J.Kedzierski, E.Anderson, H. Takeuchi, K.Asano, T-J.King, J.Bokor, C.Hu: "A Folded-channel MOSFET for Deep-sub-tenth Micron Era", IEDM Tech.Dig., pp.1032-1034, (1998) X.Huang, W-C.Lee, C.Kuo, D.Hisamoto, L.Chang, J.Kedzierski, E.Anderson, H.Takeuchi, Y-K.Choi, K.Asano, V.Subramanian, T-J.King, J.Bokor, C.Hu : "Sub-50nm FinFET: PMOS", IEDM Tech. Dig., pp.67-70, (1999) K.Okano, T.Izumida, H.Kawasaki, A.Kaneko, A.Yagishita, T.Kanemura, M.Kondo, S.Ito, N.Aoki, K.Miyano, T Ono, K.Yahashi, K.Iwade, T.Kubota, T.Matsushita, I.Mizushima, S.Inaba, K.Ishimaru, K.Suguro, K.Eguchi, Y.Tsunashima, H.Ishiuchi : "Process Integration Technology and Device Characteristics of CMOS FinFET on Bulk Silicon Substrate with sub-10 nm Fin Width and 20 nm Gate Length", IEDM Tech. Dig., pp.739-742, (2005) H.Gossner, C.Russ, F.Siegelin, J.Schneider, K.Schruefer, T.Schulz, C.Duvvury, C.R.Cleavelin, W.Xiong: "Unique ESD Failure Mechanism in a MuGFET Technology", IEDM Tech. Dig., pp.101-104, (2006) Y-K.Choi, N.Lindert, P.Xuan, S.Tang, D.Ha, E.Anderson, T- J.King, J.Bokor , C.Hu, "Sub-20nm CMOS FinFET Technologies", IEDM Tech. Dig., pp.421-424, (2001)
本発明は、精度良く形成されたフィンを有しつつ、十分な特性を有するESD(Electrostatic Discharge)保護素子を形成することができる半導体装置を提供する。
本発明の実施形態によれば、半導体基板と、前記半導体基板上に形成された第1の導電型の第1のウェル領域と、前記半導体基板上に形成された第2の導電型の第2のウェル領域と、前記第1のウェル領域と前記第2のウェル領域とに亘って、同じ方向で、且つ、同じピッチで配列する複数のフィン領域とを、を備える制御整流素子を有する半導体装置であり、前記第1のウェル領域と前記第2のウェル領域とは、前記各フィン領域の短辺に沿った方向に接して並んでおり、それにより、前記各フィン領域の長辺と平行なウェル領域境界を形成し、前記ウェル領域境界を挟み込むように位置する一対の前記フィン領域は、前記第1のウェル領域内に位置し、且つ、第2の導電型の不純物拡散層領域を有するカソード領域と、前記第2のウェル領域内に位置し、且つ、第1の導電型の不純物拡散層領域を有するアノード領域とからなり、また、前記カソード領域と前記アノード領域とを挟むように位置する別の一対の前記フィン領域は、前記第1のウェル領域内に位置し、且つ、第1の導電型の不純物拡散層領域を有するウェルコンタクト領域と、前記第2のウェル領域内に位置し、且つ、第2の導電型の不純物拡散層領域を有するトリガー領域とからなり、前記ウェルコンタクト領域と前記カソード領域と前記アノード領域と前記トリガー領域とは、それぞれPNP型バイポーラトランジスタ及びNPN型バイポーラトランジスタを構成するように各配線部に接続しており、前記第1のウェル領域は、前記半導体基板の最外周に位置し、さらに、前記ウェルコンタクト領域よりも外周に位置し、第1の導電型の不純物拡散層領域を有し、且つ、電気的に浮遊状態にある最外周フィン領域を有する。
SCR型ESD保護素子を説明するための回路図である。 SCR型ESD保護素子を説明するための断面図である。 第1の実施形態にかかる半導体装置の平面図である。 第1の実施形態にかかる半導体装置の断面図である。 第2の実施形態にかかる半導体装置の平面図である。 第2の実施形態にかかる半導体装置の断面図である。 第2の実施形態のフィン領域の数を説明する図である。 第3の実施形態にかかる半導体装置の平面図である。 第3の実施形態にかかる半導体装置の断面図である。
以下、図面を参照して、実施形態を説明する。ただし、本発明はこの実施形態に限定されるものではない。なお、全図面にわたり共通する部分には、共通する符号を付すものとし、重複する説明は省略する。また、図面は発明の説明とその理解を促すための模式図であり、その形状や寸法、比などは実際の装置とは異なる個所もあるが、これらは以下の説明と公知の技術を参酌して適宜、設計変更することができる。
以下の第1から第3の実施形態における半導体装置は、例えば、ESD保護素子としてSCR(Silicon Controlled Rectifier、またはサイリスタ)型のESD保護素子(シリコン制御整流素子)を有するFinFETとして説明する。
図1に、SCR型ESD保護素子1の回路の回路図の一例を示す。さらに、図1に示されるSCR型ESD保護素子1の断面図を図2に示す。
図1に示されるように、SCR型ESD保護素子1は、アノード端子Aにシリーズに接続されたダイオードDiと、アノード端子A側にコレクタが接続されたpnpバイポーラトランジスタTr1と、カソード端子Cにエミッタが接続されたnpnバイポーラトランジスタTr2とを有する。このようなSCR型ESD保護素子1は、半導体装置の外部と接続された外部接続端子(不図示)と、半導体装置の内部回路(不図示)との間に配置される。静電気による高電圧のサージが外部接続端子に入力された際に、内部回路がサージにより破壊されないように、pnpバイポーラトランジスタTr1とnpnバイポーラトランジスタTr2とがON状態となり、図1中の矢印で示されるように、アノード端子AからpnpバイポーラトランジスタTr1のエミッタに電流が流れ、さらに、npnバイポーラトランジスタTr2のベースからエミッタに電流が流れ、カソード端子Cからアースに電流を逃がすものである。従って、図2で示されるSCR型ESD保護素子1の断面においては、矢印で示されるように、アノードAからカソードCに電流が流れることとなる。
(第1の実施形態)
本実施形態のFinFETの平面図を図3に、図3中のA−A´における断面図を図4に示す。なお、図3においては、配線の下にある各フィン領域とコンタクト領域とは点線で示す。
本実施形態は、FinFETに形成された複数のフィン領域を用いてSCR型ESD保護素子1を形成するものである。SCR型ESD保護素子1は、同一の幅を有する複数のフィン領域が同じピッチで並ぶフィン配列領域10のうち、その最外周に配置された最外周フィン領域30aを用いることなく、形成される。また、最外周フィン領域30aが配置されているフィン配列領域10の最外周には、P型のウェル領域20を形成する。
図3及び図4に示されるように、本実施形態のSCR型ESD保護素子1は、半導体基板70の最外周に位置するP型導電型であるP型ウェル領域20と、その隣に接するように位置するN型導電型であるN型ウェル領域21とを有する。詳細には、N型ウェル領域21は、P型ウェル領域20と各フィン領域の短辺に沿った方向に接する。各ウェル領域20、21は、ウェル領域全体に亘って同じ方向に、且つ、同じピッチを有してストライプ状にならんだ複数のフィン領域を有する。なお、この複数のフィン領域が並ぶ領域全体がフィン配列領域10である。
P型ウェル領域20とN型ウェル領域21との境界には、ウェル領域境界25があり、各フィン領域の長辺と平行である。そして、このウェル領域境界25を挟み込むように、P型ウェル領域20内にはN型の不純物拡散層領域を有するフィン領域であるカソード領域41と、N型ウェル領域内21にはP型の不純物拡散層領域を有するフィン領域であるアノード領域42とが位置する。さらに、このカソード領域41とアノード領域42とを挟むように、P型ウェル領域内20にはP型の不純物拡散層領域を有するフィン領域であるウェルコンタクト領域43と、N型ウェル領域21内にはN型の不純物拡散層領域を有するフィン領域であるトリガー領域44とが位置する。また、トリガー領域44の隣には、さらにP型の不純物拡散層領域を有するフィン領域であるアノード領域42があり、すなわち、トリガー領域44は2つのアノード領域42により挟まれている。
言い換えると、本実施形態のSCR型ESD保護素子1は、半導体基板70の最外周から順に、P型ウェル領域20と、ウェル境界領域25を介して接するように位置するN型ウェル領域21とを有する。そして、P型ウェル領域20内では、ウェル領域境界25側から順に、カソード領域41とウェルコンタクト領域43とが配置され、N型ウェル領域21内では、ウェル領域境界25側から順に、アノード領域42とトリガー領域44とアノード領域42とが配置されている。
そして、カソード領域41、アノード領域42、ウェルコンタクト領域43、及び、トリガー領域44とは、それぞれ別個の配線50に、コンタクト領域51を介して接続されている。この複数の配線50は、同一のピッチを有するように配列することが好ましく、このようにすることにより、配線50を精度良く形成することができる。
また、図3及び図4に示されるように、P型ウェル領域20の最外周にある最外周フィン領域30a、言い換えると、フィン配列領域10の最外周にある最外周フィン領域30aを、P型の不純物拡散層領域を有するものとし、さらに、それに対応するコンタクト領域51を形成せずに電気的に浮遊状態とする。すなわち、ウェルコンタクト領域43よりも外周に位置する最外周フィン領域30aを、SCR型ESD保護素子1を構成する部位として使用しない。この最外周フィン領域30aは、フィン配列領域10の最外周にあるため、例えば幅が異なるといった、フィン配列領域10の中央部のフィン領域とは異なる形状を有する可能性がある。しかし、このような最外周フィン領域30aをSCR型ESD保護素子1の構成部位として使用しないことから、最外周フィン領域30aが異なる形状であることに起因したSCR型ESD保護素子1の特性悪化を防ぐことができる。
なお、電気的に浮遊状態にさせるフィン領域は、フィン配列領域10の最外周に位置する1つのフィン領域から構成するものとは限られず、フィン配列領域10の中央部のフィン領域とは異なる形状である可能性を持つ、フィン配列領域10の最外周にある2つ以上の隣り合うフィン領域、詳細には、フィン配列領域10の最外周から内側に向かって2つ以上のフィン領域により構成しても良い。
そして、P型ウェル領域20を半導体基板70の最外周に形成する。このようにすることにより、P型の不純物拡散層領域を有する最外周フィン領域30aにより確実に電流が流れないようにすることができるため、最外周のフィン領域30aの形状のばらつきによるSCR型ESD保護素子1の特性悪化をより抑えることができる。加えて、半導体基板70として通常のバルク基板を用いた場合、多くのバルク基板の導電型はP型であるため、P型ウェル領域20を半導体基板70の外周部に配置することは容易であり、わざわざ外周部にP型ウェル領域を形成するために大きな面積を占有することもない。
また、最外周フィン領域30aに対して1つ内側にあるフィン領域をウェルコンタクト領域43とすることにより、最外周フィン領域30aの形状ばらつきによるSCR型ESD保護素子1の特性悪化をより避けるようにしている。
なお、本実施形態のFinFETは、この図3における左端は、図示されるように終端されるものではなく、半導体基板70上にN型ウェル領域20/P型ウェル領域21の繰り返し構造が所望の数だけ並んでいるものである。また、図3の縦方向もこの寸法のものに限定されるものではなく、繰り返し構造を所望の数だけ並べても良い。
また、各フィン領域の図3における縦方向の長さ(長辺の長さ)を、さらに長くしても良いが、各フィン領域を形成するために用いられる細長いマスク材が、例えば、乾燥工程といった製造工程においてパターン倒れを起こさないような長さにしておくことが好ましい。
さらに、図3に示されるように、各フィン領域に対するコンタクト領域51は市松模様状に配置されている。これは隣り合うコンタクト領域51間の距離を大きくすることにより、リソグラフィを容易に行えるようにし、よってコンタクト領域51を形成する際のリソグラフィの精度を向上させるためである。
本実施形態によれば、素子を保護するために必要十分な電流を流すことができるSCR型ESD保護素子1を、FinFETで用いられるフィン領域と同じ幅、同じ間隔を有するフィン領域を用いて形成するため、半導体基板70の全体に亘って、同じ幅、同じ間隔を有するフィン領域を均一に形成することができる。例えば、細いフィン領域と太いフィン領域とを半導体基板70上に混在して形成する場合には、面密度の均一性が崩れるため、平坦化に用いられるCMP(Chemical Mechanical Polishing)においてdishingと呼ばれるオーバーエッチングを生じてしまう可能性があるが、本実施形態においては、半導体基板70の全体に亘って、同じ幅、同じ間隔を有するフィン領域を形成することから、上記の問題を避け、精度良く均一なフィン領域を形成することができる。
さらに、本実施形態においては、最外周フィン30aを電気的に浮遊状態とし、SCR型ESD保護素子1を構成する部位として使用しないことから、すなわち、マイクロローディング効果によりフィン配列領域10の中央部のフィン領域とは異なる形状を有する可能性を持つ最外周フィン領域30aを用いないことから、SCR型ESD保護素子1の特性悪化を防ぐことができる。
このマイクロローディング効果とは以下のようなものである。バルクFinFETの形成においては、RIE(Reactive Ion Etching)を用いてバルクシリコン基板を加工することにより、複数のフィン領域を一様に形成する。複数のフィン領域を形成する場合、フィン配列領域10の外周部に位置するフィン領域については、他のフィン領域と比べて幅が変動したり、フィン領域自体の断面がすそ広がりの斜め形状になったりすることがある。このような現象をRIEのマイクロローディング効果と呼んでいる。本発明者は、この現象は、フィン配列領域10の中央部と外周部とで削られる基板の面積が異なっていることに起因して、フィン配列領域10の外周部にて、RIEのエッチングとデポジションとのバランスが崩れることから生じたものであると推察している。
そして、このように、フィン領域の幅が大きくなったり、フィン領域自体の断面がすそ広がりの斜め形状になったりすることは、フィンを形成した後に行うパンチスルー防止層の形成にも影響を与え、このパンチスルー防止層がなければ、FinFETとしての動作において、ソース/ドレイン間のパンチスルーに対する耐性を落とすことに繋がるものである。
また、フィン配列領域10の外周部においては別の不都合も生じることとなる。例えば、フィン領域の間にある素子分離領域に埋め込んだ埋め込み材料をエッチングする場合、ここでもマイクロローディング効果によってエッチングレートに差が生じ、フィン配列領域10の外周部において埋め込み材料がエッチングされる深さが、フィン配列領域10の中央部の埋め込み材料がエッチングされる深さと異なるといった現象が生じる。もしくは、フィン配列領域10の外周部において埋め込み材料のCMPによるpolishing深さが、フィン配列領域10の中央部の埋め込み材料のpolishing深さと異なるといった現象が生じる。その結果、素子分離領域を形成した後に形成されるパンチスルー防止層の位置が、フィン配列領域10の中央部のフィン領域と、フィン配列領域10の外周部のフィン領域とで異なることとなり、pn接合位置(高さ)やpn接合の不純物プロファイルなどが異なってしまうことになる。従って、このような状態で、これらのフィン領域をSCR型ESD保護素子1として用いた場合には、ウェハー内の場所の違いにより電流特性が異なることが予想され、より電流が流れやすい部分に電流が集中して接合破壊が生じたり、電流が集中して発生したジュール熱によってフィン領域そのものが溶融してしまったりする可能性がある。
しかしながら、本実施形態においては、最外周フィン領域30aを電気的に浮遊状態とし、SCR型ESD保護素子1の構成部位として使用しないことから、パンチスルー耐性が悪化したり、フィン領域が破壊したりするなどの、最外周フィン領域30aが他のフィン領域と異なる形状であることに起因したSCR型ESD保護素子1の特性悪化を防ぐことができる。
なお、本実施形態においては、P型導電型、N型導電型を入れ替えても良い。
(第2の実施形態)
第1の実施形態においては、1つのフィン領域を、SCR型ESD保護素子のアノード領域、カソード領域、トリガー領域としてそれぞれ用いていたが、本実施形態においては、複数のフィン領域を1つの組として、SCR型ESD保護素子のアノード領域、カソード領域、トリガー領域としてそれぞれ用いるという点が、第1の実施形態と異なる点である。この複数のフィン領域からなるアノード領域、カソード領域、トリガー領域はより低抵抗化するため、それぞれ複数のコンタクト領域を介してより太い配線に接続することにより、SCR型ESD保護素子の電流に対する耐性をより向上させることができる。さらに、1つの組を構成する隣り合う複数のフィン領域を同じ導電型拡散層を有するものとして形成することから、拡散層を形成するためのイオン注入時において要求されるマスクの精度が、第1の実施形態よりも緩和されるため、SCR型ESD保護素子の特性のバラツキを抑えつつ、より低コストで形成することができる。
本実施形態のFinFETの平面図を図5に、図5中のA−A´における断面図を図6に示す。なお、図5においては、配線50の下にある各フィン領域とコンタクト領域51とは点線で示す。ここでは、第1の実施形態と共通する部分については、説明を省略する。
図5及び図6に示されるように、第1の実施形態と同様に、SCR型ESD保護素子1は、半導体基板70の最外周に位置するP型ウェル領域20と、その隣に接するように位置するN型ウェル領域21とを有する。各ウェル領域20、21は、ウェル領域全体に亘って所望の幅及び所望の間隔をもってストライプ状にならんだ複数のフィン領域を有する。
P型ウェル領域20とN型ウェル領域21との境界であるウェル領域境界25を挟み込むように、P型ウェル領域20内にはN型の不純物拡散層領域を有する2つのフィン領域からなる一組のカソード領域41と、N型ウェル領域21内にはP型の不純物拡散層領域を有する2つのフィン領域からなる一組アノード領域42とが位置する。さらに、この一組のカソード領域41と一組のアノード領域42とを挟むように、P型ウェル領域20内にはP型の不純物拡散層領域を有するフィン領域からなるウェルコンタクト領域43と、N型ウェル領域21内にはN型の不純物拡散層領域を有する2つのフィン領域からなる一組のトリガー領域44とが位置する。また、一組のトリガー領域44の隣には、さらにP型の不純物拡散層領域を有する2つのフィン領域からなる一組のアノード領域42があり、トリガー領域44は2組のアノード領域42により挟まれている。
そして、一組のカソード領域41、二組のアノード領域42、ウェルコンタクト領域43、及び、一組のトリガー領域44とは、それぞれ別個の配線50に、コンタクト領域51を介して接続されている。この各フィン領域に対するコンタクト領域51は、第1の実施形態と同様に、市松模様状に配置されている。さらに、配線50は同一のピッチで並んでおり、そのピッチは、フィン領域のピッチよりも広くなっている。
また、本実施形態においても、第1の実施形態と同様に、最外周フィン領域30aを、P型の不純物拡散層領域を有するものとし、それに対応するコンタクト領域を形成せずに電気的に浮遊状態とし、SCR型ESD保護素子1を構成する部位として使用しない。そして、第1の実施形態と同様に、P型ウェル領域20を半導体基板70の最外周に形成し、さらに、最外周フィン領域30aに対して1つ内側にあるフィン領域をウェルコンタクト領域43とすることにより、最外周フィン30aが他と異なる形状であることに起因したSCR型ESD保護素子1の特性悪化を防いでいる。
加えて、図5からわかるように、平面レイアウト的には最外周フィン領域30aの一部に配線50が重なるように形成しており、このようにすることで、配線50の間隔を半導体基板70に亘って同じにし、より精度良く配線50を形成することができる。
なお、本実施形態において、図5及び図6に示されるような、SCR型ESD保護素子1の一組のカソード領域41、一組のアノード領域42、及び、一組のトリガー領域43をそれぞれ構成するフィン領域の数は、2つに限られるものではなく、2つ以上であっても良い。このように構成するフィン領域の数を増やした場合には、カソード領域41、アノード領域42、及び、トリガー領域43の抵抗値をより低減できるため、単位ウェル境界長さ当たりのSCR型ESD保護素子1の電流効率が向上することが期待できるが、その一方で、アノード領域42をはさんでカソード領域41とトリガー領域44との間の距離が大きくなるので、SCR型ESD保護素子1としてのバイポーラトランジスタのベース抵抗が大きくなり、この分は電流効率が悪化することも予想される。従って、これらの効果が合わさるため、1つの領域内のフィン領域の数を増やすことによって得られる電流改善率は、構成するフィン領域の数に直線的には比例せず、図7に示されるように、上に凸の曲線になると考察される。
また、SCR型ESD保護素子1のフットプリント(占有面積)の観点からは、それぞれの電極領域内のフィン領域の数を増やすとN型ウェル領域20とP型ウェル領域21とのそれぞれの面積が大きくなり、さらに、ウェル領域パターンの繰り返し回数が減ることとなるので、一定の素子面積内でのN型ウェル領域とP型ウェル領域とのの境界長、すなわちウェル領域境界25の長さで決定されるアノード領域42とカソード領域41との対向面積も減ってしまうことになる。この対向面積は、1/(フィン領域の数)に比例すると考えられ、総合的なSCR型ESD保護素子1のターンオン電流は、この対向面積に依存するため、ターンオン電流が減少することが考えられる。
従って、これらを考慮すると、SCR型ESD保護素子1の一組のカソード領域41、一組のアノード領域42、及び、一組のトリガー領域43をそれぞれ構成するフィン領域の数を増やすと、いわゆる単位ウェル境界長さ当たりのSCR型ESD保護素子1のバイポーラトランジスタのゲイン(電流効率)は改善されるが、フィン領域の数を増やしすぎるとウェル境界長が短くなるために総合的なSCR型ESD保護素子1の性能は落ちることになり、電流駆動力が劣化することが懸念される。加えて、配線50の太さも他の配線50とのバランスを取る必要があることから、フィン領域の数を増やしたからといって全部にコンタクトを取るためにむやみに太くすることはできない。それゆえ、構成するフィン領域の数には最適値があり、これを考慮してデザインすることが好ましい。
本実施形態によれば、SCR型ESD保護素子1を、FinFETで用いられるフィン領域と同じ幅、同じ間隔を有するフィン領域を用いて形成するため、精度良く均一なフィン領域を形成することができる。さらに、最外周フィン30aを電気的に浮遊状態とし、SCR型ESD保護素子1を構成する部位として使用しないことから、SCR型ESD保護素子1の特性悪化を防ぐことができる。
さらに、本実施形態によれば、SCR型ESD保護素子1のアノード領域、カソード領域、及び、トリガー領域をそれぞれ複数のフィン領域から形成し、それぞれをより太い配線50で接続することから、フィン領域の溶融・破壊を避け、寄生抵抗が高くなることを避けることができる。
また、先に説明した第1の実施形態においては、狭い間隔で配置される隣り合うフィン領域に対して、それぞれN型とP型とのイオンをそれぞれ打ち分けて不純物拡散層領域を形成しているが、本実施形態においては、1つの組を構成する隣り合うフィン領域に対して同じ導電型のイオンを打ち込んでいるため、イオン注入に用いるマスクの合わせずれとそれによるマスクの有効開口幅が小さくなることによるプロセス技術の難しさは、第1の実施形態ほどではないため、精度良くイオン注入を行うことができ、従って、素子の特性ばらつき、ひいてはSCR型ESD保護素子1の耐圧劣化を避けることができる。
なお、本実施形態のFinFETは、第1の実施形態と同様に、この図5における左端は、図示されるように終端されるものではなく、N型ウェル領域20/P型ウェル領域21の繰り返し構造が所望の数だけ並んでいるものである。また、図5の縦方向もこの寸法のものに限定されるものではなく、所望の数だけ繰り返し構造を並べても良い。
(第3の実施形態)
第3の実施形態においては、フィン配列領域10のフィン領域を側壁イメージ転写法(sidewall image transfer)によって形成する。この側壁イメージ転写法とは、基板上にダミーパターンを配置し、ダミーパターンの側面を覆うように絶縁膜等のスペーサー材料膜を堆積して側壁スペーサーを形成し、ダミーパターンを除去し、残った長方形のリング状の側壁スペーサーによるパターンを用いてフィン領域を形成するものである。この方法によれば、側壁スペーサーの幅は、ダミーパターンの側壁に堆積されたスペーサー材料膜の膜厚に依存するため、均一な幅を有する側壁スペーサーを形成することができる。従って、本実施形態によれば、光リソグラフィよりも高い寸法精度でフィン領域を形成することができる。
本実施形態のFinFETの平面図を図8に、図8中のA−A´における断面図を図9に示す。なお、図8においては、配線の下にある各フィン領域とコンタクト領域とは点線で示す。ここでは、第1及び第2の実施形態と共通する部分については、説明を省略する。
図8及び図9に示されるように、第1及び第2の実施形態と同様に、SCR型ESD保護素子1は、半導体基板70の最外周に位置するP型ウェル領域20と、その隣に接するように位置するN型ウェル領域21とを有する。各ウェル領域20、21は、マトリックス状に並んだ、長方形のリング状の複数のフィン領域を有する。
P型ウェル領域20とN型ウェル領域21との境界であるウェル領域境界25を挟み込むように、P型ウェル領域20内にはN型の不純物拡散層領域を有するリング状のフィン領域であるカソード領域41と、N型ウェル領域21内にはP型の不純物拡散層領域を有するリング状のフィン領域であるアノード領域42とが位置する。さらに、このカソード領域41とアノード領域42とを挟むように、P型ウェル領域20内にはP型の不純物拡散層領域を有するリング状のフィン領域であるウェルコンタクト領域43と、N型ウェル領域21内にはN型の不純物拡散層領域を有するリング状のフィン領域であるトリガー領域44とが位置する。また、トリガー領域44の隣には、さらにP型の不純物拡散層領域を有するリング状のフィン領域であるアノード領域42があり、トリガー領域44は2つのアノード領域42により挟まれている。
さらに、カソード領域41と、アノード領域42と、ウェルコンタクト領域43と、トリガー領域44とは、先に説明したように長方形のリング状のパターンからなり、それぞれの有する不純物拡散層領域は、長方形のリング状のパターンの内側に形成される。
そして、カソード領域41、アノード領域42、ウェルコンタクト領域43、及び、トリガー領域44とは、それぞれ別個の配線50に、コンタクト領域51を介して接続されている。
また、本実施形態においても、第1及び第2の実施形態と同様に、最外周フィン領域30aを、P型の不純物拡散層領域を有するものとし、且つ、電気的に浮遊状態とし、SCR型ESD保護素子1を構成する部位として使用しない。最外周の側壁ダミーパターンの寸法精度が低く、従って、最外周フィン30aが他と異なる形状である可能性があるが、このようにすることにより、SCR型ESD保護素子1の特性悪化や特性ばらつきを避けることができる。そして、第1及び第2の実施形態と同様に、P型ウェル領域20を半導体基板70の最外周に形成し、さらに、最外周フィン領域30aに対して1つ内側にあるフィン領域をウェルコンタクト領域43とすることにより、最外周フィン30aが他と異なる形状であることに起因したSCR型ESD保護素子1の特性悪化や特性バラツキをより防いでいる。
さらに、本実施形態においては、最外周フィン領域30a上にもダミーコンタクト領域51aを形成する。これは、コンタクト領域51を形成するためのリソグラフィの精度をより向上させるために、コンタクト領域51のパターンの対称性を保つためである。
なお、図8及び図9に示されるように、電気的に浮遊状態にさせるフィン領域は、フィン配列領域10の最外周に位置する1つのリング状のフィン領域から構成するものとは限られず、フィン配列領域10の中央部のフィン領域とは異なる形状である可能性を持つ、フィン配列領域10の最外周にある複数のフィン領域、詳細には、フィン配列領域10の最外周から内側に向かって複数個のフィン領域により構成しても良い。ただし、カソード領域41と、アノード領域42と、ウェルコンタクト領域43と、トリガー領域44とは、それぞれ、不純物拡散層領域を偶数個持つように形成することが好ましく、このようにすることにより、同じフィン領域に存在する不純物拡散層領域を分離する必要がなくなるためである。さらに、同じフィン領域に形成される不純物拡散層領域には同じ導電型のイオンを打ち込むこととなるため、イオン注入に用いるマスクの合わせずれとそれによるマスクの有効開口幅が小さくなることのプロセス技術的な難しさは、第1の実施形態ほどではないため、精度良くイオン注入を行うことができ、従って、素子の特性ばらつき、ひいてはSCR型ESD保護素子1の耐圧劣化を避けることができる。
本実施形態によれば、SCR型ESD保護素子1を、FinFETで用いられるフィン領域と同じ形状、同じ間隔を有するフィン領域を用いて形成するため、精度良く均一なフィン領域を形成することができる。加えて、側壁イメージ転写法を用いていて形成することから、より高い寸法精度でフィン領域を得ることができる。さらに、最外周フィン30aを電気的に浮遊状態とし、SCR型ESD保護素子1を構成する部位として使用しないことから、SCR型ESD保護素子1の特性悪化を防ぐことができる。
なお、本実施形態のFinFETは、第1及び第2の実施形態と同様に、この図8における左端は、図示されるように終端されるものではなく、N型ウェル領域20/P型ウェル領域21の繰り返し構造が所望の数だけ並んでいるものである。また、図8の縦方向もこの寸法のものに限定されるものではなく、所望の数だけ繰り返し構造を並べても良い。
また、各フィン領域の形状は図8に示されるものに限定されるものではないが、フィン領域を形成するための側壁ダミーパターンが倒れたりしないような形状、大きさにすることが好ましい。
本発明の実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
1 SCR型ESD保護素子(制御整流素子)
A アノード端子
C カソード端子
Di ダイオード
Tr1 pnpバイポーラトランジスタ
Tr2 npnバイポーラトランジスタ
10 フィン配列領域
20 P型ウェル領域
21 N型ウェル領域
25 ウェル領域境界
30a 最外周フィン領域
41 カソード領域
42 アノード領域
43 ウェルコンタクト領域
44 トリガー領域
50 配線
51 コンタクト領域
51a ダミーコンタクト領域
60 STI領域
70 半導体基板

Claims (5)

  1. 半導体基板と、
    前記半導体基板上に形成された第1の導電型の第1のウェル領域と、
    前記半導体基板上に形成された第2の導電型の第2のウェル領域と、
    前記第1のウェル領域と前記第2のウェル領域とに亘って、同じ方向で、且つ、同じピッチで配列する複数のフィン領域と、
    を備える制御整流素子を有する半導体装置であって、
    前記第1のウェル領域と前記第2のウェル領域とは、前記各フィン領域の短辺に沿った方向に接して並んでおり、それにより、前記各フィン領域の長辺と平行なウェル領域境界を形成し、
    前記ウェル領域境界を挟み込むように位置する一対の前記フィン領域は、
    前記第1のウェル領域内に位置し、且つ、第2の導電型の不純物拡散層領域を有するカソード領域と、
    前記第2のウェル領域内に位置し、且つ、第1の導電型の不純物拡散層領域を有するアノード領域とからなり、
    前記カソード領域と前記アノード領域とを挟むように位置する別の一対の前記フィン領域は、
    前記第1のウェル領域内に位置し、且つ、第1の導電型の不純物拡散層領域を有するウェルコンタクト領域と、
    前記第2のウェル領域内に位置し、且つ、第2の導電型の不純物拡散層領域を有するトリガー領域とからなり、
    前記ウェルコンタクト領域と前記カソード領域と前記アノード領域と前記トリガー領域とは、それぞれPNP型バイポーラトランジスタ及びNPN型バイポーラトランジスタを構成するように各配線部に接続しており、
    前記第1のウェル領域は、前記半導体基板の最外周に位置し、さらに、前記ウェルコンタクト領域よりも外周に位置し、第1の導電型の不純物拡散層領域を有し、且つ、電気的に浮遊状態にある最外周フィン領域を有する、
    ことを特徴とする半導体装置。
  2. 前記第1のウェル領域は、前記最外周フィン領域を少なくとも2つ以上備える、ことを特徴とする請求項1に記載の半導体装置。
  3. 前記ウェルコンタクト領域と前記カソード領域と前記アノード領域と前記トリガー領域とは、それぞれ、少なくとも2つ以上の隣り合う前記フィン領域からなる、ことを特徴とする請求項1または2に記載の半導体装置。
  4. 半導体基板と、
    前記半導体基板上に形成された第1の導電型の第1のウェル領域と、
    前記半導体基板上に形成された第2の導電型の第2のウェル領域と、
    前記第1のウェル領域と前記第2のウェル領域とに亘って、同じ方向で、且つ、同じピッチで配列する複数のフィン領域と、
    を備える制御整流素子を有する半導体装置であって、
    前記第1のウェル領域と前記第2のウェル領域とは、前記各フィン領域の短辺に沿った方向に接して並んでおり、それにより、前記各フィン領域の長辺と平行なウェル領域境界を形成し、
    前記ウェル領域境界を挟み込むように位置する一対の前記フィン領域は、
    前記第1のウェル領域内に位置し、且つ、第2の導電型の不純物拡散層領域を有するカソード領域と、
    前記第2のウェル領域内に位置し、且つ、第1の導電型の不純物拡散層領域を有するアノード領域とからなり、
    前記カソード領域と前記アノード領域とを挟むように位置する別の一対の前記フィン領域は、
    前記第1のウェル領域内に位置し、且つ、第1の導電型の不純物拡散層領域を有するウェルコンタクト領域と、
    前記第2のウェル領域内に位置し、且つ、第2の導電型の不純物拡散層領域を有するトリガー領域とからなり、
    前記ウェルコンタクト領域と前記カソード領域と前記アノード領域と前記トリガー領域とは、それぞれPNP型バイポーラトランジスタ及びNPN型バイポーラトランジスタを構成するように各配線部に接続し、
    前記ウェルコンタクト領域と前記カソード領域と前記アノード領域と前記トリガー領域とは、それぞれ、少なくとも2つ以上の隣り合う前記フィン領域からなる、
    ことを特徴とする半導体装置。
  5. 複数の前記配線は同じピッチで配列し、且つ、前記配線のピッチは、前記フィン領域のピッチよりも広い、ことを特徴とする請求項3または4に記載の半導体装置。
JP2011133376A 2011-06-15 2011-06-15 半導体装置 Active JP5662257B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2011133376A JP5662257B2 (ja) 2011-06-15 2011-06-15 半導体装置
US13/364,716 US8569867B2 (en) 2011-06-15 2012-02-02 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011133376A JP5662257B2 (ja) 2011-06-15 2011-06-15 半導体装置

Publications (2)

Publication Number Publication Date
JP2013004676A JP2013004676A (ja) 2013-01-07
JP5662257B2 true JP5662257B2 (ja) 2015-01-28

Family

ID=47352994

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011133376A Active JP5662257B2 (ja) 2011-06-15 2011-06-15 半導体装置

Country Status (2)

Country Link
US (1) US8569867B2 (ja)
JP (1) JP5662257B2 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9130008B2 (en) * 2013-01-31 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Robust ESD protection with silicon-controlled rectifier
EP2770538A1 (en) * 2013-02-25 2014-08-27 Imec Fin type semiconductor structure suitable for producing esd protection device
DE102013009305B4 (de) * 2013-06-04 2018-12-06 X-Fab Semiconductor Foundries Ag Integriertes Schutzbauelement für integrierte Hochvolt MOS-Transistoren in CMOS-Schaltkreisen
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US8859384B1 (en) 2013-08-01 2014-10-14 International Business Machines Corporation Inductor formation with sidewall image transfer
US9240471B2 (en) 2013-08-28 2016-01-19 Globalfoundries Inc. SCR with fin body regions for ESD protection
US9147676B2 (en) 2013-10-02 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. SCRs with checker board layouts
US9177951B2 (en) * 2014-01-06 2015-11-03 Globalfoundries Inc. Three-dimensional electrostatic discharge semiconductor device
US9876005B2 (en) * 2015-10-01 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. SCRS with checker board layouts
US9679897B1 (en) 2016-04-04 2017-06-13 International Business Machines Corporation High density nanofluidic structure with precisely controlled nano-channel dimensions
US9653454B1 (en) 2016-07-20 2017-05-16 Globalfoundries Inc. Methods for an ESD protection circuit including trigger-voltage tunable cascode transistors
US9679888B1 (en) * 2016-08-30 2017-06-13 Globalfoundries Inc. ESD device for a semiconductor structure
US10083952B2 (en) * 2017-02-02 2018-09-25 Globalfoundries Inc. Diode-triggered schottky silicon-controlled rectifier for Fin-FET electrostatic discharge control
TWI624928B (zh) * 2017-05-02 2018-05-21 奇景光電股份有限公司 靜電放電保護電路
US11289471B2 (en) 2020-08-24 2022-03-29 Globalfoundries U.S. Inc. Electrostatic discharge device
WO2023167083A1 (ja) * 2022-03-02 2023-09-07 株式会社ソシオネクスト 半導体集積回路装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2768719B2 (ja) 1988-11-21 1998-06-25 株式会社日立製作所 半導体装置及び半導体記憶装置
JP4781620B2 (ja) * 2003-08-12 2011-09-28 ルネサスエレクトロニクス株式会社 静電気放電保護素子
JP4551811B2 (ja) 2005-04-27 2010-09-29 株式会社東芝 半導体装置の製造方法
JP2008172174A (ja) * 2007-01-15 2008-07-24 Toshiba Corp 半導体装置
JP2010067846A (ja) * 2008-09-11 2010-03-25 Panasonic Corp 静電放電保護回路を備えた半導体装置
JP2011029457A (ja) * 2009-07-27 2011-02-10 Ricoh Co Ltd 半導体装置

Also Published As

Publication number Publication date
JP2013004676A (ja) 2013-01-07
US20120319164A1 (en) 2012-12-20
US8569867B2 (en) 2013-10-29

Similar Documents

Publication Publication Date Title
JP5662257B2 (ja) 半導体装置
KR101454537B1 (ko) 반도체 핀을 구비한 esd 디바이스
US7465995B2 (en) Resistor structure for ESD protection circuits
KR101352685B1 (ko) Esd를 위한 수직 bjt 및 scr
US9190497B2 (en) Method for fabricating semiconductor device with loop-shaped fin
TW201714306A (zh) 金氧半導體電晶體與形成閘極佈局圖的方法
US8785972B2 (en) Semiconductor electrostatic protection circuit device
JP2012160510A (ja) 半導体装置及びその製造方法
US11575050B2 (en) Semiconductor structure having both gate-all-around devices and planar devices
JP2016523454A (ja) フィールドプレート・トレンチ・fet、及び、半導体構成素子
JP7289258B2 (ja) 半導体装置
US20160093511A1 (en) Multigate transistor device and method of isolating adjacent transistors in multigate transistor device using self-aligned diffusion break (sadb)
JP2009094314A (ja) 縦型mosfet構造の半導体装置
CN115377093A (zh) 半导体保护器件
JP2014203851A (ja) 半導体装置及びその製造方法
JP2010135755A (ja) 静電気放電保護素子及びその製造方法
JP5743831B2 (ja) 半導体装置
JP2016012637A (ja) 半導体装置
CN112216695A (zh) 半导体装置及形成半导体装置的方法
CN109427762B (zh) 静电放电晶体管阵列装置
US8669639B2 (en) Semiconductor element, manufacturing method thereof and operating method thereof
US9508693B2 (en) Semiconductor device with heat sinks
US11068635B2 (en) Method of designing a mask and method of manufacturing a semiconductor device using the same
US9793345B1 (en) Semiconductor device
CN106486473B (zh) 静电放电保护结构及其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141022

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141204

R151 Written notification of patent or utility model registration

Ref document number: 5662257

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350