JP4768906B2 - 半導体基板の温度制御のための方法及びその装置 - Google Patents

半導体基板の温度制御のための方法及びその装置 Download PDF

Info

Publication number
JP4768906B2
JP4768906B2 JP2000270823A JP2000270823A JP4768906B2 JP 4768906 B2 JP4768906 B2 JP 4768906B2 JP 2000270823 A JP2000270823 A JP 2000270823A JP 2000270823 A JP2000270823 A JP 2000270823A JP 4768906 B2 JP4768906 B2 JP 4768906B2
Authority
JP
Japan
Prior art keywords
heat transfer
substrate support
support member
transfer plate
internal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000270823A
Other languages
English (en)
Other versions
JP2001118835A (ja
Inventor
ファイ エドウィン モク イェウク
ルボミルスキー ドミトリー
クーソウ デニス
ワン ダニー
タック セン
エクセリン ポール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001118835A publication Critical patent/JP2001118835A/ja
Application granted granted Critical
Publication of JP4768906B2 publication Critical patent/JP4768906B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般に、半導体ウェーハ処理装置に関する。より詳細には、本発明は半導体ウェーハの直径を横切る制御可能で一定の温度分布を供給する。
【0002】
【従来の技術】
半導体ウェーハ処理において、ウェーハの表面温度は重要な処理のパラメータである。ウェーハ処理の間にウェーハ表面の変化及びそれを横切る勾配は、材料堆積、エッチング割合、機構のテーパ角、ステップ範囲等にとって有害である。ウェーハ処理の間に温度の均一性を制御し、処理を向上させ、不必要な特性を最小にすることは重要である。
【0003】
多くのデバイスは当分野では処理中のウェーハ温度を制御するために使用されている。1つの方法は処理中にウェーハ支持台を通る冷却流体を供給する。その流体はウェーハ支持台から熱を取り除き、従って、ウェーハを冷却する。ウェーハを冷却するこの方法は2つの固有の問題を有している。第1に、ウェーハを所望の温度にするために要求される応答時間はかなり長い。そのように、急なウェーハ温度の変動を補償するためのウェーハ温度の迅速な動的制御は可能ではない。従って、ウェーハは一定温度として維持されない。
【0004】
この方法の第2の不利益はウェーハの表面を横切る温度均一性を制御するのに無用であることである。ウェーハからウェーハ支持台への熱伝達は通常、ウェーハの中央で最大であり、端部の方では小さくなる。流体温度は通常、ウェーハ支持台内部で一定であるので、ウェーハは中央部でもっと迅速に冷却する。これはウェーハ表面に温度勾配を発生させ、増加した直径のウェーハ、例えば300mmではよりひどくなる。この温度勾配は半導体ウェーハ処理の機構の変化の主要な要因の1つである。
【0005】
台の温度の迅速な動的制御を供給するウェーハ温度を制御する別の方法はウェーハを支持する表面(すなわち、支持表面)に埋め込まれた熱電気デバイスを使用する。これらのデバイスは台の支持表面の下方の2次元アレイで方向付けされている。しかし、そのようなアレイの内部では、熱の少量がデバイスの直近位置及びそれの間の位置で伝達されている間に温度勾配が個々のデバイス間で形成され、すなわち、各デバイスはその位置で有効に熱を伝達させる。複数のデバイス間のそのような勾配はウェーハに実質的な温度変化を生じさせ、すなわち、熱い位置と冷たい位置が形成される。その結果として、温度変化に応じてウェーハに処理変化が発生することがある。
【0006】
最近、新種の材料、例えば銅およびイリジウムと考えられるものをエッチングする進歩はウェーハ温度及び温度均一性を制御する問題をさらに悪化させる。幾つかの新種の材料をエッチングするのに使用される静電チャックに加えられている(1000ワットまで及びそれを超える)高いバイアスエネルギはウェーハの熱負荷に著しく寄与し、公知の手段以上に台を冷却することが要求される。さらに、幾つかの新種の材料をエッチングするのに使用される処理温度は200から400℃の範囲の温度を必要とする。そのような高い処理温度はウェーハを所定の処理温度まで迅速に持って来て、その温度を維持することのできる台を必要とする。
【0007】
【発明が解決しようとする課題】
そのため、当分野では、ウェーハを迅速に所定の温度に持って来て、その温度を維持し、ウェーハ支持台のウェーハ支持表面全体に渡り均一な温度を供給することのできる装置の必要性がある。
【0008】
【課題を解決するための手段】
従来技術に関連した不利益は半導体基板、すなわちウェーハを支持すると共に熱的に制御するための支持台の本発明により克服される。支持台は基板支持、アイソレータ、内部板及び外部板を有している。基板支持は静電チャック、ヒータ、セラミック本体等である。好ましくは、アイソレータはセラミック又は低熱伝達率の他の材料製であるが、内部容量を規定する内部直径を有している。アイソレータは基板支持と外部板との間に固定されている。内部板はアイソレータに固定されている。内部板及び基板支持は大気に通じる隙間を規定する。内部板及び外部板の両方は熱伝達流体を循環させるための内部流路を有している。
【0009】
本発明の第2の実施例は支持台であり、該支持台は基板支持、アイソレータ、内部板及び外部板を有している。基板支持は静電チャック、ヒータ、セラミック本体等である。アイソレータは内部容量を規定する内部直径を有している。アイソレータは基板支持と外部板の間に固定されている。内部板は容量を規定するリング形状のセクションを有し、第1セクションを第2セクションから分離させる。第1セクションは基板支持に固定されている。内部板及び外部板の両方の第2セクションは熱伝達流体を循環させる内部流路を有している。ウェーハの熱制御のための処理がまた開示されている。ウェーハ温度の制御は、基板支持と基板支持の下方に配置された外部板内を循環する流体の間で熱を伝達させることにより容易になる。外部板はアイソレータにより基板支持から分離される。熱は外部板内を循環する流体に伝達される。さらに、熱は基板支持と基板支持の下方に配置される内部板内を循環する流体の間を伝達する。好適な方法の別の実施例は熱を流体に伝達する前に内部板のリング形状のセクションを通って熱を伝達させることを含んでいる。その方法の他の実施例はウェーハ温度を検出し、基板支持内の1以上の電極に熱を発生させる信号を供給することを含んでいる。
【0010】
【発明の実施の形態】
図1に示された本発明は、半導体処理システム100の一部である。そのようなシステムの説明に役立つ例は、カリフォルニア州、サンタクララ市のApplied Materials社から入手可能なDecoupled Plasma Source(DPS)Centura(登録商標)エッチングシステムである。システム100は基板支持又は支持台116を有し、ウェーハ処理の間、半導体基板又はウェーハを所定の温度に維持する。創意に富む支持台116はかなり多くの半導体処理システムにおいて有用性を有し、ウェーハ114の温度制御及び温度の均一性が要求される。当業者は開示された教示が各種他の適用(すなわち、物理及び化学気相成長法の半導体処理システム)での使用に容易に適用可能であり、ウェーハ114の温度制御及び均一性が要求されることを認識するであろう。
【0011】
システム100はチャンバー110とコントローラ140を備えている。システム100はガスパネル138、熱伝達ガス源148、第1電源118、第2電源122、及び流体供給装置154のような各種源に接続されている。チャンバー110は電気接地、誘電体、ドーム型天井又は蓋120に接続される伝達チャンバー壁130を有している。チャンバー壁130及び蓋120はチャンバー容量128を規定する。チャンバー容量128は通常、ウェーハ処理の間、大気圧以下である。少なくとも1つの誘導コイルアンテナセグメント112は蓋120の外側に配置されている。アンテナセグメント112は第1電源118に結合され、該第1電源は通常、約12.56MHzの調整可能な周波数を有するRF信号を作ることができる。第1電源118は整合ネットワーク119に結合されている。
【0012】
処理チャンバー110内には支持台116が配置されている。支持台116は第2電源122に結合されている。第2電源は通常、約13.56MHzの周波数を有するRF信号を作ることができる。第2電源122は整合ネットワーク124を通って支持台116に結合される。熱伝達ガス源148は支持台116に結合されている。熱伝達ガス、すなわち、ヘリウムガスは熱伝達ガス源148からウェーハ114と支持台116の間の溝(図示せず)に流れる。ヘリウムガスは基板114と支持台116の間での熱伝達を促進するために使用される。
【0013】
流体供給装置154は第1導管156により支持台116に結合されている。流体供給装置154はまた第2導管158によりチャンバー壁内の流路(図示せず)に結合されている。通常、流体供給装置154は80℃で設備の水を流し、支持台116及びチャンバー壁130の温度を調整するのを補助する。他の流体は容易に設備の水に代えられてもよい。さらに、異なる処理条件が要求される時に代わりの流体温度が使用されてもよい。
【0014】
ガスパネル138は処理ガス(又はガス)及び他のガスを供給し、ウェーハ114の処理を容易にするために使用される。処理ガス、例えば、アルゴンはガスパネル138からポート126を通ってチャンバー110に送られ、第2電源122により支持台116とチャンバー壁130の間に電力が供給される時にプラズマを形成する。チャンバー容量128内の圧力は、チャンバー110と真空ポンプ136の間に置かれた絞り弁132を使用して(処理中に、通常、大気圧以下に)制御される。
【0015】
コントローラ140は中央処理装置(CPU)144、メモリ142、及びCPU144の支援回路146を備えている。コントローラ140はチャンバー110の各種構成に結合され、ウェーハ処理の制御を容易にする。
【0016】
支持台116の1実施例は図2により詳細に示されている。支持台116は基板支持400、内部熱伝達板402、スペーサ404、アイソレータ406、外部熱伝達板408、ハウジング410、シャフト412及びクランプ414を有している。基板支持400は静電チャック、ヒータ、セラミック本体等とすることができる。基板支持400は第1又は基板側478、第2側480、及び円周フランジ446を有している。基板支持400は通常、熱分解窒化ホウ素、窒化アルミニウム、窒化珪素、アルミナ等のような蝋付け可能なセラミックである。センサ422は基板側478に最も近い基板支持400に配置されている。センサ422は接続部428を介してコントローラ140(図1参照)に結合されている。クランプ414はアイソレータ406に対して基板支持400のフランジ446を保持する。クランプ414は通常、ボルト(図示せず)によりアイソレータ406に固定されている。被覆リング426はクランプ414上に配置されている。被覆リング426はクランプ414及び基板支持400の露出部分424をプラズマによりエッチングされるのを保護する。
【0017】
1以上の電極が基板支持400に埋め込まれている。1以上の電極は、1以上の電源(すなわち、電源122又は代わりにDC電源)への接続部を介して、チャッキング、加熱、RF電力分配等を含む半導体基板処理のいろいろな要求のために使用されてもよい。1以上の電極は少なくとも第1電極416及び第2電極436を備えているのが好ましい。通常、第1電極は基板支持400の基盤側478にウェーハを静電気的に保持するために使用される。第2電極436はウェーハ114を加熱するために使用される。
【0018】
アイソレータ406は内径450及び厚さW’を有している。内径450はステップ448を有している。アイソレータ406はセラミック又は低熱伝達率を有する他の材料製である。アイソレータ406は基板支持400と外部熱伝達板408の間に配置されている。内径450及び基板支持400は内部容量を規定する。外部熱伝達板408は金属材料製である。外部熱伝達板408は中央流路438及び内部流路418を有している。外部熱伝達板408内の内部流路418は第1導管156により流体供給装置(図1参照)に結合され、矢印432で示されているように外部熱伝達板408を通って設備の水を循環させる。ハウジング410は外部熱伝達板408の中央流路438と同軸の中央流路440を有している。中央流路438及び440はシャフト412から内部容量420へのアクセスを容易にし、内部容量420をシャフト412を通って大気に通じさせる。螺子、ボルト及び分解を容易にする他の締め金具のような金物類は、好ましくは、支持台116の構成部品を固定するために使用される。しかし、クランプ、リベット、蝋付け、溶接等の他の締付け金具類が代わりに用いられてもよい。
【0019】
支持台116のハウジング410はベローズ454に取付け(蝋付け)されている。ベローズ454はまたチャンバー壁130にも取付けられている。ベローズ454は内部容量420をチャンバー容量128から絶縁している。内部容量420を絶縁するためにベローズ420を使用することは、ここにインコーポレイテッドバイリファレンスされており、1996年4月30日に発行され、譲渡された米国特許番号5,511,799により詳細に見出される。この方法では、ベローズ454はシステム100の作動の間、内部容量420を大気に開放させる。
【0020】
スペーサ404はリング形状を有し、アイソレータ406の段部448に配置されている。内部熱伝達板402はスペーサ404に配置されている。スペーサ404及び内部熱伝達板402の両方は内部容量420内にある。好ましくは、内部熱伝達板402は金属又は他の熱伝達材料製である。内部熱伝達板402は流路476及び表面452を有している。流路476は第1導管156により流体供給装置154(図1参照)に結合され、矢印430で示されているように設備の水を内部熱伝達板402を通って循環させる。内部熱伝達板402及び基板支持400の第2側480は隙間456を規定する。内部容量420にある空気は隙間456を充填するので、内部熱伝達板402と基板支持400の間の熱伝達は対流、伝導及び放射により隙間456全域に発生する。
【0021】
システム100の作動は図1と図2を同時に参照することにより最もよく理解される。当業者は支持台116がウェーハ11の温度制御及び温度の均一性が要求されるところはどこでも都合よく使用することができ、システム100の使用及び開示された処理範囲は例としてであり、例示だけのためである。ウェーハ114は支持台116の基板支持上に置かれている。ヘリウムはウェーハ114と支持台116の間の熱伝達ガス源148から供給され、ウェーハ114と支持台116との間の熱伝達を促進する。ウェーハ114は第2電源122から第2電極436に電力を加えることにより200〜500℃の範囲で所定の処理温度迄上げられる。通常、第2電極436により加熱されたウェーハ114は20秒以下で所定温度に達するであろう。ウェーハ114が基板支持400に配置され、チャンバー容量128がポンプ136により排出され、ガスパネル138からの処理ガスはチャンバー壁130のポート126を通ってチャンバー容量128に導入される。第1電源118からアンテナ112に2500ワットまで電力を加えることにより、ガスはプラズマ152に励起される。第2電源122から第1電極416に1000ワットまで電力を加えることにより、ウェーハ114は支持台116に保持される。電圧はプラズマ内のガスをイオン化し、ウェーハ114はエッチングされることとなる。
【0022】
処理の間、ウェーハ114は各種源、すなわち、プラズマ152、1以上の電極(416及び436)等からの熱エネルギに晒される。ウェーハ114に衝突する熱エネルギを打ち消すため、それぞれ内部熱伝達板402及び外部熱伝達板408を流れる流体供給装置152からの設備の水により熱エネルギはウェーハ114から取り除かれる。当業者はエチレングリコール等のような他の冷却流体が容易に設備の水の代わりになることを認識するであろう。熱伝達の主要な経路は基板支持400を通り、隙間456を横切り、ウェーハ14から内部熱伝達板402及び流路476を流れる設備の水に至るものである。この経路に渡る熱伝達率は、基板支持400及び内部熱伝達板402の材料の組成、隙間456の寸法、及び内部容量432を充填するガスの組成を変えることにより変えることができる。通常、空気が隙間456(すなわち、内部容量432の一部分である隙間)の熱伝達媒体を供給する時、隙間456内の圧力(及び従って空気の密度)を変えることは相応じて熱伝達率を変えるであろう。空気のため別のガス(すなわち、窒素)を代用することはまた隙間456を横切る熱伝達率をも変えるだろう。
【0023】
熱伝達率の2番目の経路は、基板支持400を通り、アイソレータ406の回りをウェーハ114から内部熱伝達板408及び流路418を流れる設備の水に至るものである。アイソレータ406の回りにより、アイソレータ406は低熱伝達率を有するが、2番目の経路に沿って移動する熱の大部分はアイソレータ406を通過しないことを意味している。内部容量420にある空気及び(たとえ微少でも)チャンバー容量128内のガスを伝達することにより、熱はアイソレータの回りを通る。熱はまた、一緒に支持台116を保持する金物類を通り基板支持400から外部熱伝達板408に移動することにより、アイソレータの回りを通る。この経路に渡る熱伝達率は基板支持400、アイソレータ406及び外部熱伝達板408の材料組成を変えることにより変えることができる。さらに、アイソレータの回りの熱伝達率はまたアイソレータ406の厚みW’を変えることにより変えられる。
【0024】
主要経路の熱伝達率は2番目の経路の熱伝達率を超えているので、中央でより早くウェーハ114を加熱及び冷却する傾向は補償される。従って、一定の温度勾配はウェーハの直径に渡り維持される。実際の熱伝達率及び、主要及び2番目の経路の間のバランスは台/ウェーハの結合構造及びウェーハ114が受ける処理パラメータ次第である。
【0025】
ウェーハ114で所定温度を維持するため、ウェーハ114間の熱伝達率はバランスされなければならない。コントローラ140はウェーハ114との間の熱伝達率のバランスを促進する。基板支持400にあるセンサ422はコントローラ140と通じており、ウェーハ温度情報を提供する。コントローラ140はウェーハ114が加熱を要求していると決定した場合には、コントローラ140は第2電極436に信号を送信し、そのような第2電極436により発生され、ウェーハ114に伝達され、設備の水によりウェーハ114から取り除かれた熱(すなわち、ウェーハ114の熱エネルギの正味の増加量)を超える熱を発生させる。熱がウェーハ114から除去される必要があるとコントローラ140が決定した場合には、コントローラ140は第2電極436に信号を送信し、第2電極436により発生され、ウェーハ114に伝達され、設備の水によりウェーハ114から取り除かれた熱(すなわち、ウェーハ114の熱エネルギの正味の減少量)により超えられた熱を発生する。センサ42及びコントローラ140はウェーハ114の温度を絶えず監視し、第2電極436に適当な信号を送信し、ウェーハ114を所定温度に維持するようになっている。
【0026】
加熱及び冷却の要求はチャンバー内で行われる処理のタイプにより変わるので、上記した属性は当業者により変えられ、特定の適用のために予期されたウェーハの熱負荷の要求を最適に取扱ってもよい。
【0027】
支持台116の第2の実施例は図3に示されている。支持台116は、基板支持400、内部熱伝達板300、アイソレータ466、外部熱伝達板408、ハウジング410、シャフト412及びクランプ414を備えている。基板支持400は静電チャック、ヒータ、セラミック本体等とすることができる。センサ422は基板側478近くの基板支持400に置かれている。センサ422はコントローラ140に結合されている。クランプ414はアイソレータ466に対して基板支持400のフランジ446を保持している。クランプ414は通常、ボルト(図示せず)によりアイソレータ466に固定されている。被覆リング426はクランプ414と基板支持400の露出部分424がプラズマによりエッチングされるのを防ぐ。
【0028】
1以上の電極は基板支持400に埋め込まれている。1以上の電極は、チャッキング、加熱、1以上の電源(すなわち、源122又は代わりのDC電源)への接続部を介するRFパワーの分配等を含む半導体基板処理のいろいろな要求のために使用されてもよい。1以上の電極は少なくとも第1電極416及び第2電極436を備えているのが好ましい。第1電極は通常、基板支持400の基板側478にウェーハを静電気的に保持するために使用される。第2電極436はウェーハ114を加熱するために使用される。
【0029】
アイソレータ466は内径468と厚みW”を有している。内径468及び基板支持400は内部容量420を規定する。アイソレータ466は低熱伝達率を有するセラミック又は他の材料製である。アイソレータ466は外部熱伝達板408と基板支持400の間に配置されている。外部熱伝達板408は金属材料製である。外部熱伝達板408は中央流路438と内部流路418を有している。外部熱伝達板408内の内部流路418は第1コンジット156により流体供給装置154(図1参照)に結合され、設備の水を矢印432により示された外部熱伝達板408を通って循環させる。ハウジング410はシャフト412に固定されている。ハウジング410は中央流路438と整列された中央流路440を有している。流路438及び440はシャフト412から内部容量420へのアクセスを許容し、内部容量420をシャフト412を通って大気に排出させる。螺子、ボルト及び分解を容易にする他の留め金具は好ましくは、支持台116の構成部品を固定するために使用される。しかし、クランプ、リベット、蝋付け、溶接等のような他の固定設備が代用されてもよい。
【0030】
支持台116のハウジング410はベローズ454に取付けられている(すなわち、蝋付けされている)。ベローズ454はまたチャンバー壁130に取付けられている。ベローズ454は内部容量420をチャンバー容量128から絶縁する。この方法では、ベローズ454はシステム100の動作中、内部容量420を大気に排出させた状態にさせる。
【0031】
内部熱伝達板300は内部容量420内にある。内部熱伝達板300は、図4により詳細に示されているが、制御板302と接触板304とを備えている。制御板302と接触板304は金属又は他の熱伝達材料製である。制御板302は第1の円板形状部分306と第2の円板形状部分308とを有している。厚みT及び高さHを有するリング310は第1の円板形状部分306を第2の円板形状部分308に接続する。容量318は第1の円板形状部分306、第2の円板形状部分308及びリング310により規定される。第2の円板形状部分308は容量318を内部容量420と通じさせる通気孔316を有している。内部容量420にある空気は容量318を充填するので、熱伝達は対流、伝導及び放射により容量318を横切って起こる。第2の円板形状部分308はさらに流路312を有している。流路312はコンジット156によって流体供給装置154(図1参照)に結合され、図3の矢印430により示されているように、設備の水を第2の円板形状部分308を通って循環させる。
【0032】
接触板304は第1側320及び第2側322を有している。第2側322はカウンターボア324を有し、それに制御板302の第1の円板形状部分306が配置される。接触板304の第1側320は基板支持400の第2側480に対して配置されている。接触板304と制御板302の構成はリング310が内部熱伝達板300内に配置された状態である限り容易に変更されてもよいことに読者は注目すべきである。
【0033】
システム100の動作は図1、図3及び図4を同時に参照することにより最もよく理解される。温度制御及びウェーハ114の温度の均一性が要求されるところはどこでも支持台116は有利に利用可能であり、システム100での使用及び開示された処理範囲は例としてであり、例示だけのためである。ウェーハ114は支持台116の基板支持400の上に置かれている。ヘリウムはウェーハ114と支持台116の間の熱伝達ガス源148から供給され、ウェーハ114と支持台116の間の熱伝達を促進する。ウェーハ114は第2電源122から第2電極436に電力をかけることにより200〜500℃の範囲の所定処理温度まで上げられる。通常、第2電極436により加熱されたウェーハ114は20秒以下の所定温度に達するだろう。ウェーハ114が基板支持400に置かれ、チャンバー容量128がポンプ136により排出された後、ガスパネル138からの処理ガスはチャンバー壁130のポート138を通ってチャンバー容量128に導入される。ガスは第1電源118からアンテナ112に約2500ワットをかけることによりプラズマ152に励起される。ウェーハ114は第1電極416に1000ワットまでかけることにより支持台116に保持される。結果として生じるプラズマの発火及び支持台116のバイアスはウェーハ114をエッチングさせる。
【0034】
処理の間、ウェーハ114は、各種源、すなわち、プラズマ152、1以上の電極(416及び436)等からの熱エネルギに晒される。ウェーハ114に衝突する熱エネルギを打ち消すため、熱エネルギは、流体供給装置152からそれぞれ内部熱伝達板300及び外部熱伝達板408の流路312及び418を流れる設備の水によりウェーハ114から取り除かれる。ウェーハ114からの熱伝達の2つの主要な経路がある。第1の主要な経路は、ウェーハ114から基板支持400、接触板304を通り、制御板302の第1の円板形状部分306に至り、容量318を横切って、第2の円板形状部分308及び流路312を流れる設備の水に至るものである。この経路を横切る熱伝達率は、基板支持、接触板304、及び制御板302組成、容量318の寸法(すなわち、高さHを変えることによる)、及び容量318を充填するガスの組成を変えることにより変えることができる。通常、空気は容量318(すなわち、通気孔316を通り内部容量432と通じる容量318)内に熱伝達媒体を供給するので、容量318内の圧力(及び従って空気の密度)を変えることは相応じて熱伝達率を変えるだろう。別のガス(すなわち、窒素)を空気に代えることはまた容量318を横切る熱伝達率を変えるだろう。
【0035】
第2の主要な熱伝達経路は、ウェーハ114から、基板支持400、接触板304を通り、制御板302の第1の円板形状部分306に至り、リング310を通り、第2の円板形状部分308及び流路312を流れる設備の水に至るものである。基板支持400、接触板304、制御板302の材料組成を変えることにより、及びリング310の結合構造を変えることにより(すなわち、主にリング310の高さH及び厚みTを代えることにより)、この経路を横切る熱伝達率は変更可能である。第2の主要経路は主要経路に沿って伝達された熱の大部分を運ぶ。
【0036】
第2の熱伝達経路は、ウェーハ114から、基板支持400を通り、アイソレータ466を回り、外部熱伝達板408及び流路418を流れる設備の水に至るものである。熱はまた、共に支持台116を保持する金物類を通り、基板支持40から外部熱伝達板408へのこの経路に沿って伝達されてもよい。熱伝達率はまたアイソレータ466の厚みW’を変えることによりもたらされる。
【0037】
主要経路の熱伝達率は第2経路の熱伝達率を超えているので、ウェーハ114を中央でより早く加熱及び冷却する傾向は補償される。従って、一定の温度はウェーハの直径に渡り維持される。実際の熱伝達率及び第1と第2経路の間のバランスは、台/ウェーハの結合構造及びウェーハが受ける処理パラメータ次第である。
【0038】
ウェーハ114を所定温度に維持するため、ウェーハ14間の熱伝達率はバランスされなければならない。コントローラ140はウェーハ114間の熱伝達率のバランスを促進する。基板支持400にあるセンサ422はコントローラ140と通じており、ウェーハ温度の情報を供給する。ウェーハ114が加熱を要求しているとコントローラ140が決定した場合には、コントローラ140は第2電極436に信号を送信し、そのような第2電極436により発生され、ウェーハ114に伝達され、ウェーハ114から除去された熱(すなわち、ウェーハ114の熱エネルギの正味の増加量)を超える熱を生じる。熱がウェーハ114から取り除かれる必要があるとコントローラ140が決定した場合には、コントローラ140は第2電極436に信号を送信し、第2電極436により発生され、ウェーハ114に伝達され、ウェーハ114から除去された熱(すなわち、ウェーハ114の熱エネルギの正味の減少量)により超えられる熱を生じる。センサ422及びコントローラ140は絶えずウェーハ14の温度を監視し、第2電極436に適当な信号を送信し、ウェーハ114を所定温度に維持するようになっている。
【0039】
加熱及び冷却の要求はチャンバー内で行われる処理のタイプにより変わるので、上述した属性は当業者により変えられてもよく、特定の適用のための予期した熱負荷要求を最適に取扱う。
【0040】
本発明はエッチングチャンバーの静電チャックとして例示的に説明されているが、本発明の実施は半導体ウェーハの支持、ヒータ、ウェーハの温度制御が重要なもの等の他の適用に有利に適用可能であることを読者は注意すべきである。ここに詳細に示されると共に説明された本発明の教示であるが、当業者はその教示をさらに組込むと共に本発明の精神から逸脱しない他の変更実施例を容易に発明可能である。
【図面の簡単な説明】
【図1】本発明による熱制御台を含む半導体処理チャンバーを示す概略断面図である。
【図2】本発明の熱制御台の第1の実施例の概略断面図である。
【図3】本発明の熱制御台の第2実施例の概略断面図である。
【図4】図3の実施例の内部及び外部熱伝達板の概略断面図である。

Claims (25)

  1. 基板の支持及び温度制御のための装置であって、
    基板の側及び第2の側を有する基板支持部材と、
    該基板支持部材の第2の側を背にして配置されたセラミックのアイソレータと、
    該アイソレータを背にして、該基板支持部材とは反対側に、且つ間隔を置いて配置された外部熱伝達板と、
    を備え、
    該アイソレータの内径と該基板支持部材の第2の側の表面が、該基板支持部材の基板の側から分離しうる内部容量を規定し、
    前記装置が、前記内部容量内に配置された内部熱伝達板をさらに備えている
    ことを特徴とする装置。
  2. 前記内部板はスペーサによって支持され、前記内部熱伝達板と前記基板支持部材の間に隙間が規定されている、請求項1に記載の装置。
  3. 前記外部熱伝達板内の第1の内部熱伝達流体路と、
    前記内部熱伝達板内の第2の内部熱伝達流体路と、
    をさらに備えている請求項1に記載の装置。
  4. 前記基板支持部材はヒータを備えている請求項1に記載の装置。
  5. 前記基板支持部材はセラミック本体を備えている請求項1に記載の装置。
  6. 前記基板支持部材は静電チャックを備えている請求項1に記載の装置。
  7. 前記内部容量は大気に通じている請求項1に記載の装置。
  8. 前記内部熱伝達板は、
    第1セクションと、
    第2セクションと、
    前記第1セクションと前記第2セクションを接続するリング形状のセクションと、
    をさらに備えている請求項1に記載の装置。
  9. 前記内部容量に通じる前記リング形状のセクション、前記第1セクション及び前記第2セクションにより規定された容量をさらに備えている請求項8に記載の装置。
  10. 前記外部熱伝達板内の第1の内部熱伝達流体路と、
    前記内部熱伝達板の前記第2セクション内の第2の内部熱伝達流体路と、
    をさらに備えている請求項8に記載の装置。
  11. 基板の支持及び温度制御のための装置であって、
    チャンバー容積を有するチャンバー内に配置された基板支持部材と、
    該基板支持部材を背にして配置されたセラミックのアイソレータであって、該アイソレータと該基板支持部材の表面との間で、前記チャンバー容積から分離された内部容量を規定する、アイソレータと、
    該アイソレータを背にして、該基板支持部材とは反対側に配置された外部熱伝達板と、
    前記内部容量内に配置された内部熱伝達板と、
    を備えていることを特徴とする装置。
  12. 前記内部熱伝達板は、
    容量を規定する前記内部熱伝達板内のリング形状のセクションをさらに備えている請求項11に記載の装置。
  13. 前記内部熱伝達板は、
    第1の円板形状部分と、
    第2の円板形状部分と、
    前記第1の円板形状部分と前記第2の円板形状部分を接続するリング形状のセクションと、
    をさらに備えている請求項11に記載の装置。
  14. 前記容量を前記内部容量に通じさせる前記第2の円板形状部分の通気孔をさらに備えている請求項13に記載の装置。
  15. 前記内部容量は大気に通じている請求項13に記載の装置。
  16. 前記基板支持部材は静電チャックを備えている請求項11に記載の装置。
  17. 前記基板支持部材はヒータを備えている請求項11に記載の装置。
  18. 前記基板支持部材はセラミック本体を備えている請求項11に記載の装置。
  19. チャンバー容積を有する処理チャンバーと、
    該処理チャンバー内に配置され、1以上の埋め込まれた電極を有する基板支持部材と、前記基板支持部材の下方に配置されたアイソレータであって、該アイソレータの内径と該基板支持部材の表面により規定される、前記チャンバー容積から分離された内部容量を有する、該アイソレータと、該アイソレータの下方に配置された外部熱伝達板と、前記内部容量内に配置された内部熱伝達板とを有する支持台と、
    前記センサ及び前記1以上の埋め込まれた電極に結合されたコントローラと、
    を備えていることを特徴とする半導体処理システム。
  20. 前記内部熱伝達板はスペーサによって支持され、前記内部熱伝達板及び前記基板支持部材により隙間が規定されている、請求項19に記載の半導体処理システム。
  21. 前記内部板は、
    第1の円板形状部分と、
    第2の円板形状部分と、
    前記第1の円板形状部分と前記第2の円板形状部分を接続するリング形状のセクションと、
    をさらに備えている請求項19に記載の半導体処理システム。
  22. チャンバー容積を有するチャンバー内に配置された支持台の半導体ウェーハを均一な温度に維持する方法であって、前記支持台は、前記チャンバー容積から分離された内部容量を有するアイソレータに接続された基板支持部材であって、該アイソレータの内径と該基板支持部材の表面とにより該内部容量が規定される、基板支持部材と、該内部容量内に配置された内部熱伝達板と、該アイソレータに接続された外部熱伝達板とを有し、
    前記基板支持部材に前記ウェーハを供給し、
    前記基板支持部材と前記外部熱伝達板の間で第1の熱伝達率で熱を伝達し、そして、
    前記基板支持部材と前記内部熱伝達板の間で第2の熱伝達率で熱を伝達する、
    ステップを備えていることを特徴とする方法。
  23. 前記内部熱伝達板はスペーサによって支持されており、前記内部熱伝達板と前記基板支持部材の間で熱を伝達させるステップは、前記内部熱伝達板と前記基板支持部材との間に形成される隙間を横切って熱を伝達させるステップをさらに備えている請求項22に記載の方法。
  24. 前記内部熱伝達板と前記基板支持部材の間で熱を伝達させるステップは、前記内部熱伝達板のリング形状のセクションを横切って熱を伝達させるステップをさらに備えている請求項22に記載の方法。
  25. 前記基板支持部材で前記ウェーハの温度を測定し、
    前記測定した温度に応じて信号を発生させ、前記内部熱伝達板と前記基板支持部材との間の熱伝達率を制御する、
    さらなるステップを備えている請求項22に記載の方法。
JP2000270823A 1999-08-03 2000-08-03 半導体基板の温度制御のための方法及びその装置 Expired - Fee Related JP4768906B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/366155 1999-08-03
US09/366,155 US6466426B1 (en) 1999-08-03 1999-08-03 Method and apparatus for thermal control of a semiconductor substrate

Publications (2)

Publication Number Publication Date
JP2001118835A JP2001118835A (ja) 2001-04-27
JP4768906B2 true JP4768906B2 (ja) 2011-09-07

Family

ID=23441877

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000270823A Expired - Fee Related JP4768906B2 (ja) 1999-08-03 2000-08-03 半導体基板の温度制御のための方法及びその装置

Country Status (4)

Country Link
US (1) US6466426B1 (ja)
EP (1) EP1075015A3 (ja)
JP (1) JP4768906B2 (ja)
KR (1) KR100748372B1 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
JP3639546B2 (ja) * 2001-07-25 2005-04-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7127367B2 (en) * 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20080090309A1 (en) * 2003-10-27 2008-04-17 Ranish Joseph M Controlled annealing method
US20050099776A1 (en) * 2003-11-12 2005-05-12 Xue Liang A. Passive thermal switch
CN100376945C (zh) * 2004-06-11 2008-03-26 鸿富锦精密工业(深圳)有限公司 基板贴合装置和基板贴合制程
KR101022616B1 (ko) * 2004-07-09 2011-03-16 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
KR20090024866A (ko) * 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
TWI508129B (zh) 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
KR101006848B1 (ko) * 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
KR100922778B1 (ko) * 2008-10-20 2009-10-23 주식회사 유진테크 기판처리장치 및 기판처리방법
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
KR101970184B1 (ko) * 2011-03-01 2019-04-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 피가열 기판 지지체
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP6444641B2 (ja) * 2014-07-24 2018-12-26 株式会社ニューフレアテクノロジー 成膜装置、サセプタ、及び成膜方法
US11195704B2 (en) * 2017-03-31 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Pedestal assembly for plasma processing apparatus
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JP2713956B2 (ja) * 1988-03-04 1998-02-16 株式会社日立製作所 低温ドライエッチング装置
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
JPH05166757A (ja) * 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
JPH06244143A (ja) * 1993-02-15 1994-09-02 Tokyo Electron Ltd 処理装置
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JPH0722501A (ja) * 1993-06-29 1995-01-24 Tokyo Electron Ltd 処理装置
TW273067B (ja) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JPH08264465A (ja) * 1995-03-23 1996-10-11 Tokyo Electron Ltd 処理装置
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JPH11343571A (ja) * 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate

Also Published As

Publication number Publication date
EP1075015A2 (en) 2001-02-07
JP2001118835A (ja) 2001-04-27
US6466426B1 (en) 2002-10-15
EP1075015A3 (en) 2005-12-14
KR20010030052A (ko) 2001-04-16
KR100748372B1 (ko) 2007-08-10

Similar Documents

Publication Publication Date Title
JP4768906B2 (ja) 半導体基板の温度制御のための方法及びその装置
US6949722B2 (en) Method and apparatus for active temperature control of susceptors
JP4256482B2 (ja) 高温の静電チャックから下側の低温体に伝熱するための装置及び方法
US8216486B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP3129419U (ja) 基板の温度を制御する装置
US8921740B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7846254B2 (en) Heat transfer assembly
JP4176848B2 (ja) 基板支持体及び処理装置
TWI415213B (zh) 高溫靜電夾盤及其使用方法
US6558508B1 (en) Processing apparatus having dielectric plates linked together by electrostatic force
JP2008522446A (ja) 空間温度分布の制御方法及び装置
JP2009200529A (ja) ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
KR20010051530A (ko) 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
KR20040096496A (ko) 가열된 진공 지지 장치
US6508062B2 (en) Thermal exchanger for a wafer chuck
JPH09129615A (ja) 処理装置および処理方法
KR102627348B1 (ko) 기판 재치대 및 이를 구비하는 플라즈마 처리 장치 및 플라즈마 처리 방법
JPH07183277A (ja) 処理装置
JP3165515B2 (ja) 処理装置
JPH07183281A (ja) 処理装置
CN110352482B (zh) 基板载置台及其电浆处理装置以及电浆处理方法
KR20240023670A (ko) 고전력 rf 애플리케이션들을 위한 고온 서셉터
JP3612225B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070801

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100819

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110322

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110325

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110422

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110519

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110617

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140624

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees