JP4684829B2 - テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション - Google Patents

テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション Download PDF

Info

Publication number
JP4684829B2
JP4684829B2 JP2005284623A JP2005284623A JP4684829B2 JP 4684829 B2 JP4684829 B2 JP 4684829B2 JP 2005284623 A JP2005284623 A JP 2005284623A JP 2005284623 A JP2005284623 A JP 2005284623A JP 4684829 B2 JP4684829 B2 JP 4684829B2
Authority
JP
Japan
Prior art keywords
test pattern
bits
register
decompressor
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005284623A
Other languages
English (en)
Other versions
JP2006078493A5 (ja
JP2006078493A (ja
Inventor
ラジスキー,ジャヌーツ
タイツァー,ジャーズィ
カッサブ,マーク
ムケルジー,ニランジャン
Original Assignee
メンター・グラフィクス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/620,021 external-priority patent/US7493540B1/en
Application filed by メンター・グラフィクス・コーポレーション filed Critical メンター・グラフィクス・コーポレーション
Publication of JP2006078493A publication Critical patent/JP2006078493A/ja
Publication of JP2006078493A5 publication Critical patent/JP2006078493A5/ja
Application granted granted Critical
Publication of JP4684829B2 publication Critical patent/JP4684829B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318335Test pattern compression or decompression
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/31813Test pattern generators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors

Description

本発明は、一般に、集積回路テストに関し、特に、パターンまたはベクターの形態でのテスト・データの生成および適用、テスト中回路内の走査チェーンに関する。
集積回路がより大きいレベルの回路密度で生産されるとともに、テストコストおよびチップ領域諸経費を最小限にする一方で、非常に高い障害検出率を保証する効果的なテスト手法が不可欠となっている。しかしながら、回路の複雑さが増加し続けるとともに、いくつかのタイプの障害モデルの高い障害検出率を従来のテスト例で達成することがより困難になっている。この困難はいくつかの理由によって生じる。第一に、大規模集積回は、チップ・ピンでテスト・データ転送ボトルネックを作成する、非常に高いが更に高くなっているlogic−to−pin比率を有する。第二に、大規模回路には、その後、外部テスト装置に格納されるに違いない法外に大量のテスト・データが要求される。第三に、大規模な回路にテスト・データを適用するには、ますます長いテスト適用時間を必要とする。そして、第四に、現在の外部テスト装置は、演算の速度でそのような大規模回路をテストすることができない。
集積回路は、現在、多くの試験性構造化設計の(DFT)技術を使用して、テストされる。これらの技術は、すべてあるいはいくつかの状態変数(フリップフロップとラッチのような記憶素子)を直接制御可能で観察可能にする一般的な概念に基づいている。これが調整される場合、組み合わせ障害のテストが関係する限り、回路は組み合せネットワークとして扱うことができる。最もしばしば用いられているDFT方法論は、走査チェーンに基づいている。米国特許4,503,537号において示されるように、テストの間、一つ以上のシフトレジスタへ記憶素子がすべて(又はほとんど全部)接続されると仮定する。テスト用に設計された回路は2つの演算モードを持っており、標準形およびテスト、又は走査モードである。標準形において、記憶素子はそれら正則関数を実行する。走査モードにおいて、記憶素子は、走査チェーンと呼ばれる多くのシフトレジスタを形成するために接続される走査セルになる。これら走査チェーンは、1セットのテストパターンを回路へシフトし、回路からシフトアウト、又はテストパターンをテスト、応答するために使用される。
走査設計方法論は、その単純自動テストパターン生成(ATPG)およびシリコン・デバッギング能力によって、広範囲に採用されている。今日、ATPGソフトウェア・ツールは、非常に効率的であり、縮退障害、遷移障害、経路遅延障害、及び、ブリッジング障害を含む障害モデルのいくつかのタイプに対してほとんど完全な障害検出率を保証するテストセット(テストパターンのコレクション)を生成することが可能である。典型的に、回路の特定の潜在的欠陥がATPGツールによって目標とされる場合、例えば、2から5%の少数の走査セルだけが、特定障害(決定論的に特定されたセル)を検知すると明示されなければならない。走査チェーン中の残りの走査セルはランダムな2進法の値(任意に特定されたセル)で満たされる。より補足の障害を検知しがちな完全にパターンが特定されるこの方法は、テスター上に格納することができる。
ランダムで十分な要求によって、しかしながら、テストパターンは非常に過度に特定される。これらの大規模なテストパターンは、格納するための広範囲なテスター・メモリと、テスターからテスト中回路に適用するための相当な時間とを必要とする。図1は、走査チェーンによってディジタル回路をテストするための従来システム18を示す図である。外部の自動試験装置又はテスター(ATE)20は、回路内の走査チェーン26によって走査モードにおけるCUT24に十分に特定されたテストパターン22のセットを一つずつ適用する。その後、回路は入力としてテストパターンを使用して標準形において実行され、テストパターンに対するテスト応答は走査チェーンに格納される。走査モードで再び回路で、応答は、その後、障害無し基準応答28と応答とを比較するテスター20へと、さらに一つずつ経路が定められる。大規模な回路については、このアプローチが、大規模なテストセット・サイズおよび長いテスト適用時間のために実行不可能になる。大規模な設計において、テスト・データのボリュームが単一のロジック・ゲート当たり1キロビットを超えることが報告されている。このアプローチの重要な制限は、複雑な回路をテストするために、高価で大容量メモリを必要とするテスターおよび長いテスト時間を必要とするということである。
米国特許4,503,537号において示されるように、時間と記憶装置のこれらの制限は、組み込みの自己テスト(BIST)構成の採用によりある程度まで克服することができる。BISTにおいて、付加的なオンチップ回路は、テストパターンを生成し、テスト応答を評価し、テストを制御するために含まれている。従来のロジックBISTの中で、擬似乱数的なパターンがテストパターンとして使用される場合、もしテストポイントがランダムなパターンの耐性の障害に取り組むために使用されれば、縮退障害の95−96%の範囲は達成することができる。平均においては、1〜2つのテストポイントがゲート1000個ごとに必要になるかもしれない。BISTの中で、観察可能な出力及び符号レジスタに広げる応答全てを知らなければならない。未知の値は符号を悪くし、したがって追加のテスト・ロジックによって制限されなければならない。たとえ偽似乱数のテストパターンが縮退障害のかなりの割合をカバーするように見えても、決定論的なパターンは、残りのランダムパターン耐性障害を目的とする、これらのパターンを補わなければならない。非常にしばしば、BISTに追加のパターンを格納するのに必要なテスター・メモリは、上述された決定論的なアプローチにおいて要求されたメモリの50%を超過する。BISTの別の制限は、遷移または経路の遅延障害のような他のタイプの障害が、擬似ランダムパターンによって、効率的に扱われないということである。回路及びBISTに固有の制限の複雑さのため、不可能でないにしても、テスト困難な障害を十分にカバーする特定されたテストパターンのセットを提供することは非常に困難である。
重み付けされた偽似乱数のテストは、ランダムパターン耐性障害の問題に取り組むために使用される別の方法である。原理において、このアプローチは、入力ビットの可能性にバイアスをかけることにより偽似乱数のテストパターン・ゼネレータを拡張し、テスト困難な障害に必要となるテストがより発生するようにする。一般的に、しかしながら、回路は、非常に多数の重みのセットを要求するかもしれないし、また、各重みセットについては、多くのランダムなパターンを適用しなければならない。さらに、重みが加えられた偽似乱数のテストは、まだほんの少しの障害リストを範囲外としておく。したがって、テスト・データのボリュームは十分に特定された決定論的なテストパターンに比較で通常縮小されるが、結果として生じるテスト適用時間は増加する。さらに、重みが加えられた偽似乱数のテストは、まだ障害リストのほんの少しに覆いを取らせられておいておく。重みが加えられたランダム・パターン・テスト・システムおよび関連する方法の詳細は、米国特許4,687,988号、4,801,870号、5,394,405号、5,414,716号、及び、5,612,963号を含む多くの引用例で見つけることができる。重みが加えられたランダムパターンは、テスター上のテスト・データを圧縮する解決として、第1に使用されていた。生成ハードウェアは複雑すぎるので、チップにそれを置くことができないように見える。従って、多量のテスト・データは生成されたオフチップであり、テスト中回路に比較的遅いテスター・チャネルを通り抜けなければならない。効果的に、テスト適用時間は、従来の決定論的なアプローチを使用するATPGパターンによって消費されたそれよりはるかに長くなりえる。
テスト中回路に送信する前にテスト・データを圧縮するいくつかの方法が提案されている。それらは、テスト立方体(つまり、テスト中回路の走査チェーン内にそれら格納されるようなテストパターン・ビットの配置)は、多数の未特定の(どちらでもかまわない)位置を頻繁に特色とする観察に基づく。線形のフィードバック・シフトレジスタ(LFSR)の自生として知られている1つの方法は、ヨーロッパのテスト会の議議事録pp.237−242(1991)のB.Koenemannの「走査設計用LFSRコード化テストパターン」中で最初に提案された。固定多項式を備えたn−ビットLFSRを考慮すると、その出力シーケンスは、初期のシードによって完全に決定される。したがって、フィードバック方程式の適用は、再帰的にシード変数のみに依存する線形方程式のシステムを提供する。これらの方程式は、LFSR出力シーケンスの連続の位置に関係している。従って、実際のテストパターンに対応するシードは線形方程式の系の解により決定することができる。そこでは、各方程式は、テストパターンにおいて特定された位置のうちの1つを表わす。LFSRに結果として生ずるシードをロードし続いてそれを計測することは、所望のテストパターンを生み出す。しかしながら、このアプローチの損失は、テスト立方体の内容をエンコードするシードがほぼLFSRのサイズに制限されたということである。テスト立方体がLFSRの中の段数より多くの特定された位置を持っている場合、テスト立方体は容易にシードでエンコードすることができない。このアプローチの別の損失はそれが必要とする時間である。テスターは、シードからのテストパターンを生成するLFSRと同時にシードでLFSRを満たすことができない。これらの行為の各々は相互に排他的な時に行われなければならない。すなわち、種子がLFSRに連続的にロードされる場合、走査チェーンは作動し、また、走査チェーンがロードされたとき、テスターはLFSRにシードを転送することができない。
別の圧縮方法は、IEEE Trans. On Computers,vol.C−44,pp.223−233(1995)のS.Hellebrandらの「多数の多項式の線形のフィードバック・シフトレジスタの自生に基づいた走査による回路用組み込みのテスト」にて提案されるような多数の多項式LFSR(MP−LFSR)の自生に基づいている。この方法において、テスト立方体の連鎖状のグループは、シードおよび多項式識別子を特定する多くのビットでエンコードされる。MP−LFSRの内容は各テスト・グループのためにロードされ、グループ内の各テスト立方体のデコンプレッション中に保存されていなければならない。デコンプレッサーの実装は、1グループのテストパターンのデコンプレッション中にMP−LFSRの内容に上書きすることを回避するために余分な記憶素子を加えることを伴っている。同様の技術が、ICCAD議事録pp.88−94(1995)のS.Hellebrandらの「決定論的なBISTスキームのためのパターン生成」でも議論されている。MP−LFSRの自生は、VLSIテスト・シンポジウム議事録pp.426−433(1995)のJ.Raj skiらの「可変長シードLFSRを使用するテスト・データのデコンプレッション」、及び、IEEE Trans. on Computers,vol.C−47,pp.1188−1200(1998)のJ.Rajskiの「境界走査による多数走査設計のためのテスト・データ・デコンプレッション」にて記述されるような可変長シードの概念の採用によりさらに機能拡張された。この技術は、多くの特定された位置を多分に変化することによるテスト立方体に対しても、テストパターン符号化効率の著しい改良の可能性を持っている。境界走査によってデコンプレッサー構成にシードをロードするために、同じドキュメントは、多数の走査チェーンおよび機構を備えた回路のためにデコンプレッション技術を提案する。このスキームは符号化能力を著しく改善するが、まだ上記の2つの欠点を被る。シードをロードし、テストパターンをそこから生成するためのシード長制限および相互に排他的回数である。
上記自生方法は、このように次の制限を被る。第一に、自生可能な符号化は、LFSRの長さによって制限されている。一般的に、LFSRの長さより特定された位置を有するテスト立方体をエンコードすることは非常に困難である。第二に、シードとテストパターン生成をそこからロードすることは2つの別のオーバーラップしない位相において行われる。これはテスター時間の不十分な利用に帰着する。テスト適用時間およびテスト・データ・ボリュームを縮小する異なる試みは、FTCS−29の議事録のpp.260−267(1999)のI.Hamzaogluらの「十分な走査埋め込みコアのためのテスト適用時間縮小」にて記述される。このいわゆる並列直列フル走査スキームは、走査チェーンを多数のパーティションに分割し、一つの走査入力よって各走査チェーンにて同じテストパターンでシフトする。明らかに、与えられたテストパターンは、同じ入力によってロードされた異なるチェーンにて、対応するセル上で矛盾している値を含んではならない。部分的に特定されたテスト立方体はそのような演算を許可するかもしれないが、このスキームの性能は強く走査チェーン構成に依存する。つまり、使用される走査チェーンの数、および走査チェーンへの記憶素子の割当てである。大規模な回路において、そのようなマッピングが恐らくどんな所望の形式も仮定しない。また、したがって、解決は容易に量れるものではない。更に、このスキームを使用するテスターは、多くのテスターに共通でない特徴となる、異なる走査チェーンの長さのテストパターンを扱うことができなければならない。
本発明に係るテスト中回路において走査チェーンにテストパターンを適用する方法は、ビットの圧縮したテストパターンを提供し、上記圧縮したテストパターンが提供される際、ビットの解凍されたテストパターンへ上記圧縮したテストパターンを解凍し、上記テスト中回路の走査チェーンに上記解凍されたテストパターンを適用することを有する。所望ならば、該方法は、上記圧縮したテストパターンが提供される際、上記テスト中回路の走査チェーンに上記解凍されたテストパターンを適用することを更に有しても良い。
上記方法は、また、上記圧縮したテストパターンを提供し、上記圧縮したテストパターンを解凍し、上記解凍されたパターンを適用することが同期して実行されることを有する。これら行為は同じクロックレートで実行されても良い。代わりに、上記圧縮したテストパターンは、より低いクロックレートで提供され、上記圧縮したテストパターンは、より高いクロックレートで同期して解凍され適用されても良い。また他に、上記圧縮したパターンは、より高いクロックレートで提供され解凍され、上記解凍されたパターンは、より低いクロックレートで同期して適用されても良い。
上記圧縮したテストパターンを解凍することは、同時間に提供されている圧縮したテストパターン・ビットの数より多数の解凍されたテストパターン・ビットを生成することを有しても良い。一方法として、上記圧縮したテストパターン・ビットが提供される入力の数より解凍されたテストパターン・ビットに多くの出力を提供することによって、より多くのビットが生成されても良い。他の方法として、上記圧縮したテストパターン・ビットが提供されるクロックレートより高いクロックレートで上記解凍されたテストパターン・ビットを生成することによって、より多くのビットが生成されても良い。
圧縮したテストパターンを解凍することは、圧縮したテストパターンを論理上2ビット以上組み合わせることにより、上記解凍されたパターンの各ビットを生成しても良い。この論理上以上組み合わせることは、XOR演算、XNOR演算、又はそれら2つの演算の組み合せによって上記ビットを組み合せることを有しても良い。
本発明の一実施例において、上記提供することと解凍することとは、テスト中回路内で発生する。本発明の他の実施例において、上記提供することと解凍することとは、テスト中回路の走査チェーンに解凍されたテストパターンを適用するテスター内で発生する。
本発明に係る回路は、回路論理のテストのためにデコンプレッサー、回路論理および走査チェーンを有しても良い。上記圧縮したテストパターンが受信される際、上記デコンプレッサーは、ビットの圧縮したテストパターンを受信し、かつビットの解凍されたテストパターンへテストパターンを解凍するために適用される。上記走査チェーンはデコンプレッサーに連結され、解凍されたテストパターンを受信するために適用される。デコンプレッサーは、圧縮したテストパターンを受信するために適用された線形有限状態マシンを有しても良い。
本発明に係るテスターは、記憶装置、デコンプレッサーおよび1以上のテスター・チャネルを有しても良い。上記記憶装置は、ビットの圧縮したテストパターンの1セットを格納するために適用される。上記デコンプレッサーは、上記記憶装置に連結され、上記記憶装置から提供されるビットの圧縮したテストパターンを受信し、上記圧縮したテストパターンが受信される際、ビットの解凍されたテストパターンへテストパターンを解凍するために適用される。テスター・チャネルは、上記デコンプレッサーに連結され、解凍されたテストパターンを受信し、かつ回路下位テストに解凍されたテストパターンを適用するために適用される。
本発明のこれら及び他の態様及び特徴は、添付の図面を参照して以下に記述される。
ここに示され記述されるようなテストパターンを圧縮する方法は、コンピュータ判読可能な媒体上に格納され、汎用計算機上で行なわれたソフトウェアにおいて実装される。例えば、本発明は、コンピュータ支援設計ツールにおいて実行することができる。明瞭とするため、発明に密接に関係のあるソフトウェアのそれらの態様だけが記述される。技術にて周知の製品詳細は、省略される。同様の理由により、コンピューターハードウェアは一層に詳細に記述されない。任意の特定の計算機言語、プログラムあるいはコンピュータに発明が制限されていないことはしたがって理解されるべきである。
図2は、走査チェーンによってディジタル回路をテストするための発明に係るシステム30のブロック図である。該システムは、外部の自動テスト装置(ATE)のようなテスター21、およびそのすべてあるいは部分としてテスト中回路(CUT)24を含んでいる回路34を含んでいる。テスター21は、記憶装置からビットの圧縮したテストパターン32の1セット、つまり、一度に1つのパターンを、ICのような回路34への入力チャネル40を介して提供する。
記述される圧縮したパターンは、従来の圧縮されていないテストパターンよりはるかに少ないビットを含んでいる。圧縮したパターンは、決定論的に特定されたビットを再生にのみ十分な情報を含んでいる必要がある。従って、圧縮したパターンは典型的に従来のテストパターンのサイズの2%から5%で、従来のパターンより記憶装置に対して非常に少ないテスター・メモリを必要とする。重要なこととして、圧縮したテストパターンは、CUT24へのテスターから送信する非常により少ない時間を必要とする。
上記従来の自生技術とは異なり、割込みのないCUT24内のチェーン26を走査するために、圧縮したテストパターン32は、テスター21から連続的に提供される。圧縮したテストパターンがテスター21によって、回路34内のデコンプレッサー36の入力チャネルに備えられとともに、デコンプレッサーは、圧縮したパターンをビットの解凍されたパターンへと解凍する。解凍されたテストパターンは、走査チェーン26に適用される。圧縮したテストパターンが回路34に提供されている際に、好ましくはこの適用が行われる、が、そのようである必要はない。CUT24内の回路論理が、走査チェーン26中の解凍されたテストパターンで計測された後、そのパターンに対するテスト応答は走査チェーンにおいて取り込まれ、そこに格納された圧縮した障害無し基準応答41との比較のためにテスター21に送信される。
典型的な構成において、デコンプレッサー36は走査チェーン26ごとに出力があり、デコンプレッサーへの入力チャネルより多くの走査チェーンがある。しかしながら、記述されるように、他の構成においても、デコンプレッサー出力が入力チャネルより少ないか等しいようにすることが可能である。デコンプレッサーは、それが同じ時限中に受け取る圧縮したパターン・ビットの数よりその出力で与えられた時限において多くの解凍されたビットを生成する。これはデコンプレッションの行為であり、それによってデコンプレッサー36は与えられた時限においてそれに提供されるより多いビットの数を生成する。
テスターに応答を送るためのテスト応答および時間のデータ・ボリュームを縮小するために、回路34は、走査チェーン26から読み取られるテスト応答を圧縮するための手段を含むことができる。そのような圧縮を提供するための1つの構成は1以上の空間圧縮器38である。そして、圧縮器38によって生み出された圧縮したテスト応答は、圧縮した基準応答40と一つずつ比較される。基準応答が実際の応答と一致しない場合、障害が検知される。図3は、テスト応答の圧縮のために使用することができる別の構成を示す図である。マルチ入力符号レジスタ(MISR)−42は、多数のテストパターン応答を圧縮してその後、テスターに送られる符号に詰め込む。それは基準符号44と比較される。上記の方法におけるテスト応答の圧縮は望ましいが、現在のデコンプレッション方法およびシステムに必要でない。
回路への圧縮したテストパターン、解凍されたテストパターンへのそのデコンプレッション、及び、走査チェーンへの解凍されたテストパターンの適用の提供は、同期して連続的に事実上同時に実行される。しかしながら、各行為が生じる率は変えることができる。所望ならば、行為はすべて同じクロックレートで同期して実行することができる。又は、行為は異なるクロックレートで実行することができる。行為が同じクロックレートで実行される場合、又は圧縮したテストパターンがより高いクロックレートで提供され解凍される場合、図2のように、デコンプレッサー36および関連する走査チェーンの出力の数は、デコンプレッサーの入力チャネルの数を超過するだろう。この第1のケースにおいて、デコンプレッションは、入力チャネルより多くのデコンプレッサー出力の提供により達成される。圧縮したテストパターンがより低いクロックレートで提供され、より高いクロックレートで走査チェーンに解凍され適用される場合、出力および関連する走査チェーンの数は入力チャネルの数より同じ、より少い、又はより大きい。この第2のケースにおいて、デコンプレッションは、圧縮したテストパターン・ビットが提供されるクロックレートより高いクロックレートで、解凍されたテストパターン・ビットを生成することにより達成される。
図4Aは、圧縮したパターンがより高いクロックレートで提供され解凍される第1のケースの実施例を例示し、また、解凍されたパターンは、より低いクロックレートで走査チェーンに同期して適用される。テスター21は、クロック0(CO)によって設定された高い率で、デコンプレッサー36の入力チャネル37へテスター・チャネル40を介して、圧縮したパターンのビットを提供する。デコンプレッサーは、テスターと同じ率でクロック1(C1)によって計測され、出力39でその率で解凍されたパターンのビットを生成する。しかしながら、解凍されたビットは、走査チェーンへビットを計測するクロック2(C2)によって設定されたより低い率で走査チェーン26に適用される、率の差は、図4A中の典型的なタイミング図において例示される(実際の差ははるかに大きくなりえる)。それらの差によって、デコンプレッサーの他出力毎に走査チェーンに書き込まれる。示されるような、テスター、デコンプレッサーおよび走査チェーンを計測するという1つの利点は、テスターがCUT24にテストパターンを提供するために走査チェーンの数より少ないチャネルを必要とすることである。より高いクロックレートC0でテスターを計測することによって、回路34に圧縮したテストパターンを適用するのに必要な時間は、著しく縮小される。別の利点は、テスト・モード中に消えたパワーを制御する低消費電力適用にある。これは、ビットが走査チェーンへシフトされるクロックレートC2を減少させることにより行うことができる。
図4Bは、圧縮したテストパターンは、より低いクロックレートで提供され、より高いクロックレートで同期して解凍され、適用される第2のケースの実施例を例示する。ここで、テスター21は、クロック0(CO)によって設定されたより低い率でチャネル40を介して、デコンプレッサー36の入力チャネル37に圧縮したパターンのビットを提供する。デコンプレッサーはより高い率でクロック1(C1)によって計測される。解凍されたビットは、クロック1と同じ率でクロック2(C2)によってその出力39を介して走査チェーン26に適用される。率のこの差は、図4B中の典型的なタイミング図において例示される(実際の差ははるかに大きくなりえる)。差のために、ビットが変わる前に、デコンプレッサー36はテスター21から同じビットを2度読み取る。しかしながら、記述されるように、デコンプレッサーはステート・マシンを含んでいる。また、その内部状態が変わるので、その出力は各クロック・サイクルを変更する。図4Bの中で示されるようなテスター、デコンプレッサーおよび走査チェーンを計測するという1つの利点は、多くのチャネルを持っているがそれらの後の僅かなメモリでテスター21を利用することができるということである。クロック・サイクル毎により多くのテスター・チャネル上にビットを提供することによって、メモリ力の不足は克服され、また、圧縮したテストパターンの適用のために要求された時間が縮小される。
図5は、本発明に係るデコンプレッサーのブロック図である。好ましい実施例において、所望ならば、デコンプレッサー36は、そのタップ48を介して、移相器50接続された線形有限状態マシン(LFSM)46を有する。移相器を介してLFSMは、CUT24における多数の走査チェーンの入力に多分に直線的に独立したテストパターンを提供する。LFSMは、変換を維持している多くのm−シーケンスの適用により得ることができる線形のフィードバック・シフトレジスタ、セル・オートマトンあるいは変形されたLFSRの標準形に構築することができる。LFSMの出力は、所定の時間に多数の走査チェーン26の各々内に存在する解凍されたパターン・ビットは、パターンにおいてオーバーラップしない(位相外である)ことを保証する移相器に適用される。
ここに記述された連続フローデコンプレッションの概念は、テストパターン生成中に任意に満たされた残りのビットによって、決定論的なテストパターンは、典型的には決定論的に特定されているビットの2〜5%の間にのみあるという上述した事実に基づいている(部分的に特定されたビット位置を備えたテストパターンはテスト立方体と呼ばれる。(その例はテーブル2に現われる))。外部的に格納されなければならないテスト・データ・ボリュームが著しく縮小されるように、部分的に特定されたテスト立方体が圧縮される。テスト立方体中の特定されたビットの数がより少ないほど、圧縮したパターンへ情報をエンコードする能力はよりよい。圧縮したパターンへテスト立方体をエンコードする能力は、仮想走査チェーンとしてテスターによって調べられるテスト中回路を駆動する少数のデコンプレッサー入力チャネルを持つことにより開発される。しかしながら、実際のCUT24は、多数の実在の走査チェーンへその記憶素子を接続する。これらの状況の下では、走査チャネルおよびテスト・データの格納に対する十分に小さなメモリをほとんど持たない、安価なテスターさえ、回路を外部的に駆動することができる。
図6は、図5のデコンプレッサーの第一実施例をより詳細に示す図である。LFSMは原始多項式h(x)=x8+x4+x3+x2+1を実装する8ステージ・タイプ1LFSR52で具体化される。多くのXORゲートで具体化された移相器50は夫々8ビット長の8個の走査チェーン26を駆動する。移相器の構成は、その出力チャネルCOからC7の間で相互の離隔距離が少なくとも8ビットであるような方法で選択され、また、出力チャネルはすべて次の形式がある3個の入力(タップ)XOR機能によって駆動される。
Figure 0004684829

CiはI番目の出力チャネルであり、Skは、LFSRのk番目のステージを示す。LFSRは、クロック・サイクル毎に、その2個の入力チャネル37a、37bおよび入力インジェクター48a、48b(XORゲート)を介して、レジスタの第2および第6のステージへ与えられると仮定する。チャネル37a上で受信した入力変数「a」(圧縮したテストパターン・ビット)は、偶数の添字(a0、a2、a4、..)によってラベル付けされ、また、チャネル37a上で受信した変数「a」は、奇数の偶数の添字(a1、a2、a5、..)によってラベル付けされる。ブールとしてこれらの外部変数を扱うと、走査セルはすべて概念的に、テスター21によってLFSR52に注入された入力変数の線形関数である記号表現で満たすことができる。フィードバック多項式(移相器50)を与えられると、LFSRだけがテスト・データによって供給される4つのクロック・サイクルの追加の初期期間と同様にインジェクター48a、bの位置にて、図6中の走査チェーン26内の各走査セルの内容は論理上決定することができる。図7は、図6中で識別される走査チェーンC7、Cl(C6)に対応する図6の中で0〜7と番号付けられた走査チェーンで、図6中の64個の走査セルのための式を与えている。図7の中の各走査チェーンの式は、情報がチェーンへシフトされる順にリストされる。つまり、一番上の式は、データが1番目にシフトしたことを示す。
図6中のデコンプレッサー36が、テーブル2の次の部分的に特定されたテスト立方体に基づいたテストパターンを生成すると仮定する(ここに、8つの走査チェーンの内容は、走査チェーンへ最初にシフトされる情報を表わす左端のカラムによって水平に示される)。
xxxxxxxx 走査チェーン0
xxxxxxxx 走査チェーン1
xxxx11xx 走査チェーン2
xx0xxx1x 走査チェーン3
xxxx0xxl 走査チェーン4
xx0x0xxx 走査チェーン5
xx1x1xxx 走査チェーン6
xxxxxxxx 走査チェーン7

テーブル2

変数xは、「どちらでもかまわない」条件を示す。対応する圧縮したテストパターンは、ガウス‐ジョルダン消去法技術のような多くの周知の技術のいずれかを使用する図7から次の10個の方程式の系の解により決定することができる。選択された方程式は、決定論的に特定されたビットに相当する。
Figure 0004684829

残りの変数が0の値を仮定している一方、シード変数a0、a1、a2、a3とal3は1の値と等しいことを立証することができる。このシードは、続いて次の形式で十分に特定されたテストパターンを生成する(初期の特定された位置に下線が引かれる)。
Figure 0004684829

見て分かるように、(圧縮したパターン・ビットの数で割られた走査セルの数として定義された)達成された圧縮比は、
Figure 0004684829
である。
図8A−Dは、図5のLFSM46のための様々な実施例を例示する。図8Aは、タイプI LFSR60である。図8Bは、タイプII LFSR62である。図8Cは、変形されたLFSR64である。また、図8Dは、セル・オートマトン66である。それらはすべて原始多項式を実装する。セル・オートマトン66を除いて、各場合において、LFSMは、シフトレジスタ構成において接続している多くの記憶素子を含んでいる。さらに、LFSMの次のステートを一意に決定する様々なメモリセル間にいくつかのフィードバック結合がある。フィードバック結合は、目的記憶素子の近くのXORゲートの形態にてインジェクターの導入によって設計に同化する。入力チャネル37は、入力インジェクター48a、bによってLFSMに圧縮したパターンのビットを提供する。インジェクターは、ビットのそれらのソースが入力チャネルである以外のLFSMの内では、同様に他のフィードバック結合として扱われる。入力チャネル37は、符号化効率を改善するために異なるLFSMインジェクター48を駆動する多数のファンアウを持っても良い。
図9は、再度時間を測るLFSR68の形態にて32ビットのLFSMの最良の実施例を示す。一旦入力変数がLFSMに注入されると、入力変数が最適に配信されるように、インジェクターは等しく間隔を置かれる。実際、LFSMのサイズは、回路において、符号化の所望の圧縮比、そしてテスト中回路のある構造の特性上において、実在の走査チェーンの数に依存する、
図10は、XORゲートではなく多くのXNORゲートで構築された移相器50の他の実施例を例示する。移相器はXNORとXORのゲートから組合せで同様に構成することができる。
図11は、デコンプレッサーに圧縮したテストパターンを適用するためのパラレルに連続するコンバージョンの使用を例示する。デコンプレッサー36への入力チャネル37が、テスター21のチャネル40の数より数においてより少ない場合、入力でデコンプレッサーにレジスタ70のような並列に連続するコンバーターを提供することは有利かもしれない。ビットの次のセットがテスター21からのレジスタに適用される前にレジスタの内容がシフトされるそのように、レジスタ70は計測される。テストパターンの連続フローはこのように維持されている。
図12は、回路34に提供するのではなく、デコンプレッサー36を含んでいる実施例中のテスター21のブロック図である。テスターはテストパターンを内部に解凍し、CUT24に解凍されたテストパターンを送信する。そのようなテスターは、テスト時間を重要とするのではなく、それが各テスト中回路にデコンプレッサーを加えなということが好ましいという点で利点を有する。圧縮したテストパターン(完全なテストパターンではなく)を単に格納するので、記憶装置の条件はさらに縮小される。さらに、上記のテスター実施例の変形において、圧縮器38も、回路34ではなくテスター21に含むことができる。そして、回路は、テスターに対する圧縮されていないテスト応答を返す。これはさらに回路デザインを単純化する。
テストパターンを解凍する処理は、図5を参照してより詳細に記述される。LFSM46は初期のオールゼロステートからその演算を始める。n−ビットLFSMおよびm入力インジェクターを想定すると、実際のテストパターンに対応するビットを生成し始める前に、
(外1)
Figure 0004684829
クロック・サイクルは、LFSMを初期化するために使用しても良い。LFSMの初期化及びクロックCO及びClが同じ率で実行していると仮定した後、新しいビットは、移相器50によるすべてのクロック・サイクル毎に、並列に各走査チェーン26にロードされる。この時に、テスト中回路34は走査モードで操作され、解凍されたテストパターンがOsと1s内で走査チェーンに26を満たす(そしてそこに格納されたどんな前のテスト応答もシフトする)。残りの位置がLFSMによって生成されたランダムなビットで満たされている一方、走査チェーン中の少数のビット位置はしたがって、決定論的に特定された値を得る。テストパターンがシフトされるクロック・サイクル数は、最長の走査チェーン中のセルの数と少なくとも同じくらいの数で、回路内の最長の走査チェーンの長さによって決定される。最長の走査チェーンが全テストパターンを得るまで、走査変更信号はしたがってすべての走査チェーンに対して高位に保持される。シフトされる最初の数ビットが情報消失なしで上書きされるように、回路中のより短い走査チェーンは左に寄せられる。
LFSMからのパターンは直線的に依存するかもしれない。言いかえれば、著しく関連している多数の走査チェーンの二次元構成内の様々なビット位置を決定することは可能である。相互に依存性のある形式を有する位置によって、駆動されたゲートへの障害励起に必要な刺激を提供することが多くの場合可能でないように、これは試験性問題を起こす。従って、走査チェーン内の様々なビット位置間の線形の依存性を縮小するために、(多くのXORゲートかXNORゲートのような)移相器50は、LFSMのタップ(出力)で使用されるかもしれない。XORロジックはXORゲートのサイズに依存する2レベルかマルチレベルでありえる。CUT24中のすべての走査チェーンは、LFSMからのタップ−48の部分集合をXORすることによって得られる信号によって駆動される。テスト立方体の符号化効率がさらに維持されているように、これらのタップが決定される。さらに、LFSMの中のメモリセルがすべてファンアウト信号のほぼ等しい数を持ち、伝搬遅延が適切に最適化されるように、タップは方法で選択されている。一旦、解凍されたテストパターンは、テスト・モード中に走査チェーンに完全にロードされると、CUT24は演算の標準モードに切り替えられる。その後、CUTは、走査チェーン中のテストパターンによって提供される励振下その通常動作を実行する。CUTのテスト応答は走査チェーンにおいて取り込まれる。取り込む間、新しい初期化サイクルが次のテストパターンのロードのために始める前に、LFSMはオールゼロステートにリセットされる。
典型的な実施例において本発明の原理について例証し記述することによって、そのような原理から外れずに構成と詳細において、例示される実施例を変更できることは当業者にとって明白である。例示される実施例がこれらの原理を教授するように意図され、本発明の範囲に対する制限であるようには意図されないことが理解されるべきである。我々は、したがって、次の請求項およびそれらの均等物の範囲および精神内で生ずる全てを本発明として要求する。
走査チェーンによってディジタル回路をテストするための従来のシステムのブロック図である。 走査チェーンによってディジタル回路をテストするための本発明に係るテスト・システムのブロック図である。 走査チェーンによってディジタル回路をテストするための本発明に係るシステムの第二実施例のブロック図である。 システムの構成要素間で可能となる異なる可能なタイミング関係を例示するタイミング図を含む、本発明に係るテスト・システムのブロックブロック図である。 システムの構成要素間で可能となる異なる可能なタイミング関係を例示するタイミング図を含む、本発明に係るテスト・システムのブロックブロック図である。 線形有限状態機械(LFSM)及び移相器を含む、本発明に係るデコンプレッサーのブロック図である。 走査チェーンに連結された図5のデコンプレッサーの最初の実施例をより詳細に示す。 図5の走査チェーンに各走査セルに格納されたビット用の論理式を示す。 図5のLFSMの他の実施例を例示する。 図5のLFSMの他の実施例を例示する。 図5のLFSMの他の実施例を例示する。 図5のLFSMの他の実施例を例示する。 32ビットのLFSMの最良の実施例を例示する。 図5の移相器の他の実施例を例示する。 デコンプレッサーに圧縮したテストパターンを適用するための並列から直列への変換の使用を例示する。 走査チェーンによってディジタル回路をテストするための本発明に係るテスターのブロック図である。

Claims (17)

  1. システムであって、
    レジスタと線形のフィードバック・シフトレジスタ(LFSR)を有するデコンプレッサーとを有する集積回路、
    該集積回路の外部に位置する自動テスト装置、を有し、
    前記レジスタは、圧縮テストパターンデータを前記自動テスト装置の出力からロードし、該圧縮テストパターンデータを前記LFSRの入力ロジックゲートへ出力し、
    前記LFSRの入力ロジックゲートは、前記圧縮テストパターンデータを受信し、該圧縮テストパターンデータを前記LFSR内に格納されたデータと論理的に結合する、システム。
  2. 前記レジスタは、前記圧縮テストパターンデータの複数のビットが前記LFSRの入力ロジックゲートへ出力される前に、前記自動テスト装置の複数の出力から該圧縮テストパターンデータの複数のビットを並列に受信する、請求項1記載のシステム。
  3. 前記デコンプレッサーは、前記LFSRの出力と結合された入力を有する位相シフターを更に有し、該位相シフターは、該位相シフターから出力された前記テストパターンのビットの位相を互いにずらす、請求項1記載のシステム。
  4. 前記集積回路は、走査チェーンを更に有し、
    前記デコンプレッサーは、前記LFSRと前記走査チェーンとの間に結合された位相シフターを更に有し、
    該位相シフターは、該位相シフターから出力された前記テストパターンのビットの位相を互いにずらす、請求項1のシステム。
  5. 前記レジスタは、前記自動テスト装置の複数の出力から前記圧縮テストパターンデータを並列に受信し、該圧縮テストパターンを前記LFSR内へシフトする、請求項1記載のシステム。
  6. 集積回路であって、
    レジスタ、
    位相シフターと、前記レジスタの出力と該位相シフターの入力との間に結合された線形のフィードバック・シフトレジスタ(LFSR)とを有するデコンプレッサー、を有し、
    前記レジスタは、圧縮テストパターンのビットをロードし、該圧縮テストパターンのビットを前記デコンプレッサーの前記LFSRへ入力し、
    前記デコンプレッサーは、前記圧縮テストパターンデータのビットを解凍し、解凍されたテストパターンのビットにする、集積回路。
  7. 前記レジスタは、当該集積回路の外部に位置する自動テスト装置(ATE)と結合される、請求項6記載の集積回路。
  8. 前記位相シフターと結合された走査チェーンを更に有する、請求項6記載の集積回路。
  9. 前記位相シフターはXORゲートのみで形成される、請求項6記載の集積回路。
  10. 前記レジスタは、当該集積回路の外部に位置する自動テスト装置(ATE)と結合され、
    前記レジスタは、該ATEから前記圧縮テストパターンをロードし、該圧縮テストパターンを前記LFSRへ出力する、請求項6記載の集積回路。
  11. 前記レジスタはシフトレジスタである、請求項6記載の集積回路。
  12. 方法であって、
    集積回路内にあるレジスタに圧縮テストパターンのビットをロードする段階、
    前記レジスタから線形のフィードバック・シフトレジスタ(LFSR)を有するデコンプレッサーへ前記圧縮テストパターンのビットを転送する段階及び
    前記圧縮テストパターンのビットを前記デコンプレッサーで解凍する段階を有し、
    該解凍する段階は、前記圧縮テストパターンのビットを前記デコンプレッサー内に格納されたビットと論理的に結合する段階を有する、方法。
  13. 前記デコンプレッサーは、位相シフターを更に有する、請求項12記載の方法。
  14. 前記解凍する段階は、前記圧縮テストパターンのビットが前記レジスタから前記デコンプレッサーへ転送されるときに前記デコンプレッサー内で行われる、請求項12記載の方法。
  15. 前記ロードする段階は、外部の自動テスト装置(ATE)から前記レジスタへ前記圧縮テストパターンのビットをロードする段階を有する、請求項12記載の方法。
  16. 走査チェーンに前記圧縮テストパターンのビットをロードする段階を更に有する、請求項12記載の方法。
  17. 前記ロードする段階は、前記圧縮テストパターンのビットを前記レジスタに並列にロードする段階を有し、
    前記転送する段階は、前記圧縮テストパターンのビットを前記レジスタから前記デコンプレッサーにシリアルにシフトする段階を有する、請求項12記載の方法。
JP2005284623A 1999-11-23 2005-09-29 テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション Expired - Fee Related JP4684829B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16713199P 1999-11-23 1999-11-23
US09/620,021 US7493540B1 (en) 1999-11-23 2000-07-20 Continuous application and decompression of test patterns to a circuit-under-test

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001540825A Division JP3845016B2 (ja) 1999-11-23 2000-11-15 テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション

Publications (3)

Publication Number Publication Date
JP2006078493A JP2006078493A (ja) 2006-03-23
JP2006078493A5 JP2006078493A5 (ja) 2006-06-15
JP4684829B2 true JP4684829B2 (ja) 2011-05-18

Family

ID=26862887

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001540825A Expired - Fee Related JP3845016B2 (ja) 1999-11-23 2000-11-15 テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
JP2005284623A Expired - Fee Related JP4684829B2 (ja) 1999-11-23 2005-09-29 テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2001540825A Expired - Fee Related JP3845016B2 (ja) 1999-11-23 2000-11-15 テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション

Country Status (4)

Country Link
US (2) US7478296B2 (ja)
EP (1) EP1242885B1 (ja)
JP (2) JP3845016B2 (ja)
WO (1) WO2001039254A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10168386B2 (en) 2017-01-13 2019-01-01 International Business Machines Corporation Scan chain latency reduction

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9134370B2 (en) 1999-11-23 2015-09-15 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US8533547B2 (en) 1999-11-23 2013-09-10 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US6874109B1 (en) 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US9664739B2 (en) 1999-11-23 2017-05-30 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US6327687B1 (en) * 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6353842B1 (en) 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
JP3845016B2 (ja) 1999-11-23 2006-11-15 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
US6789220B1 (en) * 2001-05-03 2004-09-07 Xilinx, Inc. Method and apparatus for vector processing
US6950974B1 (en) * 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
US7412637B2 (en) * 2003-01-10 2008-08-12 Syntest Technologies, Inc. Method and apparatus for broadcasting test patterns in a scan based integrated circuit
US7552373B2 (en) * 2002-01-16 2009-06-23 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US7721173B2 (en) * 2003-01-10 2010-05-18 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7437640B2 (en) 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
US7370254B2 (en) 2003-02-13 2008-05-06 Janusz Rajski Compressing test responses using a compactor
US7512851B2 (en) * 2003-08-01 2009-03-31 Syntest Technologies, Inc. Method and apparatus for shifting at-speed scan patterns in a scan-based integrated circuit
US7523370B1 (en) 2003-09-15 2009-04-21 Cadence Design Systems, Inc. Channel masking during integrated circuit testing
US7278123B2 (en) * 2003-10-17 2007-10-02 Nec Laboratories America, Inc. System-level test architecture for delivery of compressed tests
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
US7231570B2 (en) * 2004-05-26 2007-06-12 Syntest Technologies, Inc. Method and apparatus for multi-level scan compression
JP2009515161A (ja) * 2005-11-04 2009-04-09 エヌエックスピー ビー ヴィ 集積回路のテスト方法及びテスト装置
CN101405609B (zh) 2006-02-17 2012-11-14 明导公司 多级测试响应压缩器
WO2008001818A1 (fr) * 2006-06-30 2008-01-03 Japan Science And Technology Agency dispositif de conversion, procédé de conversion, programme et support d'enregistrement
US7797603B2 (en) 2006-07-21 2010-09-14 Janusz Rajski Low power decompression of test cubes
US7647540B2 (en) 2006-07-21 2010-01-12 Janusz Rajski Decompressors for low power decompression of test patterns
US7730373B2 (en) * 2006-09-12 2010-06-01 Nec Laboratories America, Inc. Test data compression method for system-on-chip using linear-feedback shift register reseeding
US7925465B2 (en) 2007-02-12 2011-04-12 Mentor Graphics Corporation Low power scan testing techniques and apparatus
JP4427068B2 (ja) 2007-03-07 2010-03-03 富士通株式会社 擬似乱数発生器、半導体集積回路、該半導体集積回路の設計データを記録した記録媒体、擬似乱数発生器制御方法
JP4802139B2 (ja) * 2007-05-15 2011-10-26 株式会社東芝 半導体集積回路モジュール
US8166359B2 (en) * 2007-12-20 2012-04-24 Mentor Graphics Corporation Selective per-cycle masking of scan chains for system level test
WO2011116145A1 (en) 2010-03-16 2011-09-22 Mentor Graphics Corporation Test scheduling and test access in test compression environment
US10110226B2 (en) * 2011-02-24 2018-10-23 New York University Architecture, system, method, and computer-accessible medium for expedited-compaction for scan power reduction
CN103376405B (zh) * 2012-04-27 2015-09-09 国际商业机器公司 用于扫描链诊断的方法和装置
US9915702B2 (en) * 2013-11-26 2018-03-13 Mentor Graphics Corporation Channel sharing for testing circuits having non-identical cores
US9261560B2 (en) * 2013-12-31 2016-02-16 Texas Instruments Incorporated Handling slower scan outputs at optimal frequency
US9933485B2 (en) 2015-02-24 2018-04-03 Mentor Graphics Corporation Deterministic built-in self-test based on compressed test patterns stored on chip and their derivatives
JP6731733B2 (ja) * 2016-01-20 2020-07-29 株式会社メガチップス スキャンテスト回路、スキャンテスト方法およびスキャンテスト回路の設計方法
TWI640996B (zh) * 2017-12-21 2018-11-11 新唐科技股份有限公司 記憶體電路及其測試方法
US11422188B2 (en) 2018-03-22 2022-08-23 Siemens Industry Software Inc Isometric control data generation for test compression
CN112154338B (zh) 2018-03-22 2023-05-30 西门子工业软件有限公司 用于测试压缩的灵活的等距解压缩器架构
US10996273B2 (en) 2018-03-22 2021-05-04 Siemens Industry Software Inc. Test generation using testability-based guidance
CN112154336B (zh) 2018-03-22 2024-03-29 西门子工业软件有限公司 确定性星体内建自测
US10746790B1 (en) * 2019-03-25 2020-08-18 International Business Machines Corporation Constrained pseudorandom test pattern for in-system logic built-in self-test
CN114667455A (zh) 2019-09-06 2022-06-24 西门子工业软件有限公司 用于测试电路的通用压缩器架构
US11232246B2 (en) 2019-11-14 2022-01-25 Siemens Industry Software Inc. Layout-friendly test pattern decompressor
US11106848B2 (en) 2019-11-14 2021-08-31 Siemens Industry Software Inc. Diagnostic resolution enhancement with reversible scan chains
WO2022087928A1 (zh) * 2020-10-28 2022-05-05 华为技术有限公司 解压缩电路的生成方法和装置
WO2023107096A1 (en) 2021-12-07 2023-06-15 Siemens Industry Software Inc. X-masking for in-system deterministic test
WO2024076370A1 (en) 2022-10-07 2024-04-11 Siemens Industry Software Inc. Multi-phase logic built-in self-test observation scan technology

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US519078A (en) 1894-05-01 Martin middleton wilson
US713605A (en) 1899-11-13 1902-11-18 Gen Electric Transformer.
US3614400A (en) 1969-11-26 1971-10-19 Rca Corp Maximum length pulse sequence generators
US3700869A (en) 1970-12-04 1972-10-24 Nasa Pseudonoise sequence generators with three-tap linear feedback shift registers
US4024460A (en) 1973-11-23 1977-05-17 Hewlett-Packard Company Electronic line stretcher
US4122399A (en) 1977-12-07 1978-10-24 Bell Telephone Laboratories, Incorporated Distortion generator
US4161041A (en) 1978-10-06 1979-07-10 The United States Of America As Represented By The Secretary Of The Air Force Pseudo random number generator apparatus
DE3009945A1 (de) 1979-03-15 1980-09-18 Nippon Electric Co Integrierter, logischer schaltkreis mit funktionspruefung
US4320509A (en) 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
US4513418A (en) 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US4503537A (en) * 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US5974433A (en) 1984-06-29 1999-10-26 Currie; Robert John High speed M-sequence generator and decoder circuit
US4602210A (en) 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US4785410A (en) 1985-06-05 1988-11-15 Clarion Co., Ltd. Maximum length shift register sequences generator
US4687988A (en) 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4801870A (en) 1985-06-24 1989-01-31 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4754215A (en) 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
JP2628154B2 (ja) 1986-12-17 1997-07-09 富士通株式会社 半導体集積回路
US4827476A (en) 1987-04-16 1989-05-02 Tandem Computers Incorporated Scan test apparatus for digital systems having dynamic random access memory
US4860236A (en) 1987-10-26 1989-08-22 University Of Manitoba Cellular automaton for generating random data
US4974184A (en) 1988-05-05 1990-11-27 Honeywell Inc. Maximum length pseudo-random test pattern generator via feedback network modification
EP0350538B1 (en) 1988-07-13 1993-12-01 Koninklijke Philips Electronics N.V. Memory device containing a static RAM memory that is adapted for executing a self-test, and integrated circuit containing such a device as an embedded static RAM memory
US4959832A (en) 1988-12-09 1990-09-25 International Business Machines Parallel pseudorandom pattern generator with varying phase shift
JP2591825B2 (ja) * 1989-05-30 1997-03-19 富士通株式会社 圧縮データを用いた論理回路試験方法及びその装置
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
JPH03214809A (ja) 1990-01-19 1991-09-20 Nec Corp リニアフィードバック・シフトレジスタ
US5138619A (en) 1990-02-15 1992-08-11 National Semiconductor Corporation Built-in self test for integrated circuit memory
US5268949A (en) 1990-03-28 1993-12-07 Ando Electric Co., Ltd. Circuit for generating M-sequence pseudo-random pattern
IL94115A (en) 1990-04-18 1996-06-18 Ibm Israel Dynamic process for creating pseudo-random test templates for pompous hardware design violence
DE69114183T2 (de) 1990-06-07 1996-05-30 Ibm System zur Reduzierung von Prüfdatenspeichern.
US5167034A (en) * 1990-06-18 1992-11-24 International Business Machines Corporation Data integrity for compaction devices
US5173906A (en) 1990-08-31 1992-12-22 Dreibelbis Jeffrey H Built-in self test for integrated circuits
EP0481097B1 (en) 1990-09-15 1995-06-14 International Business Machines Corporation Method and apparatus for testing a VLSI device
US5258986A (en) 1990-09-19 1993-11-02 Vlsi Technology, Inc. Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories
US5293123A (en) 1990-10-19 1994-03-08 Tandem Computers Incorporated Pseudo-Random scan test apparatus
JP2584172B2 (ja) 1991-08-23 1997-02-19 インターナショナル・ビジネス・マシーンズ・コーポレイション デイジタル試験信号発生回路
US5369648A (en) 1991-11-08 1994-11-29 Ncr Corporation Built-in self-test circuit
EP0549949B1 (en) 1991-12-16 1998-03-11 Nippon Telegraph And Telephone Corporation Built-in self test circuit
US5412665A (en) 1992-01-10 1995-05-02 International Business Machines Corporation Parallel operation linear feedback shift register
US5349587A (en) 1992-03-26 1994-09-20 Northern Telecom Limited Multiple clock rate test apparatus for testing digital systems
US5394405A (en) 1992-04-24 1995-02-28 International Business Machines Corporation Universal weight generator
JP3474214B2 (ja) 1992-10-22 2003-12-08 株式会社東芝 論理回路及びこの論理回路を備えたテスト容易化回路
US5608870A (en) 1992-11-06 1997-03-04 The President And Fellows Of Harvard College System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address
US5701309A (en) 1992-12-02 1997-12-23 At&T Global Information Solutions Company Automated test equipment digital tester expansion apparatus
US5586125A (en) 1993-02-26 1996-12-17 Warner; William T. Method for generating test vectors for characterizing and verifying the operation of integrated circuits
DE69326681T2 (de) 1993-04-06 2000-02-10 Hewlett Packard Co Verfahren und Apparat zum Erzeugen von linearen Rückführungsschieberegistersequenzen
US5450414A (en) 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5416783A (en) 1993-08-09 1995-05-16 Motorola, Inc. Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor
US5444716A (en) 1993-08-30 1995-08-22 At&T Corp. Boundary-scan-based system and method for test and diagnosis
US5414716A (en) 1993-09-22 1995-05-09 Mitsubishi Electronic Research Laboratories, Inc. Weighting system for testing of circuits utilizing determination of undetected faults
US5848198A (en) 1993-10-08 1998-12-08 Penn; Alan Irvin Method of and apparatus for analyzing images and deriving binary image representations
US5524114A (en) 1993-10-22 1996-06-04 Lsi Logic Corporation Method and apparatus for testing semiconductor devices at speed
US5617531A (en) 1993-11-02 1997-04-01 Motorola, Inc. Data Processor having a built-in internal self test controller for testing a plurality of memories internal to the data processor
US5631913A (en) 1994-02-09 1997-05-20 Matsushita Electric Industrial Co., Ltd. Test circuit and test method of integrated semiconductor device
US5694401A (en) 1994-06-27 1997-12-02 Tandem Computers Incorporated Fault isolation using pseudo-random scan
US6029263A (en) 1994-06-30 2000-02-22 Tandem Computers Incorporated Interconnect testing using non-compatible scan architectures
US5642362A (en) 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5592493A (en) 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5748497A (en) 1994-10-31 1998-05-05 Texas Instruments Incorporated System and method for improving fault coverage of an electric circuit
US5533128A (en) 1995-01-18 1996-07-02 Vobach; Arnold Pseudo-random transposition cipher system and method
US5974179A (en) 1995-02-13 1999-10-26 Integrated Device Technology, Inc. Binary image data compression and decompression
US5717702A (en) 1995-03-14 1998-02-10 Hughes Electronics Scan testing digital logic with differing frequencies of system clock and test clock
US5761489A (en) 1995-04-17 1998-06-02 Motorola Inc. Method and apparatus for scan testing with extended test vector storage in a multi-purpose memory system
US5553082A (en) 1995-05-01 1996-09-03 International Business Machines Corporation Built-in self-test for logic circuitry at memory array output
US6026429A (en) * 1995-06-07 2000-02-15 America Online, Inc. Seamless integration of internet resources
US5574733A (en) 1995-07-25 1996-11-12 Intel Corporation Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
WO1997005499A1 (fr) 1995-07-26 1997-02-13 Advantest Corporation Procede et appareil de generation rapide de formes
US5831992A (en) 1995-08-17 1998-11-03 Northern Telecom Limited Methods and apparatus for fault diagnosis in self-testable systems
FR2738972B1 (fr) 1995-09-15 1997-11-28 Thomson Multimedia Sa Procede de mise en gage de donnees pour un protocole d'echange de donnees securise
US6055658A (en) 1995-10-02 2000-04-25 International Business Machines Corporation Apparatus and method for testing high speed components using low speed test apparatus
US5680543A (en) 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
US5614838A (en) 1995-11-03 1997-03-25 International Business Machines Corporation Reduced power apparatus and method for testing high speed components
US5867507A (en) 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
JP3512939B2 (ja) 1996-03-12 2004-03-31 株式会社ルネサステクノロジ 疑似乱数発生回路及び双方向シフトレジスタ
DE69613560T2 (de) 1996-04-30 2002-03-14 Agilent Technologies Inc Ein Prüfgerät für elektronische Schaltkreise oder Platinen mit komprimierten Datenfolgen
US5790562A (en) 1996-05-06 1998-08-04 General Motors Corporation Circuit with built-in test and method thereof
US5668817A (en) 1996-07-11 1997-09-16 Northern Telecom Limited Self-testable digital signal processor and method for self-testing of integrating circuits including DSP data paths
JPH1056361A (ja) 1996-08-07 1998-02-24 Matsushita Electric Ind Co Ltd 疑似雑音系列発生器
US5717701A (en) 1996-08-13 1998-02-10 International Business Machines Corporation Apparatus and method for testing interconnections between semiconductor devices
US5812561A (en) 1996-09-03 1998-09-22 Motorola, Inc. Scan based testing of an integrated circuit for compliance with timing specifications
US5790626A (en) 1996-09-10 1998-08-04 Hewlett-Packard Company Bi-directional linear feedback shift register
US5991909A (en) 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
KR100206128B1 (ko) 1996-10-21 1999-07-01 윤종용 선형 궤환 쉬프트레지스터, 다중 입력기호 레지스터 및 이들을 이용한 내장 자기 진단회로
US5694402A (en) 1996-10-22 1997-12-02 Texas Instruments Incorporated System and method for structurally testing integrated circuit devices
US5701308A (en) * 1996-10-29 1997-12-23 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5905986A (en) * 1997-01-07 1999-05-18 Hewlett-Packard Company Highly compressible representation of test pattern data
US5991898A (en) * 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6199182B1 (en) 1997-03-27 2001-03-06 Texas Instruments Incorporated Probeless testing of pad buffers on wafer
US5968194A (en) 1997-03-31 1999-10-19 Intel Corporation Method for application of weighted random patterns to partial scan designs
US6026508A (en) 1997-04-22 2000-02-15 International Business Machines Corporation Storage sub-system compression and dataflow chip offering excellent data integrity
US6061818A (en) 1997-05-08 2000-05-09 The Board Of Trustees Of The Leland Stanford Junior University Altering bit sequences to contain predetermined patterns
DE69700149T2 (de) * 1997-05-22 1999-07-01 Hewlett Packard Co Dekompressionsschaltkreis
US6097889A (en) 1997-06-23 2000-08-01 Motorola, Inc. Signal processing apparatus with stages in a signal path operating as LFSR of alternable type and method for processing signals
JPH1130646A (ja) * 1997-07-10 1999-02-02 Nec Eng Ltd 半導体集積回路及びそれに含まれるテスト回路
US5883906A (en) * 1997-08-15 1999-03-16 Advantest Corp. Pattern data compression and decompression for semiconductor test system
KR19990018125A (ko) 1997-08-26 1999-03-15 윤종용 Ic칩 검사용 테스터데이타 압축방법과 그 압축장치 및 ic칩용 테스터장치와 그 테스터방법
US5983380A (en) * 1997-09-16 1999-11-09 International Business Machines Corporation Weighted random pattern built-in self-test
EP0903587B1 (de) 1997-09-18 2005-11-02 Infineon Technologies AG Verfahren zum Testen einer elektronischen Schaltung
US6272653B1 (en) 1997-11-14 2001-08-07 Intrinsity, Inc. Method and apparatus for built-in self-test of logic circuitry
US6198285B1 (en) 1997-11-28 2001-03-06 Hitachi Medical Corporation In-room MRI display terminal and remote control system
CA2226061C (en) 1997-12-31 2002-05-28 Logicvision, Inc. Method and apparatus for controlling power level during bist
US6014763A (en) 1998-01-15 2000-01-11 International Business Machines Corporation At-speed scan testing
US6148425A (en) 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
US6158032A (en) 1998-03-27 2000-12-05 International Business Machines Corporation Data processing system, circuit arrangement and program product including multi-path scan interface and methods thereof
US6141669A (en) 1998-05-06 2000-10-31 Nortel Networks Corporation Pseudorandom binary sequence block shifter
US6178532B1 (en) 1998-06-11 2001-01-23 Micron Technology, Inc. On-chip circuit and method for testing memory devices
US6256759B1 (en) 1998-06-15 2001-07-03 Agere Systems Inc. Hybrid algorithm for test point selection for scan-based BIST
US6100716A (en) 1998-09-17 2000-08-08 Nortel Networks Corporation Voltage excursion detection apparatus
US6256760B1 (en) 1998-11-13 2001-07-03 Nortel Networks Limited Automatic test equipment scan test enhancement
US6286119B1 (en) 1998-12-22 2001-09-04 Nortel Networks Limited Delay fault testing with IEEE 1149.1
US6240432B1 (en) 1998-12-28 2001-05-29 Vanguard International Semiconductor Corporation Enhanced random number generator
GB9900432D0 (en) 1999-01-08 1999-02-24 Xilinx Inc Linear feedback shift register in a progammable gate array
US6467058B1 (en) * 1999-01-20 2002-10-15 Nec Usa, Inc. Segmented compaction with pruning and critical fault elimination
US6327685B1 (en) 1999-05-12 2001-12-04 International Business Machines Corporation Logic built-in self test
US6590929B1 (en) * 1999-06-08 2003-07-08 International Business Machines Corporation Method and system for run-time logic verification of operations in digital systems
US6463560B1 (en) * 1999-06-23 2002-10-08 Agere Systems Guardian Corp. Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
US6385750B1 (en) * 1999-09-01 2002-05-07 Synopsys, Inc. Method and system for controlling test data volume in deterministic test pattern generation
US6694466B1 (en) 1999-10-27 2004-02-17 Agere Systems Inc. Method and system for improving the test quality for scan-based BIST using a general test application scheme
US6874109B1 (en) * 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US7493540B1 (en) 1999-11-23 2009-02-17 Jansuz Rajski Continuous application and decompression of test patterns to a circuit-under-test
US6684358B1 (en) 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6557129B1 (en) * 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6327687B1 (en) * 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6353842B1 (en) * 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
JP3845016B2 (ja) 1999-11-23 2006-11-15 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
EP1146343B1 (en) * 2000-03-09 2005-02-23 Texas Instruments Incorporated Adapting Scan-BIST architectures for low power operation
US6611933B1 (en) * 2000-04-12 2003-08-26 International Business Machines Corporation Real-time decoder for scan test patterns
US6300885B1 (en) * 2000-04-14 2001-10-09 International Business Machines Corporation Dual aldc decompressors inside printer asic
US6510398B1 (en) 2000-06-22 2003-01-21 Intel Corporation Constrained signature-based test
US6618826B1 (en) 2000-10-26 2003-09-09 Cadence Design Systems, Inc. Test sequences generated by automatic test pattern generation and applicable to circuits with embedded multi-port RAMs
JP4228061B2 (ja) 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
JP4278940B2 (ja) * 2002-09-09 2009-06-17 株式会社 液晶先端技術開発センター 結晶化装置および結晶化方法
US20060038485A1 (en) * 2004-08-18 2006-02-23 Harvatek Corporation Laminated light-emitting diode display device and manufacturing method thereof
US7484151B2 (en) 2005-10-03 2009-01-27 Nec Laboratories America, Inc. Method and apparatus for testing logic circuit designs

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10168386B2 (en) 2017-01-13 2019-01-01 International Business Machines Corporation Scan chain latency reduction

Also Published As

Publication number Publication date
US7478296B2 (en) 2009-01-13
WO2001039254A3 (en) 2001-12-13
WO2001039254A2 (en) 2001-05-31
EP1242885A4 (en) 2005-01-19
US7877656B2 (en) 2011-01-25
EP1242885A2 (en) 2002-09-25
US20030120988A1 (en) 2003-06-26
JP2003526778A (ja) 2003-09-09
EP1242885B1 (en) 2009-10-07
JP3845016B2 (ja) 2006-11-15
US20090183041A1 (en) 2009-07-16
JP2006078493A (ja) 2006-03-23

Similar Documents

Publication Publication Date Title
JP4684829B2 (ja) テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
JP3920640B2 (ja) 集積回路テスト環境のためのテストパターン圧縮方法
US7493540B1 (en) Continuous application and decompression of test patterns to a circuit-under-test
US7506232B2 (en) Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US10234506B2 (en) Continuous application and decompression of test patterns and selective compaction of test responses
US8533547B2 (en) Continuous application and decompression of test patterns and selective compaction of test responses
US9134370B2 (en) Continuous application and decompression of test patterns and selective compaction of test responses
EP1975634B1 (en) Decompressor/PRPG for Applying Pseudo-Random and Deterministic Test Patterns

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060413

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090413

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090416

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090623

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110111

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140218

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4684829

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees