JP2009515161A - 集積回路のテスト方法及びテスト装置 - Google Patents

集積回路のテスト方法及びテスト装置 Download PDF

Info

Publication number
JP2009515161A
JP2009515161A JP2008538462A JP2008538462A JP2009515161A JP 2009515161 A JP2009515161 A JP 2009515161A JP 2008538462 A JP2008538462 A JP 2008538462A JP 2008538462 A JP2008538462 A JP 2008538462A JP 2009515161 A JP2009515161 A JP 2009515161A
Authority
JP
Japan
Prior art keywords
test
fault
integrated circuit
test patterns
responses
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008538462A
Other languages
English (en)
Inventor
ペー エー フランケン ヘンドリカス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP BV
Original Assignee
NXP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP BV filed Critical NXP BV
Publication of JP2009515161A publication Critical patent/JP2009515161A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318364Generation of test inputs, e.g. test vectors, patterns or sequences as a result of hardware simulation, e.g. in an HDL environment
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • G01R31/318357Simulation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

集積回路のテストモードにおいて、コンパクト化論理回路(140)に結合された複数のディジタル出力を有する集積回路(100)中の故障の箇所を検出する方法を開示し、このコンパクト化論理回路は、テスト応答を供給するための少なくとも1つの出力を具えている。この方法は、集積回路のシミュレーションモデルを用意するステップ(210)と;このシミュレーションモデルに複数のテストパターンを与えるステップ(220)と;シミュレーションによる、これらのテストパターンに対する複数のテスト応答を受信するステップ(230)と;これら複数の応答中の、上記故障の符号を規定する複数のビットを特定するステップ(240)と;集積回路に別な複数のテストパターンを与えるステップ(250)と;これらの別な複数のテストパターンに対する複数の応答を受信するステップ(260)と;これら複数の応答中の上記符号の存在をチェックするステップ(270)とを具えている。この方法は、方法を適用したICの故障検出能力を改善する。

Description

本発明は、集積回路(IC)のテストモードにおいて、コンパクト化(コンパクション)論理回路に結合された複数のディジタル出力を有する集積回路内の故障の箇所を検出する方法に関するものであり、このコンパクション論理回路は、テスト応答を供給するための少なくとも1つの出力を具えている。
本発明はさらに、こうした方法を実現するテスト装置に関するものである。
ICテストは、急速に、ICの製造コストにおける支配的要員になりつつある。このことの主な理由の1つは、複雑なICのテストは時間を要する、ということである。このことは主に、大量のテスト入力及び出力データをテスト中のICと通信しなければならないからである。従って、この通信に関係するデータのサイズを低減する方策が大きな注目を集めている。
Sinanoglu et al.: "Party-based output compaction for core-based SOCs", Proc. of the Eight IEEE European Test Workshop, p. 15-20, IEEE ETW 2003
例えば、ディジタル・テストデータをコンパクト化しておき、オンボード(ボード上の)抽出器を有するICで、このテスト入力データを元のサイズに復元するテストの解決策が開示されている。同様に、テスト中のICのディジタル・テスト出力は、オンボード・コンパクタ(コンパクト化器)によってコンパクト化され、ICのテスト結果はコンパクト化された形式で外部に供給される。この方法の例は、Sinanoglu et al.: ”Party-based output compaction for core-based SOCs”, Proc. of the Eight IEEE European Test Workshop, p. 15-20, IEEE ETW 2003に見出すことができる。こうした方法では、テスト入力、例えばテスト中のICに供給されるテストベクトルに対するコンパクト化されたテスト応答の各々を解析して、供給されたテストベクトルが故障の検出をトリガしたか否かを判定する。
コンパクト化されたテスト結果を用いることの欠点は、特に、パリティツリーベースのコンパクタを用いる際にテストの分解能が失われ、こうしたコンパクタは一般に、エクスクルーシブ・オア(排他的論理和)論理ゲートに基づく。従って、コンパクタに入力される偶数個の故障ビットをICの出力上に生成する故障の発生、あるいは偶数個の故障の同時発生は、故障ビットを互いに相殺させ得る。また、複数の故障が複数の故障ビットをICの異なる出力上に同時に生成する故障エリアシングにより、故障箇所検出がより困難になり得る。このことは、コンパクト化されたテスト応答は、複数の故障ビットの発生を示すに過ぎず、これらの故障ビットを特定の故障に割り当てる可能性がないことを意味する。
本発明は、冒頭段落に記載のICをテストする方法を改良して提供することを求める。
本発明はさらに、この改良された方法を実現するテスト装置を提供することを求める。
本発明の態様によれば、請求項1に係る方法が提供される。本発明は、IC内の故障はいくつかのテスト入力によって発見することができるので、複数のテスト応答中に観測されやすい、という認識に基づくものである。従って、コンパクト化論理回路の出力を絶えず観測することによって、即ち、複数のテストパターンに対する応答をこれらの出力から収集することによって、この故障の可観測性が誤ったビット相殺によって失われる機会、あるいは故障箇所検出の可能性が故障エリアシングによって失われる機会が大幅に低減される。
本発明の他の態様によれば、請求項6に係るテスト装置が提供される。こうしたテスト装置は、本発明の方法によって提供される改良されたテスト範囲を促進する。
以下、本発明を非限定的な実施例によって、図面を参照しながらより詳細に説明する。
なお、各図面は単なる概略図であり、一定寸法比で描いたものではない。また、各図面を通して、同一または類似部分は同じ参照番号で示す。
本発明は、空間コンパクト化(コンパクション)論理回路(SCL:Space Compaction Logic)によってコンパクト化したテスト応答による元の故障診断方法を提案する。キーとなる思想は、テスト期間全体中に、SCL出力を絶えず観測することにある。このことは、テスト中の回路(CUT:Circuit Under Test)内の欠陥は複数の故障の影響を生じさせ、これらの故障の影響は通常、1つまたは複数のテストパターンにおいて、CUTのスキャンチェーン出力における複数サイクル中に観測することができる。従って、大部分の故障は一意的なSCLの出力シーケンスを有し、この出力シーケンスは正確な故障検出及び診断を促進する。この方法は、診断が各サイクル中にすべての故障中のスキャンセルを識別することに基づく前述した従来技術の方法とは異なる。
本明細書で用いる定義:
「応答ビット」は、テストパターンまたはテストベクトルのようなテスト刺激に対するテスト応答(の一部)を含む。スキャンベースのテストでは、応答ビットは、スキャン捕捉サイクル中にスキャンフリップフロップにおいて捕捉され、次にシフト出力される。所定のCUTについての、(すべてのテストパターンの)すべての応答ビットを含む集合Rを定義する。
「観測ビット」は、故障の影響が観測される応答ビットである。集合O⊆Rは、あるCUTについての(すべてのテストパターンの)すべての観測ビットを含む。
「故障符号」は、故障fの影響が観測されるすべての観測ビットの集合Of⊆Oである。
「故障集合」は、すべてが同じ故障符号を有する故障の集合である。故障集合FSi={Fi, Oi}は、故障の集合Fi及び故障符号Oiから成り、∀f∈Fi:Of=Oiである。n個の故障集合及びすべての故障の集合Fを有するCUTについて、
1≦i≦ni=F及び∪1≦i≦ni=Oが成り立つ。
故障集合中の故障の組は一意的であり、共通部分集合がない。即ち:
∀FSi={Fi, Oi},FSj={Fj, Oj},i≠j:Fi∩Fj=φ である。
故障集合内の故障符号は一意的であり、通常は共通部分集合がない。即ち:
∀FSi={Fi, Oi},FSj={Fj, Oj},i≠j:Oi≠Oj である。
なお、Oi≠Ojは次のことを暗に意味する:
(Oi∩Oj=φ)∨(Oi⊂Oj)∨(Oi⊃Oj)∨(Oi∩Oj⊂Oj) である。
n個のスキャンチェーン出力における故障の影響を観測する際には、FSはCUTのp個の故障集合のすべての集合である、SCLの出力における故障の影響を観測する際には、FSSCLはCUT+SCLのq個の故障集合のすべての集合である。ここにp≧qが成り立つ。
「故障範囲FC」は、CUTの出力を観測する際に、所定のテストパターン集合について検出されるすべての故障の比率である。故障範囲FCSCLは、SCLの出力を観測する際に、所定のテストパターン集合について検出されるすべての故障の比率である。
「診断分解能DR」は、SCLの出力において観測される故障集合の数(q)と、CUTの出力において観測される故障集合の数(p)との比率として定義される。従って、DR=100%・q/pである。
故障集合FSi={Fi, Oi}の「故障相殺」は、SCLコンパクト化回路網の各イクスクルーシブ・オア(XOR)回路網の入力におけるOi中の観測ビットの数が、すべてのサイクル中に0または偶数である際に発生する。従って、故障相殺は、故障範囲及び診断分解能の両方の損失を生じさせる。
「故障エリアシング」は、CUTの出力における複数の故障集合FSiが、SCLの出力において同じ故障集合FSj SCLを生じさせる際に発生する。故障エリアシングは故障範囲の損失は生じさせないが、診断分解能の損失を生じさせる。
本発明の方法を適用すると、故障相殺及び故障エリアシングの両方の確率が非常に小さいことが、理論解析によって示されている。これら両方の確率は、応答ビットの数の増加と共にさらに減少する。
(例)
次の例では、故障相殺及び故障エリアシングを例示する(図1参照)。IC100は、テスト中の回路部分(CUT)120を具え、回路部分120は長さ2のスキャンチェーンを4つ含む。SCL140は、4入力1出力の単純なXOR回路網を具えている。こうしたSCLはパリティツリーを実現する。テストパターン集合は3つのテストパターン160を含む。rijは、スキャンチェーンjの出力においてサイクルi中に観測される応答ビットであり、riは、SCLの出力においてサイクルi中に観測されるコンパクト化された応答ビットである。rijの集合は図1に160として示し、riの集合は180として示す。CUT及びSCLの次元は非限定的な例として選定したものに過ぎないことは明らかである。
CUT120が次の故障集合を有するものとする:
FS1={F1, O1}、ここに F1={f1} かつ O1={r11, r13, r32, r33};
FS2={F2, O2}、ここに F2={f2, f3, f4} かつ O2={r12, r43, r64};
FS3={F3, O3}、ここに F3={f5, f6} かつ O3={r11, r41, r63};
FS4={F4, O4}、ここに F4={f7, f8, f9} かつ O4={r21, r52, r53};
FS5={F5, O5}、ここに F5={f10} かつ O5={r22, r63};
故障相殺はFS1について発生する、というのは、O1はサイクル1及び3中に2つの観測ビットを有するからである。故障エリアシングはFS2及びFS3について発生する、というのは、これらの観測ビットは同じサイクル中に出現するからである。パリティツリー140の出力における故障集合は次式の通りである:
FS1 SCL={F2∪F3, {r1, r4, r6}}
FS2 SCL={F4, {r2}}
FS3 SCL={F5, {r2, r6}}
従って、SCL140の出力では10個の故障のうち9個のみが観測され、故障集合の数は5から3に低減される。従って、相対故障範囲FCSCLrelは100%・9/10=90%であり、診断分解能DRは100%・3/5=60%である。
(故障集合の発生)
自動化されたテストパターン発生(ATPG:Automated Test Pattern Generation)ツール(図示せず)がすべての対象(ターゲット)故障の故障辞書を作成し、テストパターンを発生してこれらの故障を検出する。故障範囲は、故障シミュレーションによって容易に導出することができ、検出した故障が対象故障中に占める%割合を表現する。故障集合は、すべてのテストパターンの故障シミュレーションによって故障の欠落なしに導出することができる。このようにして、すべてのテストパターン中のすべての観測ビットが故障毎に識別される。ランダムにテスト可能な故障は通常、多くのテストパターンによって検出され、従って、こうした故障についての観測ビットの数は非常に大きくなり得る。従って、故障集合用の記憶容量の要求は、大規模な回路に対して過剰になり、簡単に数ギガバイトをとり得る。このデータ量を低減するための実際の方法は、「故障分離限界」を導入して、各故障集合中の観測ビットの最大数がこの限界を超えないようにすることである。今度は、故障シミュレーション中に、故障分離限界によって指定した観測ビットの数だけの故障が検出された後には故障が欠落し、従って、故障の符号中のビット数についての上限が提供される。この故障分離限界は過度に小さく選定すべきでない、というのは、このことは故障集合の数を減らし、従って診断分解能を低下させるからである。故障分離限界の実際値は200〜300の範囲内である。
故障集合は、縮退故障に対して生成することが好ましい。実際の欠陥は縮退故障として挙動することはまれであるが、欠陥のある回路の故障の応答をこうした故障集合に整合させることは、物理的故障解析によってさらに解明することのできる少数の候補欠陥箇所を識別することを可能にすることが多い。
国際公開第2005/031378号パンフレット
この時点では、一部のテスト応答は先験的に定めることはできないが、他のテスト応答はトライステート(三状態)または不確かであり得ることを強調しておく。これらの未知の、トライステート及び不確かなテスト応答は「X」テスト応答と称され、信頼性のあるテスト応答のコンパクト化が非常に困難、さらには不可能になることを生じさせる。SCLは「X」に対して比較的不感応であるが、SCLの出力シーケンスは、「X」が多数サイクル中に現われる場合にはより有用でなくなる。こうしたXMLの例は、例えばPCT出願WO2005/031378(国際公開第2005/031378号パンフレット)に開示されている。この出願では、XMLがすべての「X」テスト応答を固定で既知の応答に変換する。
X−マスキング論理回路を用いて故障相殺を低減することもできる。故障相殺は、偶数個の観測ビットが同一サイクル中に各XORツリーの入力に出現する場合に発生する。これらの観測ビットの1つ以上をマスクすることによって観測ビットの数を偶数から奇数に変換することができ、今度は、故障の影響をSCLの出力において観測することができる。各観測ビットは通常、複数の故障集合に含まれるので、ビットマスキングは注意して適用すべきである。従って、1つの故障集合について故障相殺を修復することは、他の故障集合についての故障相殺を生じさせ得るし、故障エリアシングも発生し得る。従って、故障相殺の問題のある故障集合毎に、例えば、他の故障集合において使用される頻度が最小の観測ビットのみをマスクする。
故障相殺は、ATPGツールの増強によって低減することもできる。各パターンを発生した後に、CUT120及びSCL140の故障シミュレーションを実行すべきである。故障相殺の場合には、相殺された故障は未検出であると考え、後続のパターン中にATPGが対象とすべきである。
ATPGツールは、各故障を奇数個の観測ビットに感応させるように指示されることが好ましく、このことは、少なくとも1サイクル中に奇数個の観測ビットがSCLの入力に出現することを生じさせる。
故障エリアシングは、すべてのパターンを発生して初めて解析することができるので、修復することがより困難である。それにもかかわらず、ビットマスキングを適用して故障エリアシングを低減することもできる。2つの故障集合のエリアシングの場合には、一方の故障集合のみに出現する観測ビットをマスクすることができる。ATPGツールを用いて、故障エリアシングを解決するための追加的パターンを発生することができるが、このことはパターンのカウント数を増加させる。
図2に、本発明の方法200のフローチャートを示す。ステップ210では、集積回路のシミュレーションモデルを用意する。このことは周知のシミュレーションツールで達成することができる。これに続くステップ220では、シミュレーションモデルに複数のテストパターンを与え、ステップ230では、シミュレーションモデルが、シミュレーションによる、これらのテストパターンに対する複数のテスト応答を生成する。
次に、ステップ240では、前に説明したように、複数の応答中の、故障の符号を規定する複数のビットを識別する。
従って、IC100はこの符号を用いてテストすることができる。ステップ250では、集積回路に別な複数のテストパターンを、例えば図3に示すテスト装置によって与え、ステップ260では、これらの別な複数のテストパターンに対するコンパクト化した複数の応答を受信する。この複数の応答は、ステップ240で決定した符号の存在をチェックされる。
ステップ210及び250で与えるテストパターンは同一にすることができる。あるいはまた、故障符号を特定することにつながる解析を用いて、上記別な複数のテストパターンを、例えば、前に説明したように故障署名中のビット数を制限するか、あるいは、故障署名に寄与しないパターンを除去することによって修正することができる。
図3に、本発明によるテスト装置300を示す。装置300は出力302を有して、テストパターンをIC100に供給する。これらのテストパターンはコンパクト化された形式であることが好ましく、この場合には、IC100は抽出論理回路(図示せず)を具えている。装置300はさらに、入力304を具えて、コンパクト化されたテスト結果をSCL140から受信する。テスト装置300はコントローラ320を有し、コントローラ320は例えば、CUT120へのテストパターンの伝送、及びSCL140からのコンパクト化されたテスト結果の評価を制御する。コントローラ320はメモリー340にアクセスし、メモリー340には、CUT120の故障の故障符号を記憶することができる。この故障符号は一般に、前に説明したように、本発明の方法のステップ210、220及び230において生成され、外部ソース、例えばシミュレーションを実行したコンピュータによってテスト装置300に供給することができる。あるいはまた、装置300は、例えばこの装置に対する適切な命令をメモリー340に記憶することによって、故障符号を最初に生成するように構成することができる。コントローラ320は、受信したテスト結果を解析して、テスト結果中の故障符号の存在をチェックするように構成されている。
なお、上述した実施例は本発明を限定するものではなく例示するものであり、当業者は、請求項に記載の範囲を逸脱することなしに、多くの代案実施例を設計することができる。「具えている」等の文言は、請求項中に挙げた以外の要素またはステップの存在を排除するものではない。各構成要素は複数存在し得る。本発明は、いくつかの別個の要素から成るハードウェアによって実現することができる。いくつかの手段を挙げた装置の請求項では、これらの手段のいくつかは同一のハードウェア・アイテムによって具体化することができる。単に、互いに異なる従属請求項中に特定方策が挙げられていることは、これらの方策の組合せを有利に用いることができないことを意味するものではない。
本発明の方法で使用するICを示す図である。 本発明の方法のフローチャートである。 本発明のテスト装置を示す図である。

Claims (7)

  1. 集積回路のテストモードにおいて、コンパクト化論理回路に結合された複数のディジタル出力を有する当該集積回路の故障の箇所を検出する方法であって、前記コンパクト化論理回路が、テスト応答を供給するための少なくとも1つの出力を具えている方法において、
    a)前記集積回路のシミュレーションモデルを用意するステップと;
    b)前記シミュレーションモデルに複数のテストパターンを与えるステップと;
    c)シミュレーションによる、前記テストパターンに対する複数のテスト応答を受信するステップと;
    d)前記複数のテスト応答中の、前記故障の符号を規定する複数のビットを特定するステップと;
    e)前記集積回路に別な複数のテストパターンを供給するステップと;
    f)前記別な複数のテストパターンに対する複数のテスト応答を受信するステップと;
    g)前記別な複数のテスト応答中の前記符号の存在をチェックするステップと
    を具えていることを特徴とする集積回路内の故障箇所検出方法。
  2. 前記複数のテストパターンと、前記別な複数のテストパターンとが同一であることを特徴とする請求項1に記載の方法。
  3. さらに、前記符号を規定するビットの数に上限を設定するステップを具えていることを特徴とする請求項1に記載の方法。
  4. さらに、前記別な複数のテストパターンの数が制限値を超えないように、当該テストパターンの数を制限することを特徴とする請求項3に記載の方法。
  5. さらに、前記故障の符号を規定する複数のビットを含んでいないテストパターンを前記複数のテストパターンから除去することによって、前記複数のテストパターンから前記別な複数のテストパターンを規定するステップを具えていることを特徴とする請求項1または3に記載の方法。
  6. 集積回路のテストモードにおいて、コンパクト化論理回路に結合された複数のディジタル出力を有する当該集積回路の故障の箇所を検出する装置であって、前記コンパクト化論理回路が、テスト応答を供給するための少なくとも1つの出力を具えている装置において、
    前記集積回路に複数のテストパターンを供給する手段と;
    前記複数のテストパターンに対する複数のテスト応答を前記コンパクト化論理回路から受信する手段と;
    前記集積回路の故障の符号を記憶する手段であって、前記符号は、前記複数のテスト応答中の複数のビットによって規定される手段と;
    前記テスト応答中の前記符号の存在をチェックする手段と
    を具えていることを特徴とする集積回路のテスト装置。
  7. 前記集積回路に複数のテストパターンを与える手段が、当該テストパターンを圧縮形式で与えるように構成されていることを特徴とする請求項6に記載の装置。
JP2008538462A 2005-11-04 2006-10-23 集積回路のテスト方法及びテスト装置 Pending JP2009515161A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP05110387 2005-11-04
PCT/IB2006/053889 WO2007069098A1 (en) 2005-11-04 2006-10-23 Integrated circuit test method and test apparatus

Publications (1)

Publication Number Publication Date
JP2009515161A true JP2009515161A (ja) 2009-04-09

Family

ID=37944819

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008538462A Pending JP2009515161A (ja) 2005-11-04 2006-10-23 集積回路のテスト方法及びテスト装置

Country Status (7)

Country Link
US (1) US8281197B2 (ja)
EP (1) EP1946132B1 (ja)
JP (1) JP2009515161A (ja)
CN (1) CN101300499B (ja)
AT (1) ATE464571T1 (ja)
DE (1) DE602006013681D1 (ja)
WO (1) WO2007069098A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101201386B (zh) * 2007-12-25 2011-06-15 电子科技大学 一种模拟集成电路参数型故障的定位方法
CN102156760B (zh) * 2010-08-23 2013-05-01 北京航空航天大学 基于Saber的电路故障仿真分析方法
US10101388B2 (en) 2015-12-15 2018-10-16 International Business Machines Corporation Method for enhanced semiconductor product diagnostic fail signature detection
EP3938795A1 (en) * 2019-03-13 2022-01-19 Synopsys, Inc. Single-pass diagnosis for multiple chain defects

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62159246A (ja) * 1986-01-08 1987-07-15 Hitachi Ltd 故障シミユレ−シヨン方式
JPH04264276A (ja) * 1991-02-19 1992-09-21 Nippon Telegr & Teleph Corp <Ntt> 組み込み自己試験回路及び制御方式
US5475694A (en) * 1993-01-19 1995-12-12 The University Of British Columbia Fuzzy multiple signature compaction scheme for built-in self-testing of large scale digital integrated circuits
JPH11174126A (ja) * 1997-12-15 1999-07-02 Matsushita Electric Ind Co Ltd 論理回路の組込み自己検査パターン発生装置およびパタ ーン選定方法
JPH11202026A (ja) * 1998-01-13 1999-07-30 Hitachi Ltd 不良解析手法
JP2000137061A (ja) * 1998-10-30 2000-05-16 Advantest Corp システムオンチップにおける埋込コアの試験方法及び構成
JP3151787B2 (ja) * 1992-09-24 2001-04-03 日本電信電話株式会社 集積回路の組み込み自己試験回路及びその評価方法及び設計方法
JP2001273160A (ja) * 2000-03-27 2001-10-05 Toshiba Corp テストパターン選別装置、テストパターン選別方法およびテストパターン選別プログラムを格納したコンピュータ読取り可能な記録媒体
JP2002267719A (ja) * 2001-03-07 2002-09-18 Toshiba Corp 半導体集積回路及びそのテスト方法
JP2003526778A (ja) * 1999-11-23 2003-09-09 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
WO2005031378A1 (en) * 2003-09-26 2005-04-07 Koninklijke Philips Electronics N.V. Method and system for selectively masking test responses

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4194113A (en) * 1978-04-13 1980-03-18 Ncr Corporation Method and apparatus for isolating faults in a logic circuit
US6347395B1 (en) 1998-12-18 2002-02-12 Koninklijke Philips Electronics N.V. (Kpenv) Method and arrangement for rapid silicon prototyping
WO2000050387A1 (en) 1999-02-22 2000-08-31 Pacific Corporation Vanilloid analogues containing resiniferatoxin pharmacophores as potent vanilloid receptor agonists and analgesics, compositions and uses thereof
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6971054B2 (en) * 2000-11-27 2005-11-29 International Business Machines Corporation Method and system for determining repeatable yield detractors of integrated circuits
US7007213B2 (en) * 2001-02-15 2006-02-28 Syntest Technologies, Inc. Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test
US6681357B2 (en) * 2001-05-31 2004-01-20 Sun Microsystems, Inc. MISR simulation tool for memory BIST application
US7246277B2 (en) * 2001-06-20 2007-07-17 Jeffrey Lukanc Test bus architecture for embedded RAM and method of operating same
US7552373B2 (en) * 2002-01-16 2009-06-23 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
CN1548974A (zh) 2003-05-16 2004-11-24 中国科学院计算技术研究所 超大规模集成电路测试通道压缩方法及电路
CN1277181C (zh) 2003-06-25 2006-09-27 中国科学院计算技术研究所 一种单输出无反馈时序测试响应压缩电路
US7055077B2 (en) 2003-12-23 2006-05-30 Kabushiki Kaisha Toshiba Systems and methods for circuit testing
US8280687B2 (en) * 2004-03-31 2012-10-02 Mentor Graphics Corporation Direct fault diagnostics using per-pattern compactor signatures
US7461312B2 (en) * 2004-07-22 2008-12-02 Microsoft Corporation Digital signature generation for hardware functional test
TW200622275A (en) * 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
US7487420B2 (en) * 2005-02-15 2009-02-03 Cadence Design Systems Inc. System and method for performing logic failure diagnosis using multiple input signature register output streams
US7509551B2 (en) * 2005-08-01 2009-03-24 Bernd Koenemann Direct logic diagnostics with signature-based fault dictionaries

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62159246A (ja) * 1986-01-08 1987-07-15 Hitachi Ltd 故障シミユレ−シヨン方式
JPH04264276A (ja) * 1991-02-19 1992-09-21 Nippon Telegr & Teleph Corp <Ntt> 組み込み自己試験回路及び制御方式
JP3151787B2 (ja) * 1992-09-24 2001-04-03 日本電信電話株式会社 集積回路の組み込み自己試験回路及びその評価方法及び設計方法
US5475694A (en) * 1993-01-19 1995-12-12 The University Of British Columbia Fuzzy multiple signature compaction scheme for built-in self-testing of large scale digital integrated circuits
JPH11174126A (ja) * 1997-12-15 1999-07-02 Matsushita Electric Ind Co Ltd 論理回路の組込み自己検査パターン発生装置およびパタ ーン選定方法
JPH11202026A (ja) * 1998-01-13 1999-07-30 Hitachi Ltd 不良解析手法
JP2000137061A (ja) * 1998-10-30 2000-05-16 Advantest Corp システムオンチップにおける埋込コアの試験方法及び構成
JP2003526778A (ja) * 1999-11-23 2003-09-09 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
JP2001273160A (ja) * 2000-03-27 2001-10-05 Toshiba Corp テストパターン選別装置、テストパターン選別方法およびテストパターン選別プログラムを格納したコンピュータ読取り可能な記録媒体
JP2002267719A (ja) * 2001-03-07 2002-09-18 Toshiba Corp 半導体集積回路及びそのテスト方法
WO2005031378A1 (en) * 2003-09-26 2005-04-07 Koninklijke Philips Electronics N.V. Method and system for selectively masking test responses

Also Published As

Publication number Publication date
CN101300499B (zh) 2011-05-18
DE602006013681D1 (de) 2010-05-27
EP1946132B1 (en) 2010-04-14
US20090077439A1 (en) 2009-03-19
CN101300499A (zh) 2008-11-05
US8281197B2 (en) 2012-10-02
EP1946132A1 (en) 2008-07-23
ATE464571T1 (de) 2010-04-15
WO2007069098A1 (en) 2007-06-21

Similar Documents

Publication Publication Date Title
Pomeranz et al. On the generation of small dictionaries for fault location
US8316265B2 (en) Test pattern generation for diagnosing scan chain failures
US8595574B2 (en) Enhanced diagnosis with limited failure cycles
US7788561B2 (en) Diagnosing mixed scan chain and system logic defects
US20120216088A1 (en) Generating test sets for diagnosing scan chain failures
US8006152B2 (en) Scan chain fail diagnostics
US7496816B2 (en) Isolating the location of defects in scan chains
US6557132B2 (en) Method and system for determining common failure modes for integrated circuits
Wang et al. Multiple fault diagnosis using n-detection tests
JP2006105997A (ja) 電子デバイスにスキャンパターンを提供する方法および装置
JP2009515161A (ja) 集積回路のテスト方法及びテスト装置
TWI551868B (zh) 診斷子網路缺陷之電腦實施方法,用於診斷子網路缺陷的具有複數指令儲存於其上之電腦可讀取儲存媒體以及故障合成的診斷系統
US8423845B2 (en) On-chip logic to log failures during production testing and enable debugging for failure diagnosis
JP4842876B2 (ja) 故障診断装置及び故障診断方法
US11320487B1 (en) Programmable test compactor for improving defect determination
US11408938B2 (en) Bidirectional scan cells for single-path reversible scan chains
Vogels et al. Progressive bridge identification
Prabhu et al. A diagnosis-friendly LBIST architecture with property checking
Nandakumar et al. High Throughput Multiple Device Chain Diagnosis Methodology for Clock and Control Line Defects
Balakrishnan et al. Scan-based bist diagnosis using an embedded processor
WO2023107096A1 (en) X-masking for in-system deterministic test
Liu et al. Compact dictionaries for fault diagnosis in BIST
Li et al. Diagnosing scan chains using SAT-based diagnostic pattern generation
Chen et al. N-distinguishing tests for enhanced defect diagnosis
TWI403746B (zh) 測試圖案最佳化的方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110502

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110509

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110512

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120508