JP3436372B2 - デュアルモードディジタルfm通信システム - Google Patents

デュアルモードディジタルfm通信システム

Info

Publication number
JP3436372B2
JP3436372B2 JP52049296A JP52049296A JP3436372B2 JP 3436372 B2 JP3436372 B2 JP 3436372B2 JP 52049296 A JP52049296 A JP 52049296A JP 52049296 A JP52049296 A JP 52049296A JP 3436372 B2 JP3436372 B2 JP 3436372B2
Authority
JP
Japan
Prior art keywords
signal
digital
cdma
mode
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP52049296A
Other languages
English (en)
Other versions
JPH10511525A (ja
Inventor
ブラック、ピーター・ジェイ
ウイルソン、ナサニエル・ビー
Original Assignee
クゥアルコム・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クゥアルコム・インコーポレーテッド filed Critical クゥアルコム・インコーポレーテッド
Publication of JPH10511525A publication Critical patent/JPH10511525A/ja
Application granted granted Critical
Publication of JP3436372B2 publication Critical patent/JP3436372B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • H04B1/403Circuits using the same oscillator for generating both the transmitter frequency and the receiver local oscillator frequency
    • H04B1/406Circuits using the same oscillator for generating both the transmitter frequency and the receiver local oscillator frequency with more than one transmission mode, e.g. analog and digital modes
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/38Synchronous or start-stop systems, e.g. for Baudot code
    • H04L25/40Transmitting circuits; Receiving circuits
    • H04L25/49Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems
    • H04L25/4904Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems using self-synchronising codes, e.g. split-phase codes
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/10Frequency-modulated carrier systems, i.e. using frequency-shift keying
    • H04L27/14Demodulator circuits; Receiver circuits
    • H04L27/142Compensating direct current components occurring during the demodulation and which are caused by mistuning
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/18Phase-modulated carrier systems, i.e. using phase-shift keying
    • H04L27/22Demodulator circuits; Receiver circuits
    • H04L27/233Demodulator circuits; Receiver circuits using non-coherent demodulation
    • H04L27/2335Demodulator circuits; Receiver circuits using non-coherent demodulation using temporal properties of the received signal
    • H04L27/2337Demodulator circuits; Receiver circuits using non-coherent demodulation using temporal properties of the received signal using digital techniques to measure the time between zero-crossings
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B2201/00Indexing scheme relating to details of transmission systems not covered by a single group of H04B3/00 - H04B13/00
    • H04B2201/69Orthogonal indexing scheme relating to spread spectrum techniques in general
    • H04B2201/707Orthogonal indexing scheme relating to spread spectrum techniques in general relating to direct sequence modulation
    • H04B2201/70707Efficiency-related aspects
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W4/00Services specially adapted for wireless communication networks; Facilities therefor
    • H04W4/18Information format or content conversion, e.g. adaptation by the network of the transmitted or received information for the purpose of wireless delivery to users or terminals
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W88/00Devices specially adapted for wireless communication networks, e.g. terminals, base stations or access point devices
    • H04W88/02Terminal devices
    • H04W88/06Terminal devices adapted for operation in multiple networks or having at least two operational modes, e.g. multi-mode terminals

Description

【発明の詳細な説明】 発明の背景 I.産業上の利用分野 本発明は、一般に、周波数変調(FM)通信システムに
関する。さらに詳細には,本発明は、FMモードまたは符
号分割多元接続(CDMA)モードのいずれかで選択的に動
作する新しいデュアルモード通信システムに関する。
II.関連技術 受信したFM通信信号の復調は、通常、アナログ信号処
理技術を用いて行われる。しかしながら、近年、ディジ
タル信号処理技術を用いてアナログ通信信号を処理でき
る方法が開発されてきた。このような技術には、位相サ
ンプリング方法および到来信号を識別してメッセージ情
報を回復する方法が含まれる。多くの場合、メッセージ
情報を回復するため、例えば、直交(quadrature)方向
処理が用いられる。
ディジタル動作に適したFM復調技術の1つとして、
「直接変換」が知られている。この技術を用いると、直
接変換または「ゼロ−IF」受信器によって、到来RF信号
をベースバンドに直接混合する。直接変換の利点は、チ
ャネル選択フィルタ処理をベースバンドで行えるので、
集積回路技術を用いるのに好都合な点である。
直接変換復調の不利な点は、望ましくないオフセット
電圧が所望のベースバンド信号とともにミクサの出力に
出現し、DCスペクトル成分を含む点がある。望ましくな
いDCオフセット電圧はミクサ段で生じるDCオフセットか
ら発生するとともに、DCに混合されるRF局部発振器の漏
れからも発生する。このようなDCオフセットがFM復調処
理に及ぼす影響によって、例えば、理想的な復調信号を
非線形にしてしまう。その結果生じるひずみの振幅、す
なわち、「オフセットエラー」は、FM信号の包絡線に対
するDCオフセットの振幅の関数である。一般的な音声に
適用する場合、許容忠実度はオフセットエラーが数%未
満でなければならない。したがって、ディジタルFM受信
器は、所望のベースバンド信号を妨害DCオフセットから
抽出する機能を必要とする。
また、直接変換ディジタル受信器の動的範囲は、比較
的狭くなりがちである。受信FM信号の動的範囲の便宜を
図るため、自動ゲイン制御(AGC)回路をさらに備えな
ければならない。通常、ディジタル受信器の場合、自動
ゲイン制御処理は、検出、A/D変換および到来信号電力
のベースバンド測定を含む。測定値は所望の基準値およ
び発生したエラー値と比較される。エラー値はループフ
ィルタで濾波され、濾波されたディジタル出力を用いて
増幅器のゲインを調整し、所望の信号電力に一致するよ
うに信号強度を調整する。最適のSN比を有するディジタ
ル復調を行うため、自動ゲイン制御を用いて、ベースバ
ンドのA/D変換器の全動的範囲に近いベースバンド波形
の振幅を保持する。しかしながら、この方法によると、
一般に、自動ゲイン制御増幅器は受信信号電力の全動的
範囲をカバーしなければならない。
ある動作環境において、ディジタル受信器は、信号電
力を迅速かつ様々に変化させる信号を受信する場合があ
る。例えば、符号分割多元接続(CDMA)移動セルラ電話
で用いられるようなディジタル受信器の場合、受信信号
を適切に処理するため受信信号の電力を制限しなければ
ならない。同様に、CDMA互換機および従来のFM互換機で
あるディジタル受信器、すなわち、デュアルモードCDMA
/FM受信器の場合、広帯域CDMA信号および狭域FM信号の
両方の電力を制限しなければならない。受信FMおよびCD
MA信号電力に関連する動的範囲が異なるので、この制限
方法は複雑である。すなわち、受信FM信号の振幅はセル
ラシステム内で110dBのように広範囲にわたって変動す
るので、一般に、CDMAシステムで用いられる既存の電力
制御技術は、動的範囲を大幅に(すなわち、80dB程度
に)制限してしまう。
デュアルモードCDMA/FM受信器の各モード毎に分離し
たAGC回路を備えると、ハードウェアを複雑化するとと
もに、受信器のコストがかかる。したがって、狭域、動
的範囲の広いFM信号とともに動的範囲が限られた高帯域
CDMA信号のいずれに対しても動作できるAGC回路を備え
ることが望ましい。
ディジタルFM受信器の場合、ディジタルFM復調を行う
技術が多数開発されてきた。一般に用いられる技術とし
て、ゼロ交差カウントを用いるIF復調または直交 検出
を用いるベースバンド復調がある。
直交FM復調専用のディジタル方法は、米国特許弟4,67
5,882号「FM復調器」に開示され、これはMotorola社に
譲渡されている。米国特許弟4,675,882号は、ほぼゼロ
周波数に集中した直交FM信号からなるサンプル入力ベク
トルを処理するように設計された装置を記載している。
直交入力ベクトルは、所定の振幅域に入るようにスケー
リングされる。さらに、位相累積器が生成した大まかな
位相値に基づいて、入力ベクトルを所定の直交に回転さ
せる。所定の直交内で、回転ベクトルに基づいて精密な
位相値を決定し、精密な位相値および大まかな位相値を
合計し、合成位相値として出力する。連続する合成位相
サンプルを減じて、復調メッセージサンプル列を生成す
る。
米国特許弟4,675,882号の復調装置の様々な特徴は、
専用のディジタルハードウェアを用いるよりディジタル
信号プロセッサ内の装置を用いることに非常に好都合で
ある。例えば、米国特許弟4,675,882号の装置は反転器
に依存し、違相ベースバンド成分を増倍する前に同相ベ
ースバンド信号成分の反転を行う。好適な方法におい
て、反転器は、チェビシェフ(Chebyshev)多項式近似
を用いて関数f(x)=1/xの近似を求める。チェビシ
ェフ多項式近似は、従来、ディジタル信号処理でよく行
われてきた。あいにく、このようなディジタル信号処理
装置は電力消費が比較的高い点が、パーソナル通信装置
のような様々なタイプの携帯通信送信器専用のハードウ
ェアと比べて不利な点である。
さらに、米国特許弟4,675,882号の復調装置は、局部
発振器の漏れとともに望まくないDCの様々なフィードス
ルー(feed through)源からDCオフセットエラーを引き
起こしがちである。すなわち、米国特許弟4,675,882号
の装置は、ゼロ周波数ベースバンドに直接到来する入力
波形内のDC信号エネルギをマッピングする。なお、ゼロ
周波数ベースバンドには、所望の直交ベースバンド信号
成分も存在する。したがって、外部からの強いDC信号エ
ネルギが存在する場合、マッピングの結果生じる位相角
推定値は不正確になりうる。
多くの場合、信号のフィルタ処理は中間周波数(IF)
を用いて行われ、十分な帯域外信号拒絶を行う。必要な
信号拒絶機能はセラミックIFフィルタを用いて行われる
が、IFフィルタは比較的大型でかつ高価である。一般
に、小型で安価なIFフィルタは、所望の信号拒絶特性を
有していないので実用化できない。したがって、IFフィ
ルタは、一般に、FMセルラ電話の受信器には用いられな
い。
公知のように、集積回路(IC)の近年の高性能化によ
って、極めて小型かつ安価な能動ベースバンドフィルタ
の実現が可能になった。望ましいことに、能動ICベース
バンドフィルタを用いて帯域外信号拒絶に絶大な効果を
上げることによって、小型かつ安価なIFフィルタを用い
てさらに必要な信号拒絶を行えるようになった。能動フ
ィルタの拒絶機能はフィルタゲインの機能であるが、ゲ
イン能動ベースバンドICフィルタが高性能であるほど、
好ましくないDCバイアスオフセットを引き起こす傾向が
高いことも知られている。このような傾向は、オフセッ
ト源の振幅が大きくなることに起因する。すなわち、こ
のようなスプリアスDC信号成分は能動ベースバンドICフ
ィルタによって増幅され、FM復調器の雑音源として作用
する。
さらに、能動ベースバンドICフィルタを用いると、妨
害DCオフセットを抑制する機構が必要になる。あいに
く、従来のディジタル受信器のIF処理は、通常、局部発
振器(L.O)を含む。局部発振器の周波数は、RF搬送波
周波数をDCに低域変換するように選択されているので、
DCオフセットに対して抑制処理を行うとき、搬送波周波
数で振幅および位相情報を破壊してしまう。これは、1/
4位相シフトキーイング(shift keying)(QPSK)技術
を用いて送信されるような抑制搬送波信号のディジタル
復調にとって問題ではない。しかしながら、FMセルラ電
話システムにおいては、多くの場合、重要な振幅および
位相情報は搬送波周波数に存在し、このような情報が破
壊されると、性能に悪影響を及ぼしてしまう。
標準的なFMセルラ電話システムにおいて、音声情報
は、通常、高域フィルタを通過し、FM復調器に送られる
前に300Hz未満の周波数で信号エネルギを抑制する。音
声情報が300Hz未満の周波数オフセットでFM波形に存在
する場合もあるが、このような相互変調の製品は定常的
ではない。したがって、低周波相互変調の製品を抑制す
ることによって、常に、認識可能な音声情報を失う訳で
はない。
したがって、本発明の別の目的は、デュアルモード受
信器に内蔵され、搬送波周波数情報を失わず、ベースバ
ンド濾波を効果的に行えるAGC装置を提供することであ
る。
発明の概要 本発明は、周波数変調(FM)モードおよび符号分割多
元接続(CDMA)モード動作時に情報信号を通信するため
の新しいデュアルモードディジタル通信システムであ
る。本ディジタル通信システムは、FMモード動作時にFM
通信信号を用いて情報信号を送信するとともに、CDMAモ
ード動作時にスペクトル拡散QPSK信号を用いて情報を送
信するデュアルモード送信器を含む。
さらに、本発明システムは、FMモード動作時にFM通信
信号を受信するとともに、CDMA動作時にスペクトル拡散
QPSK信号を受信するデュアルモード受信器を含む。さら
に、デュアルモード受信器は、FMモード動作時に受信FM
信号から情報信号を回復させるとともに、CDMA動作時に
受信QPSK信号から情報信号を回復させるディジタル復調
器を含む。
デュアルモード受信器に関して、FM復調器はディジタ
ル復調器に含まれ、(好ましくは、ゼロ周波数からオフ
セットされた所定のベースバンド周波数に集中してい
る)ディジタル受信ベースバンドFM通信信号を回復情報
信号に変換してもよい。受信ベースバンド信号の各ディ
ジタルサンプルが1/4位相に第1および第2の成分を含
むように変換が行われる。好適な実施の形態において、
FM復調器は、まず、入力信号の各ディジタルサンプルの
第1および第2のサンプル成分比を計算する。位相復調
信号は、各ディジタルサンプル比のアークタンジェント
(arctangent)を決定することによって算出される。周
波数復調信号は復調情報信号に等しく、ディジタル微分
器を用いる復調位相列を濾波することによって算出され
る。
図面の簡単な説明 図1は、本発明に係るデュアルモードディスクの通信
システムを示す。
図2は、本発明の通信システムのデュアルモード送信
器に含まれる音声補間フィルタを示すブロック図であ
る。
図3A〜図3Dは、FMモードのデュアルモード送信器に含
まれる広帯域波形発生器によって生成された1組の正弦
曲線状のサンプル広帯域波形を示す。
図3Eは、図3E〜図3Dに図示された情報を表形式で示
す。
図4は、広帯域データ波形発生器の好適な実施の形態
を示すブロック図である。
図5は、デュアルモード送信器に含まれる結合器/ゲ
イン調整ネットワークのブロック図である。
図6は、CDMAおよびディジタルFM変調信号をRF送信器
に選択的に供給するように動作するCDMA/FMマルチプレ
クサのブロック図である。
図7は、本発明のデュアルモード受信器に含まれるI/
QFM復調器の好適な実施の形態を示すブロック図であ
る。
図8は、IチャネルDCオフセット相殺システムを示す
ブロック図である。
図9Aは、IチャネルDCオフセットループフィルタネッ
トワークに含まれる量子化ループフィルタの好適な実施
の形態のブロック図である。
図9Bは、DCオフセット訂正ループがトラッキングおよ
び捕捉モード動作時に設定される1組の時間定数を示す
表である。
図10は、I/QFM復調器に含まれるI/Q位相発生器を示す
ブロック図である。
図11は、I/QFM復調器に含まれる周波数発生器に送ら
れる位相の好適な実施の形態を示すブロック図である。
図12Aは、IF信号増幅を制御するディジタルAGCループ
の構造を示す。
図12Bは、ディジタルAGCループのRSSIネットワークの
好適な実施の形態を示すブロック図である。
図13Aは、積分器および減算器を含むディジタルAGCネ
ットワークの好適な実施の形態を示す。
図13Bは、選択されたAGCループゲインパラメータの様
々な組み合わせに対応するAGCループ時間定数を示す表
である。
図14Aは、本発明のデュアルモード受信器に含まれる
周波数トラッキングループの好適な実施の形態の構造を
示す。
図14Bは、選択されたループゲイン定数に関連する周
波数トラッキングループの時間定数を示す表である。
図15は、本発明のデュアルモード受信器に含まれる音
声1/10フィルタの好適な実施の形態のブロック図であ
る。
図16は、広帯域データ回復ネットワークの実施の形態
の構造を示す。
図17は、広帯域データ回復ネットワークに含まれる補
間フィルタのブロック図である。
図18Aは、タイミング回復ネットワークおよびマンチ
ェスター(Manchester)復号ネットワークを示すブロッ
ク図である。
図18Bは、マンチェスター復号ネットワークに含まれ
るフェーズロックループに関連する時間定数およびルー
プ帯域幅を示す。
図19Aは、広帯域データ回復ネットワークに含まれるN
RZデコーダの部分概略図である。
図19Bは、NRZデコーダに含まれるNRZ論理回路の動作
の概略を示す表である。
実施の形態 図1は、本発明に係るデュアルモードディジタル通信
システムを示す。デュアルモード通信システムはデュア
ルモード送信器14を含み、送信器14は、ディジタル情報
信号をデュアルモードディジタル受信器16に送信するた
めに設けられている。ディジタルFMモードにおいて、送
信ディジタル情報信号は、ディジタル化された音声およ
び広帯域データ信号に基づいてRF搬送波でFM変調され、
デュアルモード送信器内で発生する。多重アクセス動作
モードにおいて、送信情報信号は、例えば、符号分割多
元接続(CDMA)情報信号からなる。制御処理装置(図示
されていない)によって送信器14に供給されたFM/CDMA
モード選択信号によって、ディジタルFM動作モードまた
はディジタルCDMA動作モードのいずれかを選択できる。
I.デュアルモード送信器の概観 図1を参照すると、送信器14のディジタルFM処理は、
サンプル音声情報信号18をディジタル信号処理装置(DS
P)20から受信するとともに、一連のバイナリメッセー
ジデータ21を制御処理装置(図示されていない)から受
信するように構成されている。本実施の形態において、
(例えば、12ビットの)サンプル音声情報信号18は20ks
psの速度で送信器14の音声補間フィルタ42に供給され
る。以下に示すように、補間フィルタ42は20kspsのサン
プル音声データを120kspsのストリームのサンプル音声
データ44に変換し、各応答データ44は10ビットからな
る。その後、サンプル音声データ44は、結合器/ゲイン
調整ネットワーク48内で、広帯域データ波形発生器54に
よって供給されたサンプル広帯域データ波形50と結合さ
れる。
複号ディジタルFM変調信号58は結合器&ゲイン調整ネ
ットワーク48によって生成され、CDMA/FM送信インタフ
ェース内のモード選択スイッチ60に供給される。ディジ
タルFMモード動作の間、CDMA/FMモード選択スイッチ60
はディジタルFM変調信号をD/A変換器(DAC)62に供給す
る。その結果生じるアナログFM変調信号は、RF送信器64
内で、中間周波数搬送波の周波数を直接変調する。本発
明による好適なディジタルFM変調信号発生方法のさらに
詳細について、図2〜図4を参照して以下に説明する。
ディジタルFM動作モードからCDMA動作モードに切り替
えると、CDMA入力線66によって伝送されたCDMAデータ信
号は、ディジタルFMモード変調信号の代わりに、RF送信
器64に供給される。CDMAモード動作中、送信器64は同相
(I)擬似ランダム雑音列PNIおよび直交位相(Q)擬
似ランダム雑音列PNQを発生させ、PNIおよびPNQは特定
のエリア(すなわち、セル)に相当し、CDMAモード動作
中に情報がセルに送信される。送信器64内で、CDMAデー
タ信号はPNIおよびPNQとの排他的論理和が演算され、送
信する前にCDMAデータ信号を拡散する。その結果生じる
Iチャネル符号拡散列およびQチャネル符号拡散列を用
いて、直交する一対の正弦曲線を二相変調する。変調さ
れた正弦曲線の和を求め、帯域フィルタで濾波され、RF
周波数に移し、再び濾波し、アンテナ70を介してデュア
ルモード送信器16とつながる通信チャネル全体に放射さ
れる前に増幅される。CDMA送信器および波形発生器の一
例として、例えば、米国特許弟弟5,103,459号、1992
年、「CDMAセルラ電話システムにおける信号波形発生シ
ステムおよび方法」に記載されている。この特許は本発
明の譲渡人に譲渡され、本明細書に含まれる。
II.デュアルモード受信器の概観 図1を参照すると、デュアルモード受信器16は、デュ
アルモード送信器14によって送信されたIチャネル情報
信号およびQチャネル情報信号を受信する受信アンテナ
80を含む。CDMAモード動作およびFMモード動作の両方に
おいて、アンテナ80によって受信されたIチャネル情報
信号およびQチャネル情報信号は、直接変換アナログ受
信器84によって処理される。アナログ受信器84内で、I
チャネル情報信号およびQチャネル情報信号は局部発振
器からの信号と混合され、同相(I)ベースバンド信号
および直交位相(Q)ベースバンド信号を生成する。デ
ィジタルFMモード動作の間、局部発振周波数は、所定の
極限値によってオフセットされるようにRF搬送波周波数
から選択される。このように、受信されたI情報信号お
よびQ情報信号は、それぞれ、IディジタルFMベースバ
ンド信号およびQディジタルFMベースバンド信号に変換
され、IおよびQディジタルFMベースバンド信号は、所
定の極限値によってゼロ周波数、すなわち、「ゼロIF」
からオフセットされている。
上記のとおり、従来のFM受信器では、入力波形に含ま
れる望ましくないDC信号エネルギはゼロ周波数ベースバ
ンドに直接にマッピングされ、所望の直交ベースバンド
信号成分が集中している。その結果、外来の大きなDC信
号エネルギが存在する場合、次に求められる位相角の推
定値は不正確になる。本発明によれば、アナログ受信器
84に故意に導入された周波数オフセットによって、ベー
スバンドIおよびQ信号を処理する間に、このような好
ましくないDC信号エネルギを除去することができる。
CDMAモード動作のとき、IおよびQチャネルベースバ
ンドCDMA信号の成分は、受信機の出力線路88および90を
介してCDMA/FM受信器インタフェースのA/D変換器(AD
C)92に供給されるのが分かる。ベースバンドCDMA信号
の成分は、ADC92からCDMA処理ネットワーク94に転送さ
れる。CDMA処理ネットワーク94において、ベースバンド
CDMA信号成分は復調され、インタリーブを解除され、復
号される。このような例は、例えば、上記米国特許弟5,
103,459号に記載されている。
ディジタルFMモード動作の間、ベースバンドIおよび
Q信号は、受信器の出力線路88および90を介してADC92
に供給される。ADC92内で、ベースバンドIおよびQ信
号は、例えば、40kspsの速度でディジタル化され、8ビ
ットの同相(I)および直交位相(Q)サンプルを形成
する。8ビットのIおよびQサンプルは、例えば、40ks
psの速度でI/QFM復調器96に出力される。ディジタルFM
復調器96内で、IおよびQベースバンド信号は位相信号
に復調され、さらに、復調周波数信号に変換される。以
下に詳細に説明するように、ディジタルFM復調器96は、
さらに、受信器84でRF処理を行う間に導入されたDCオフ
セットを除去するためのDCオフセットトラッキングルー
プ、自動ゲイン調整(AGC)ループおよび周波数トラッ
キングループを含む。
図1を参照すると、ディジタルFMモード動作の間、復
調周波数信号は、例えば、40kspsのデータレートで音声
1/10(decimation)フィルタ102および広帯域データ回
復ネットワーク104の両方に供給される。1/10フィルタ1
02によって処理された後、ダウンサンプル音声信号はボ
コーダ(vocoder)(図示されていない)を通過し、受
信音声情報を回復させる。同時に、広帯域データ回復ネ
ットワーク104が動作して、受信広帯域データおよびタ
イミング情報を復調周波数信号から抽出する。この抽出
広帯域信号情報は広帯域データメッセージデコーダ108
によって用いられ、デコーダ108は広帯域データワード
フレームを識別し、ビットエラーを訂正し、さらに評価
するため識別データワードおよびメッセージを制御処理
装置に送るように機能する。
III.デュアルモード送信器の詳細な説明 A.音声補間フィルタ 図2を参照すると、音声補間フィルタ42のブロック図
が示されている。図2に示されるように、ディジタル信
号処理装置20から送られた音声データの12ビットのサン
プルは、それぞれ、20kspsの速度で線路18を介して音声
補間フィルタ42内のゼロ次ホールドレジスタ140に供給
される。ゼロ次ホールドレジスタ140の出力は、所定の
複数の入力データレートに等しい速度で補間スイッチ14
4によってサンプリングされる。好適な実施の形態にお
いて、スイッチ144は120kHz、すなわち、音声データ入
力速度の6倍の速度でトグル(toggled)される。その
結果生じる120kspsのサンプル音声データは、8タップS
INCフィルタ148および4タップSINCフィルタ152によっ
て順次処理される。8タップSINCフィルタ148および4
タップSINCフィルタ152は、それぞれ、以下のz定義域
の変換関数T8およびT4で示される: T8=(1+z−1+...+z−7)/8,及び T4=(1+z−1+...+z−3)/4. 4タップSINCフィルタ152は、129kspsストリームのサ
ンプル音声データ44を供給し、各音声データ44は10ビッ
トからなる。
B.広帯域データ波形発生器 好適な実施の形態において、広帯域データ波形発生器
54が動作し、バイナリメッセージデータ21からの周波数
シフトキーイング(FSK)変調波形を直接合成する。メ
ッセージデータ21が非ゼロ復帰(NRZ)バイナリデータ
列からなる場合、波形発生器54は、NRZデータ列にマン
チェスター符号化を行うとともに、FSK変調波形を合成
する。各NRZ論理1は、0−1列としてマンチェスター
符号化が行われ、各NRZ論理0は、1−0列としてマン
チェスター符号化が行われる。その結果生じる変調波形
のスペクトル帯域幅を限定するため、マンチェスター列
の理想遷移は正弦曲線状の遷移として合成される。広帯
域データ波形発生器は、搬送波の周波数変調を行う前に
広帯域データ変調信号を低域フィルタで濾波する必要が
ないように有利に設計されている。
図3A〜3Dは、様々なNRZデータビットに応じて波形発
生器54によって生成された1組のサンプル広帯域遷移波
形を示す。各NRZデータビットに含まれる第1のNRZビッ
トは、図3A〜図3Dの横軸に沿ってX(n−1)で表さ
れ、第2のビットはX(n)で表される。図3A〜図3Dに
示されるように、12個のサンプルがNRZデータに応じて
生成され、各マンチェスターデータビットに対応して正
弦曲線状に示される。図3A〜図3Dは、それぞれ、NRZビ
ットX(n−1)のマンチェスター波形の最後の6つの
サンルおよびNRZビットX(n)のマンチェスター波形
の最初の6つのサンプルに対応する12個のサンプル点を
示す。合成波形値は、所定の固定定数±s0、s1、s2およ
びs3によって示される。図3A〜図3Dに示される情報は、
図3Eの表に示される。
図4を参照すると、広帯域データ波形発生器54の好適
な実施の形態のブロック図が示されている。図4の実施
の形態において、入力NRZバイナリデータは、例えば10k
ビット/秒(kbps)で供給され、サンプルマンチェスタ
ー表示は、10ビットのサンプル分解能で120kspsの速度
で行われる。波形発生器54は、連続するNRZバイナリデ
ータ、X(n−1)およびX(n)を記憶するNRZデー
タレジスタ160を含む。入力マルチプレクサ164は、レジ
スタ160に蓄積されたX(n−1)およびX(n)の値
に基づいたs0、s1、s2および/またs3の値からなる列を
生成する。例えば、図3eは、X(n−1)=0およびX
(n)=1の場合、マルチプレクサ164が列s0、s1、s
2、s3、s3、s3に続いてs3、s3、s3、s2、s1、s0を生成
することを示す。これらの列およびインバータ168によ
って生成された列の負数は、出力マルチプレクサ172に
供給される。X(n−1)=0およびX(n)=1の場
合、出力マルチプレクサ172は、X(n−1)=0の前
半に対応する−s0、−s1、−s2、−s3、−s3、−s3およ
びX(n−1)=1の後半に相当する−s3、−s3、−s
3、−s2、−s1、−s0を生成する。一般的な場合、出力
マルチプレクサ172は、入力マルチプレクサ164によって
生成された列とインバータ168によって生成された相補
列との間で選択し、レジスタ160に最近蓄積されたNRZ値
X(n)およびX(n−1)に関連するサンプル波形を
生成する。
C.結合器/ゲイン調整ネットワーク 図5は、結合器/ゲイン調整ネットワーク48のブロッ
ク図を示す。ネットワーク48は、サンプル音声データ44
をサンプル広帯域データ波形50(図4参照)と結合する
ディジタル加算器178を含む。その結果生じる10ビット
のサンプルFM変調信号は、乗算器180内で6ビットのゲ
イン調整定数GADJによってスケーリングされる。定数GA
DJは、RF送信器64内の電圧制御発振器(図示されていな
い)によって示された所望の電圧周波数応答に対する偏
差を補償するように選択される。次に、乗算器180から
送られるゲイン調整ディジタルFM変調信号58は、CDMA/F
Mマルチプレクサ60に供給される。
D.CDMA/FMインタフェースD/A変換器 図6を参照すると、CDMA/FMマルチプレクサ60のブロ
ック図が示されている。図6に示されるように、データ
マルチプレクサ190は、CDMAデータ66およびディジタルF
M変調信号58の両方を受信する。適当なデータストリー
ムはD/A変換器(DAC)192に多重化され、RF送信器64に
出力される前にアナログ信号に変換される。出力に用い
るディジタル入力ストリームの選択は、データマルチプ
レクサ190に送られるFM/CDMAモード選択信号によって制
御される。同様に、CDMAクロック信号またはディジタル
FMクロック信号のいずれかはDAC192に供給され、データ
変換処理を入力(すなわち、FMまたはCDMA)データ列に
同期させる。
IV.デュアルモード受信器の詳細な説明 A.ベースバンドディジタルFM復調器 1.構造の概観 図1を参照すると、FMモード動作時、8ビットの同相
(I)および8ビットの直交位相(Q)サンプルは、40
kspsの速度でCDMA/FM受信インタフェースADC92からI/QF
M復調器96に出力される。I/QFM復調器96の好適な実施の
形態のブロック図は、図7に示される。Iサンプルおよ
びQサンプルは、それぞれ、受信FM信号のベースバンド
等価値を示す復号ベクトルの実部および虚部を示す。実
施の形態において、ベースバンド等価信号は、所定の極
限値によってゼロ周波数(すなわち、0Hz)からベース
バンド周波数オフセットに変換される。上記のとおり、
アナログ受信器84は、所定の周波数極限値、例えば、15
0HzによってRF中心周波数からゼロ周波数にオフセット
する受信信号をマッピングするように設計されている。
このようなDCオフセット極限値によって、変調されてい
ないFM信号、すなわち、連続波(CW)信号とアナログ処
理時に導入されたDCオフセットエラーとの微分を行うこ
とができる。本発明の一側面によると、DCオフセットエ
ラーはゼロ周波数で残る一方、周波数変換CW信号は所定
の周波数極限値(例えば、150Hz)と等価の非ゼロベー
スバンド周波数までマッピングされる。図8を参照して
以下に示すように、IチャネルオフセットQチャネルDC
オフセット訂正ループネットワーク210および212は、I/
Q復調器96によってさらに処理される前にDCオフセット
を受信信号路から除去するように設計されている。DCオ
フセット訂正ループ210および212によって、CW信号情報
を破壊せず、DCオフセットエラーの除去が有利に行え
る。
さらに、FM復調器96はI/Q位相発生器214を含み、I/Q
位相発生器214は、受信FM信号ベクトルの位相角を推定
するように設計されている。I/Q位相発生器214によって
演算される関数は下式に示される: P(n)=arctan{I(Q)/Q(n)} 上式で、P(n)はI(n)およびQ(n)によって
決められるFM信号ベクトルのサンプルに関連する位相角
を示す。位相角P(n)の推定値を求める4象限のアー
クタンジェント計算は下式のとおりである: (i)B=min(|I|,|Q|)/max(|I|/|Q|)を計算し、 (ii)0から30π/4の範囲の位相推定値Pe(n)を決定
する。
ここで、Pe(n)=TAN-1(B)である。
(iii)|I|および|Q|の相対振幅値およびIおよびQに
基づいて、−πからπの範囲で位相推定値Pe(n)を再
マッピングする。
上記は、4象限のアークタンジェント計算を行う技術
の1つを示し、このアルゴリズムの代替形が用いられる
ことは当業者に理解される。
次に、I/Q位相発生器214から送られた位相推定値列
は、対位相周波数発生器218によって周波数信号に変換
される。実施の形態において、対位相周波数発生器218
の入力は8ビットの正規位相信号P(n)からなり、0
<P(n)<2である。対位相周波数発生器218によっ
て演算される微分関数は、以下の数式で示される: F(n)=P(n)−P(n−1), 上式で、F(n)は正規位相サンプルP(n)および
P(n−1)から導出される周波数サンプルである。好
適な実施の形態において、周波数サンプルF(n)は、
−1<FN(n)<1になるようにサンプルFN(n)に正
規化される。I/Q位相発生器214および対位相周波数発生
器218は、図10および図11を参照して以下に詳細に説明
する。
図7を参照すると、RSSI探索ネットワーク220は、パ
ラメータmax(|I|,|Q|)およびmin(|I|,|Q|)/max(|I
|,|Q|)に基づいて受信信号強度の推定値を算出し、max
(|I|,|Q|)およびmin(|I|,|Q|)/max(|I|,|Q|)は両
方とも、I/Q位相発生器214から供給される。その結果生
じるRSSI信号をディジタル自動ゲイン制御(AGC)ネッ
トワーク222内で用い、ディジタルAGC制御信号を生成す
る。次に、ディジタルAGC制御信号は、D/A変換器224に
よってアナログAGC信号に変換される。
図7に示されるように、周波数トラッキングループフ
ィルタ250は、対位相周波数発生器218によって生成され
た周波数サンプルFN(n)を受信する。周波数トラッキ
ングループフィルタ250はディジタル信号を発生させ、
ディジタル信号はD/A変換器251によってアナログ周波数
トラッキング信号(FTRACK)に変換される。信号FTRACK
をアナログ受信器84で用い、受信RF信号の周波数低域変
換に用いられる局部発振信号の周波数調整が行われる。
上記のとおり、受信IチャネルおよびQチャネルFM信号
エネルギは、アナログ受信器84で0Hzとは異なるベース
バンド周波数に周波数変換され、望ましくないDCオフセ
ットエラーの除去を容易に行う。したがって、所定の定
数関数バイアス(FBIAS)は周波数トラッキングループ
フィルタ250に供給され、周波数サンプルFN(n)から
減算されることによって、アナログ受信器84に導入され
たベースバンドオフセットを補償する。
2.FM復調器の動作説明 図8を参照すると、IチャネルDCオフセットループフ
ィルタネットワーク210を示すブロック図が示されてい
る。QチャネルDCオフセットループフィルタネットワー
ク212がループフィルタネットワーク210と同じであるこ
とは理解されるであろう。図8に示されるように、ルー
プフィルタネットワーク210によって生成されたIチャ
ネルオフセット訂正信号260は、ベースバンドIチャネ
ルパス内の減算回路262に供給される。ループフィルタ
ネットワーク210は、Iチャネル訂正信号260がIチャネ
ルパスに存在する望ましくないDCオフセットに近づくよ
うに設計される。このように、減算回路262によって生
成された補償信号は、DCオフセットエラーから実質的に
離れるように生成される。
動作時、受信器84のA/D変換器266から送られたディジ
タルIチャネル信号は、量子化ループフィルタ270で量
子化されるとともに濾波される。その結果生じるディジ
タルIチャネル訂正信号は、D/A変換器272によってアナ
ログIチャネル訂正信号260に変換され、減算回路262に
供給される。
図9Aを参照すると、量子化ループフィルタ270の好適
な実施の形態の部分概略図が示されている。フィルタ27
0はMSB抽出レジスタ276を含み、MSB抽出レジスタ276
は、最上位ビット(MSB)、すなわち、A/D変換器の8ビ
ットの出力の符号ビットをシフトレジスタ278に供給す
る。IチャネルDCオフセット訂正ループフィルタネット
ワーク210の時間定数は、シフトレジスタ280の数を調整
することによって制御してもよい。シフトレジスタ280
は、2つの補数バイアス信号282とともに、受信器の制
御処理装置によってシフトレジスタ278に供給される。
シフトレジスタ278の11ビットの出力は累算器286に供給
され、累算器286が動作して、21ビットの符号拡張累積
出力を9ビットMSB抽出回路290に供給する。抽出回路29
0は、21ビットの累積ディジタルオフセット訂正信号の
9つのMSBをD/A変換器272(図8参照)に送り、D/A変換
器272はアナログIチャネル訂正信号260を生成する。
特に、図9Bの表は、シフトレジスタ278で行われたビ
ットシフトがDCオフセット訂正ループの時間定数を設定
する方法を示す。図9Bに示されるように、DCオフセット
訂正ループは、トラッキング(TRACKING)および捕捉
(ACQUISITION)モード動作を行うことができる。捕捉
モード動作の特徴は短いループ時間定数であり、オフセ
ット訂正に対して迅速に初期収束を行うことができる。
捕捉モードで用いられる比較的短いループ時間定数は、
トラッキングモード帯域幅に対するループ帯域幅を大き
くするので、オフセットエラーはトラッキングモードよ
り捕捉モード時に存在する。反対に、長いループ時間定
数およびそれに伴う狭域のループ帯域幅をトラッキング
モード時に用いると、定態オフセットエラーを最小化す
ることができる。このようなデュアルモード動作によっ
て、初期収束を最小限の遅延で得ることができると同時
に、定態動作の最適化が行える。
図10を参照すると、I/Q位相発生器214のブロック図が
示されている。I/Q位相発生器214は、Iチャネルおよび
Qチャネルのベースバンドデータの符号および振幅を決
定するIチャネルおよびQチャネルバイナリ変換回路29
6および298を含む。好適な実施の形態において、Iチャ
ネルおよびQチャネルのベースバンドデータは、オフセ
ットバイナリ形式のIチャネルおよびQチャネルバイナ
リ変換回路296および298に存在する。
オフセットバイナリ形式のベースバンドデータの場
合、変換回路296および298は、表Iに示される関数を演
算する。すなわち、入力ベースバンドデータサンプルの
MSBが論理1の場合、サンプルの絶対値(すなわち、|I|
または|Q|)はサンプルの8つの最下位ビット(LSB)に
等しい。それ以外の場合、絶対値は8つのLSBを反転す
ることによって得られる。さらに、変換回路はI±、Q
±を生成し、I±およびQ±は、それぞれ、現Iチャネ
ルおよびQチャネルのベースバンドデータサンプルの符
号を示す。
図10を参照すると、ディジタルコンパレータ302は|I|
と|Q|を値を比較し、min(|I|,|Q|)およびmax(|I|,|Q
|)をそれぞれ、信号線路308および310のディジタル分
周器306に供給される。また、コンパレータ302は制御信
号CI/Qを発生させる。|I|>|Q|の場合、制御信号CI/Q
は1に設定され、|I|>|Q|でない場合、制御信号CI/Q
は0に設定される。
分周器306は6ビットの比率(RATIO)信号を生成し、
比率信号はmin(|I|,|Q|)/max(|I|,|Q|)に等しい。
比率信号はアークタンジェント(ARCTAN)ROMテーブル
に供給され、テーブル316のインデックスとして機能す
る。特に、関数θ=arctan(比率)はテーブル316に記
憶されている。インデックス比率は、0〜1の十進範囲
に対応して0〜63である。算出値θは、0〜π/4の十進
範囲に対応して0〜31である。本実施の形態において、
アークタンジェントROMテーブル316は、表IIに示される
64個の5ビットの位相推定値からなる。
表IIに示されるように、「初期値」位相の情報のみが
アークタンジェントROM316に蓄積されている。すなわ
ち、メモリ容量を節約するため、アークタンジェントRO
M316は極平面の1/8の値、すなわち、0〜π/4の値しか
含まない。したがって、45゜調整モジュール320が動作
して、アークタンジェントROM316から検索された各θの
値を適当な45゜だけ回転させることによって、位相(PH
ASE)値の出力列P(n)を求める。45゜調整処理は、
I>0、Q>0かどうかおよび|I|>|Q|かどうかに基づ
いて、すなわち、I+/−、Q+/−およびCI/Qの値
に基づいて行われる。表IIIは、45゜調整ネットワーク3
20がI+/−、Q+/−およびCI/Qのそれぞれの組み
合わせに関連するθの値に対して行った調整を示す。
表IIIに示される位相値を算出するために行われる論
理演算は、下式のように表してもよい: PHASE[7]=〜Q+/− PHASE[6]=I+/−XOR Q+/− PHASE[5]=〜QI/QXORI+/−XORQ+/− PHASE[4…0]=〜QI/QXORI+/−XORQ+/−XOR THETA[4…0] 上式で、位相[i]は、最下位ビットに対応するビッ
ト0を有する非符号8ビットの位相値のi番目のビット
を示す。
図11を参照すると、対位相周波数発生器218の好適な
実施の形態のブロック図が示されている。発生器218
は、I/Q位相発生器214によって生成された位相値P
(n)列を周波数値FN(n)の正規列に変換するように
設けられている。また、対位相周波数発生器218でシフ
トレジスタ330およびディジタル加算器332によって行わ
れる微分関数は、F(n)=P(n)−P(n−1)の
数式で表される。範囲調整モジュール334は、−1<FN
(n)<1の範囲でサンプル列F(n)をサンプルF
N(n)に正規化する。本実施の形態において、この範
囲調整はモジュロ2で計算される。例えば、P(n)=
1.1をモジューロ2により範囲調整することによって、
この数量は正規周波数FN(n)=−0.9まで再マッピン
グされる。モジューロ演算は、単位円の「位相ラップ」
から生じる位相差計算の曖昧さを除く。次に、周波数サ
ンプルは、以下に示す音声1/10フィルタ102および広帯
域データ回復ネットワーク104によって処理される。
図12Aを参照すると、受信器84で生成された中間周波
数(IF)信号の増幅を制御するように動作するディジタ
ルAGCループのブロック図が示されている。ディジタルA
GCループは、RSSIネットワーク220、ディジタルAGCネッ
トワーク222およびD/A変換器224を含む。AGCループ動作
時、RSSI信号は、I/QFM復調器96で生成された|I|および
|Q|の値に基づいてRSSIネットワーク220で生成される。
図12Aに示されるように、AGCネットワーク222のディジ
タル減算器340は、基準レベルAGC_REFをRSSIテーブル22
0によって出力されたRSSI信号から減算する。その結果
生じるエラー信号は、ループフィルタの積分器342によ
って積算され、D/A変換器224に供給される。
D/A変換器224から送られるアナログAGC信号は受信器8
4の低域フィルタ346によって濾波され、濾波信号を用い
て、受信器のIF信号路内のAGC増幅器350のゲインを調整
する。増幅器350は、高い動的範囲にわたって線形(d
B)ゲイン制御を行うことができる。増幅器350は、例え
ば、米国特許弟5,099,204号「線形ゲイン制御増幅器」
に記載されているように設計されてもよい。なお、この
特許は、本発明の譲渡人に譲渡されている。
閉ループAGCシステムを図12Aに示すため、受信器84で
行われるベースバンド処理を概略的に示す。特に、AGC
増幅器350から送られるレベル制御IF信号は、ミクサ354
のベースバンド周波数に変換される。上記のとおり、ミ
クサ354に送られるLO信号の周波数は、中心IF周波数が0
Hzからベースバンド周波数オフセットにマッピングされ
るように選択される。ミクサ354で生成されたベースバ
ンド信号IおよびQは、CDMA/FM受信器92に送信される
前にベースバンドLPF/A/Dネットワーク358で濾波される
とともにディジタル化される。
図12Bを参照すると、RSSIネットワーク220の好適な実
施の形態のブロック図が示されている。RSSIネットワー
ク220は、関数10log10(I2+Q2)を演算するように動作
する。この関数は代わりに下式のように示してもよい: RSSI=20l0g10[max(|I|,|Q|)+ 10log10[1+min(|I|,|Q|)2/max(|I|,|Q|)] 中間結果もまた位相復調処理時に決定しなければなら
ないので、max(|I|,|Q|)およびmin(|I|,|Q|)/max
(|I|,|Q|)の値は、I/Q位相発生器214から効率的に得
られる。20log10[max(|I|,|Q|)]および10log10[1
+min(|I|,|Q|)2/max(|I|,|Q|)の計算は、第1お
よび第2のLOG関数ブロック370および372で行われる。L
OG関数ブロック370および372は、例えば、プログラム論
理アレー(PLA)または従来の論理ゲートを用いて演算
を行ってもよい。RSSI信号は、ディジタル加算器374のL
OG関数ブロック370および372からの出力を組み合わせる
ことによって得られる。
図13Aは、ディジタルAGCネットワーク222のディジタ
ル演算器340および積分器342の好適な実施の形態を示
す。図13Aを参照すると、レジスタ344から送られるAGC_
REF信号は、RSSIネットワーク220から送られるRSSI信号
とともに減算器340に供給される。本実施の形態におい
て、AGC_REFパラメータは5ビットの定数で、受信器の
制御処理装置によってレジスタ344にロードされる。図1
3Aを参照すると、制御処理装置から送られる5ビットの
所定の定数信号AGC_Refは、RSSIネットワーク220から送
られる7ビットのRSSI信号とともに減算器340に供給さ
れる。その結果生じる推定RSSI信号と所望の信号レベル
(AGC_Ref)との振幅差は、ループフィルタゲインネッ
トワーク341によってスケーリングされる。ループフィ
ルタゲインはゲイン値Gによって特定され、ここで、G
=0.a1a2×2SHIFTである。本実施の形態において、分数
成分(a1a2)は2ビットの値からなり、パラメータSHIF
Tによって特定される算術左桁上げは3ビットの値であ
る。一例として、a1=1、a2=1およびSHIFT=3の場
合、等価ループゲインは0.11×2-3(バイナリ)または3
/32(十進法)である。
図13Aにおいて、分数スケーリング処理は完全乗算と
して行われ、桁処理は算術左桁上げとして行われる。2
つの所定の定数ループゲイン信号、すなわち、AGC_Atta
ckおよびAGC_DecayはAGCネットワークに供給される。AG
C_AttackおよびAGC_Decayは、それぞれ、ゲイン成分a1
およびa2を示す2ビットのセグメントからなり、3ビッ
トのセグメントはパラメータSHIFTを示す。信号レベル
エラー信号の符号ビットは、AGC_AttackおよびAGC_Deca
yによって符号ビットのスケーリングを決定する。符号
ビットが正(すなわち、論理「0」)の場合、エラーは
AGC_Decayによって特定されたゲインによってスケーリ
ングされる。反対に、エラーが負の場合、エラーはAGC_
Attackによって特定されたゲインによってスケーリング
される。正の信号レベルエラーの場合、AGCループは、A
GC増幅器のゲインを減らすことによって信号レベルを小
さくする。この場合、ループゲインおよびそれに伴って
対応するループ時間定数は、AGC_Decayの値によって決
められる。同様に、負の信号レベルエラーが存在する場
合、AGCループは、AGC増幅器のゲインを増やすことによ
って信号レベルを大きくする。このような状況で、ルー
プゲインおよび時間定数はAGC_Attackによって決められ
る。
ループ時間定数の選択は、AGCの信号レベルトラッキ
ング能力とベースバンド信号レベルがAGC受信器のDACの
動的範囲内にとどまる程度との所望のバランスにしたが
って行われる。図13Bは、ループ時間定数の例に対応す
るパラメータ値を示す。
図13Aを参照すると、スケーリングされた8ビットの
信号レベルエラーは、14ビットの飽和積分器342に供給
される。さらに、積分器342の6ビットの所定の飽和の
上限および下限(AGC_Max、AGC_Min)は、受信器の制御
処理装置によってAGCネットワークに供給される。積分
器342は平均信号エラーを強制的に0にし、これは、平
均推定信号レベル(RSSI)と所望の信号レベル(AGC_Re
f)の差の最小化に等しい。飽和限界(AGC_Max、AGC_Mi
n)は、通常、AGC増幅器の制御電圧の範囲の上限および
下限に対応するように選択される。7つの最上位ビット
(MSB)は積分器342の出力から抽出され、DAC224(図12
A)に供給され、DAC224は、AGC増幅器Kのゲインを再調
整する。
図14Aは、デュアルモード受信器に含まれる周波数ト
ラッキングループの好適な実施の形態の構造を示す。図
14Aを参照すると、周波数トラッキングループフィルタ2
50はディジタル減算器390を含み、8ビットのFM復調周
波数信号は、対位相周波数発生器218から減算器390に供
給される。減算器390は周波数バイアス信号(FBIAS)を
減算するように設計され、本実施の形態において、周波
数バイアス信号は、8ビットの復調周波数信号から送ら
れる周波数LSB(すなわち、156Hz)にほぼ等しい。その
結果生じる差信号はシフトレジスタ392に供給され、周
波数トラッキングループゲイン定数FGainにしたがって
ビットシフトされる。様々なゲイン定数FGainに関連す
る周波数トラッキングループの時間定数が図14bの表に
示される。
次に、シフトレジスタ392の符号拡張出力はディジタ
ル累算器394に伝送される。本実施の形態において、シ
フトレジスタ392からの出力は15ビットの確度であり、
累算器394は22ビットの幅である。図14Aに示されるよう
に、累算器394によって出力された8のMSBはD/A変換器2
51に供給される。CDMAモード動作時に、CDMA周波数トラ
ッキング信号は、累算器394からの出力の代わりに、D/A
変換器251にマルチプレクス(maltiplexd多重送信)さ
れてもよい。
D/A変換器251によって生成された周波数トラック信号
Ftrackは、受信器84のRF部に含まれる局部発振器396の
周波数を調整するために使用される。さらに、受信器84
は、局部発振器396に与えられた周波数に応じて受信RF
信号の周波数を変換するミクサ398を含む。IF信号はIF
処理部402に供給され、IF処理部402の出力はA/D変換器2
66によってサンプリングされ、I/Q位相発生器214に供給
される。このように、図14Aの周波数トラッキングルー
プは、受信RF搬送波周波数の閉ループディジタルトラッ
キングを容易にする。さらに、図14Aに示されるディジ
タル化によって、所望のループ応答を得られるようにパ
ラメータFGAINをプログラムできる。
B.音声1/10フィルタ 図15を参照すると、音声1/10フィルタ102の好適な実施
の形態のブロック図が示されている。1/10フィルタは、
I/QFM復調器96から送られる復調周波数信号を低速のデ
ータレートに変換する。本実施の形態において、I/QFM
復調器によって生成された40kspsの8ビットのデータ
は、フィルタ102内で、20キロサンプル/秒(ksps)の1
2ビットのデータに変換される。
図15のフィルタ構造は1組のカスケード接続SINCフィ
ルタ(すなわち、sinc(x)=sin(x)/x)に依存
し、ハードウェアの実行効率を達成する。特に、フィル
タ102は、2タップSINC3フィルタ416とカスケード接続
された入力3タップSINCフィルタ412を含む。SINC3フィ
ルタ416からの出力は、例えば、20kspsの速度でスイッ
チ418によってサンプリングされる。フィルタ102は、通
常、少なくとも40dBの減衰を16〜20kHzの周波数域にわ
たって供給するように設計されている。以下のz定義域
の変換関数にしたがってSINCフィルタを演算することに
よって、減衰度を変更してもよい。
C.広帯域波形タイミング/データ回復 図16を参照すると、広帯域データ回復ネットワーク10
4の例示的な実施の形態の構造が示されている。広帯域
データ回復ネットワーク104は、デュアルモード受信器1
6によって受信されマンチェスター符号化されたデータ
ストリームにタイミング回復および復号動作を行うため
に設けられている。ネットワーク104は受信器(RX)フ
ィルタ430を含み、フィルタ430はマンチェスター記号ス
トリームの整合フィルタに近い。さらに、RXフィルタ43
0は復調FM信号の帯域を限定し、対位相周波数発生器218
によって生じる高周波雑音を拒絶する。例示的な本実施
の形態において、RXフィルタ430は、13kHz程度の遮断周
波数のアナログ−4番目のバターワース(Butterwort
h)低域フィルタの特性に対してエミュレト(emulate)
するように設計されている。復調FM信号が例えば40ksps
の速度でI/Q復調器によって生成されると、RXフィルタ4
30は、z定義域変換関数(1+z−1)/2を有する2タ
ップSINCフィルタ(20kspsで0)で演算を行う。
図16を参照すると、補間フィルタ432は、RXフィルタ4
30によって生成されたフィルタ信号のサンプルレートを
増加するために備わり、タイミング回復ネットワーク43
3およびマンチェスター復調ネットワーク434による処理
に適したサンプル分解能を達成する。補間フィルタ432
は図17により詳細に示され、RXフィルタ430からの40ksp
sのサンプルストリームを受けるゼロ次ホールド436を含
む。スイッチ438は、ゼロ次ホールド436の出力を例えば
320kspsで再サンプリングし、20kbpsのマンチェスター
ストリームのタイミング回復および検出に必要な分解能
を供給する。その結果生じる再サンプリングされた320k
spsのサンプルストリームは、変換関数(1+z−1
+...+z−7)/8を有する8タップSINCフィルタ440に
よって濾波される。その結果、RXフィルタ430が生成し
た列x(n)に応じて8タップSINCフィルタ440が生成
した線形補間列y(n)は下式に示される: y(8n+k)=x(n) k=0 k=0の場合 y(8n+k)=y(8n+k−1)+(x(n)−x(n−1)/8) k=1,...7の場合 図18Aを参照すると、タイミング回復ネットワーク433
およびマンチェスター復号ネットワーク434のブロック
図が示されている。例示的な本実施の形態において、マ
ンチェスター復号ネットワーク434は1番目のディジタ
ルフェーズロックループ(DPLL)を用いて動作し、DPLL
のタイミングは、タイミング回復ネットワーク433が生
成したゼロ交差460によって決定される。図18Aに示され
るように、タイミング回復ネットワーク433は、閾値デ
ータビット470を補間速度320kspsでゼロ交差回路472に
供給するデータ閾値化回路464を含む。閾値データビッ
ト470は、入力サンプル列の符号に基づいて生成され
る。特に、各ゼロ交差460は、閾値データビット470の連
続するデータビットの排他的論理和(XOR'ing)を求め
ることによって、ゼロ交差回路472内で生成される。マ
ンチェスター復号ネットワーク434のDPLLは、20kspsの
マンチェスター符号レートにロックするように設計され
ている。復号ネットワーク434はゼロ交差サンプル位相
検出器480を含み、検出器480は、各ゼロ交差460の発生
時に位相累算器484の出力をサンプリングするように動
作する。好適な実施の形態において、位相検出器480は
位相累算器の3つの最上位ビット(MSB)から抽出され
た3ビットのエラー信号を生成し、1/2の最下位ビット
(LSB)オフセットは位相累算器に加えられ、バイアス
をかけていない4ビットの位相エラー推定値を求める。
位相検出器480が生成した検出位相サンプルは、それぞ
れ、受信器の制御処理装置から送られるループゲインシ
フト(LSHIFT)コマンドにしたがって、ループゲインス
ケーリングレジスタ490内でビットシフトされる。スケ
ーリングレジスタ490で行われたビットシフトの大きさ
は、時間定数とともにネットワーク434のDPLLの帯域幅
を決定する。例示的一組のLSHIFT値に関連する時間定
数,及びループ帯域幅は、図18Bに示されている。
図18Bに示されるように、好適な実施の形態におい
て、LSHIFT値は5〜8で、80Hz〜10Hzのループ帯域幅に
相当する。
図18Aを参照すると、位相累算器484は、例えば320kHz
のレートで同一位相増分して更新される。例示的な本実
施の形態において、各固定位相増分が1/8になるように
選択されることによって、位相累算器484は、320kHzで
マンチェスター符号の16クロックサイクル毎に1回の割
合で−1〜+1の間を傾斜(ramping)する。一般に、
位相累算器484は±1のオーバフローで完了する(wra
p)ように動作する。
動作時、図18AのDPLLはフェーズロックを行い、入力
信号のゼロ交差460は位相累算器484から出力された±1
のゼロ交差に一致する。原理上、この一致は位相検出器
480から送られるゼロの平均サンプル位相エラーになる
が、様々な要素(例えば、量子化時間分解能および信号
雑音)は、非ゼロサンプル位相エラーを発生させること
によって連続的なループ動作を確実に行う。特定の動作
例として、例えば、±1信号が遷移する場合、位相累算
器484から入力信号のゼロ交差460が「立ち上がる(lead
yng)」。この場合、その結果生じる正エラー信号は、
減算器498に供給される次の1/8位相増分から減算され
る。それによって、入力ゼロ交差460に対する累算器484
の出力の位相立ち上がりが小さくなる。
図18Aを参照すると、回復マンチェスタークロック502
は累算器484で累積された位相エラーの符号から導出さ
れる。フェーズロック時、回復マンチェスタークロック
502の正遷移は、ラッチ506が生成した回復マンチェスタ
ーデータ504の符号間遷移に対応する。特に、ラッチ506
が回復マンチェスタークロック信号502の立ち下がりで
閾値データ信号470をラッチすることによって、マンチ
ェスターデータ504は発生する。
図19Aは、広帯域データ回復ネットワーク104に含まれ
るNRZデコーダ520の部分概略図である。図19Aを参照す
ると、広帯域データ回復ネットワーク104から送られる
マンチェスターデータ504は入力シフトレジスタ524およ
び526を介して転送され、シフトレジスタ524および526
から、信号線路528および530上の連続するマンチェスタ
ー符号が出力される。マンチェスター符号は、それぞ
れ、マルチプレクサ534および第1のXORゲート536に供
給される。また、マンチェスター符号530は第2のXORゲ
ート542に供給され、さらに、入力シフトレジスタ540を
通過する。図19Aに示されるように、レジスタ540の出力
は第2のXORゲート542に供給される。
好適な実施の形態において、NRZデコーダ520はランダ
ムウォークフィルタ(RWF)550を含み、RWF550は、XOR
ゲート536および542の出力に応じてNRZデータおよびク
ロック位相を回復させるため設けられている。NRZデコ
ーダ520はNRZビットクロック554も備え、NRZビットクロ
ック554は、回復マンチェスタークロック信号502が二分
回路556を通過することによって得られる。しかしなが
ら、マンチェスタークロック502とNRZビットクロック55
4の間に180゜位相の象限不明が存在するので、NRZビッ
トクロック554固有のタイミング情報のみではマンチェ
スターデータからNRZデータへ直接変換できない。本発
明に従って,回復マンチェスターデータ504固有のエラ
ー検出特性に依存することによって、この位相象限不明
はRWF550で解決される。すなわち、連続するマンチェス
ター符号の排他的論理和が常に均一であることがマンチ
ェスターデータストリームの特徴である。
図19Aを参照すると、連続するマンチェスター符号528
および530の各々は,1対の存在し得るNRZ復号データ値に
相当する。RWF550は、回復マンチェスターデータを処理
することによって、マルチプレクサ534を介してNRZ値の
1つを選択し、もっともあり得るNRZサンプル位相を決
定する。特に、RWF550のNRZ論理560は排他的−ORゲート
542および536からの出力を受け入れる。以下、排他的−
ORゲート542および536は、それぞれ、位相有効表示の位
相1(P1)および位相2(P2)と呼ぶ。位相有効表示P1
およびP2は、それぞれ、NRZデータビット530および528
で識別され、NRZデータビット530および528はマルチプ
レクサ534に供給される。回復NRZクロック554の立ち上
がりで、RWF550の累積器562は、NRZ論理560から増分さ
れた増分値(INC)を供給される。
好適な実施の形態において、増分値(INC)は0また
は±1のいずれかであり、上記のマンチェスターエラー
検出にしたがって位相有効表示P1およびP2を分析するこ
とによって、NRZ論理560によって生成される。なお、所
定の3つ連続するマンチェスター符号の場合、P1は第1
および第2の符号の排他的論理和を演算することによっ
て求められ、P2は第2および第3の符号の排他的論理和
を演算することによって求められる。位相有効表示P1お
よびP2は、1に設定された場合、「正確」である。1に
設定することによって、連続する符号の排他的論理和が
均一であるというマンチェスターエラー検出の制限を満
たすからである。P1が正確でP2が不正確な場合、累積器
562が増分される。逆の場合(すなわち、P1=0、P2=
1の場合)、累積器562は減分される。P1およびP2が同
一値の場合、累積器562は増分も減分もされない。図19b
は、NRZ論理560の動作モードの概略を表で示す。
本実施の形態において、累積器562は6ビットの幅な
ので、−31または+32で飽和する。正確なNRZ符号は、
累積器562に記憶された値の符号に基づいてマルチプレ
クサ534によって選択される。特に、正累積値は出力レ
ジスタ566に供給される信号線路530上のマンチェスター
符号になる。一方、マルチプレクサ534は、負累積値に
応じて、信号線路528上のマンチェスター符号をレジス
タ566に送る。
NRZデコーダ520は、自己同期するのに有利に設計され
ている。すなわち、デコーダ520は、システム始動時に
初期化を必要としない。さらに、正確なNRZ位相を達成
する最悪な場合「ウォーク」は、6ビットの累積器を含
むRWF550を用いるとき、32である。
好適な実施の形態において、出力レジスタ566から送
られるNRZデータの連続ストリームは、デュアルモード
送信器14によって符号化された様々な形式のメッセージ
を含んでもよい。連続NRZデータからこのようなメッセ
ージ情報を識別し抽出する技術は当業者によって行われ
ることは明らかである。
好適な実施の形態の上記説明によって、当業者は本発
明を行ったり用いることができる。これらの実施の形態
の様々な変形は当業者には明らかであり、本明細書に記
載された基本原理は、発明的能力を用いることなく他の
実施の形態に適用してもよい。このため、本発明は本明
細書に記載の実施の形態に限定されず、本明細書記載の
原理および新規性と一致した広範囲の発明であることを
意図する。
フロントページの続き (56)参考文献 特開 昭64−51837(JP,A) 特表 平6−507768(JP,A) (58)調査した分野(Int.Cl.7,DB名) H04J 13/00 - 13/06 H04B 1/69 - 1/713

Claims (6)

    (57)【特許請求の範囲】
  1. 【請求項1】周波数変調(FM)モード時にはFM信号を使
    用して、及び符号分割多元接続(CDMA)モード時にはCD
    MA信号を使用して、情報信号を送信するためのデユアル
    モード送信器であって、 ディジタルFM音声信号を発生するディジタル信号処理装
    置; 前記ディジタル信号処理装置に接続され、広帯域データ
    信号を発生する広帯域データ発生器;前記広帯域データ
    発生器は、 非ゼロ復帰入力データ信号を受信するデータレジスタ; 前記データレジスタに接続され、前記非ゼロ復帰入力デ
    ータ信号に応じて前記広帯域データ信号の一部を発生す
    る第1のマルチプレクサ; 前記第1のマルチプレクサに接続され、前記広帯域デー
    タ信号の前記発生された部分を逆変換するインバータ;
    及び 前記インバータ、前記データレジスタ及び前記第1のマ
    ルチプレクサに接続され、前記広帯域データ信号の前記
    部分を前記広帯域データ信号の前記逆変換された部分と
    多重化し、これにより前記広帯域データ信号を発生す
    る、第2のマルチプレクサを含む、 前記広帯域データ発生器に接続され、前記広帯域データ
    信号を前記ディジタルFM音声信号と結合し、これにより
    複合ディジタルFM信号を正規する結合器; 前記結合器に接続され、前記複合ディジタルFM信号とCD
    MAデータ信号を受信し、そして前記FMモード時には前記
    複合ディジタルFM信号を送信器に供し、前記CDMAモード
    時には前記CDMAデータ信号を前記送信器に供するモード
    スイッチ、前記送信器は前記情報信号をアップコンバー
    トして送信する; を具備するデユアルモード送信器。
  2. 【請求項2】請求項1に記載されたデユアルモード送信
    器であって、 前記ディジタル信号処理装置と前記結合器との間に設置
    されそれらに接続された、前記ディジタルFM音声信号を
    アップサンプリングする音声補間フィルタ;及び 前記結合器及び前記モードスイッチとの間に設置されそ
    れらに接続された、前記複合ディジタルFM信号を拡大縮
    小する乗算器、 を具備するデユアルモード送信器。
  3. 【請求項3】情報信号を受信するデユアルモード受信器
    であって、前記情報信号はFMモード時には複合ディジタ
    ルFM音声及び広帯域データ信号を表し、前記情報信号は
    CDMAモード時には符号分割多元接続(CDMA)データ信号
    を表しており、前記デユアルモード受信器は、 前記情報信号をダウンコンバートするダウンコンバータ
    であって、前記FMモード時には同位相(I)及び直交位
    相(Q)のアナログFM信号を供し、前記I及びQのアナ
    ログFM信号は予め定められたオフセット極限値までゼロ
    周波数からオフセットされており、前記CDMAモード時に
    は同位相(I)及び直交位相(Q)のアナログCDMA信号
    を供する、ダウンコンバータ; 前記I及びQアナログFM信号をI及びQディジタルFM信
    号に変換し、及び前記I及びQアナログCDMA信号をI及
    びQディジタルCDMA信号に変換する、前記ダウンコンバ
    ータに接続されたデユアルモードインタフエイスであっ
    て、前記デユアルモードインターフエイスはさらに前記
    I及びQディジタルFM信号をディジタルFM復調器に伝送
    し、及び前記I及びQディジタルCDMA信号をCDMA復調器
    に伝送する、デユアルモードインタフエイス; 前記CDMA復調器は、前記デユアルモードインターフエイ
    スに接続され、前記I及びQディジタルCDMA信号をディ
    ジタル的に復調し、これにより前記CDMAデータ信号を回
    復する、前記CDMA復調器; 前記ディジタルFM復調器は、前記デユアルモードインタ
    フエイスに接続され、前記I及びQディジタルFM信号を
    ディジタル的に復調し、これにより前記複合ディジタル
    FM音声及び広帯域モード信号を回復する前記ディジタル
    FM復調器;前記ディジタルFM復調器は、 前記I及びQディジタルFM信号をディジタル的に濾波
    し、前記予め定められたオフセット極限値を消去し、そ
    してI及びQディジタルFMベースバンド信号を生起する
    I及びQDCオフセットループフィルタ、 前記I及びQDCオフセットループフィルタのそれぞれ
    は、 前記IまたはQディジタルFM信号の最上位ビットを抽出
    する第一の抽出レジスタ; 前記第一の抽出レジスタに接合され、前記DCオフセット
    ループフィルタの時間定数を調整するシフトレジスタ; 前記シフトレジスタに接続され、前記抽出された最上位
    ビットを累積する塁算器; 前記塁算器に接続され、前記累積された最上位ビットか
    ら予め定められた数の上位ビットを抽出する、第二の抽
    出レジスタ;及び 前記第二の抽出レジスタに接続され、前記抽出された予
    め定められた数の上位ビットをアナログDCオフセット修
    正信号に変換するコンバータ; を具備する、 前記I及びQディジタルFMベースバンド信号の受信信号
    強度を測定し、前記受信器の可変ゲインを前記受信信号
    強度測定値に応じて変える、ディジタル自動ゲイン制御
    ネットワーク;及び 前記複合ディジタルFM音声及び広帯域データ信号をディ
    ジタル的に濾波し、及び前記ディジタル的に濾波された
    複合ディジタルFM音声信号と広帯域データ信号に応じ
    て、前記受信器の局部発信周波数を調整する周波数トラ
    ッキングループフィルタ、 を具備する、 前記ディジタルFM復調器に接続され、前記複合ディジタ
    ルFM音声及び広帯域データ信号から前記広帯域データ信
    号を回復する広帯域データ回復ネットワーク;及び 前記広帯域データ回復ネットワークに接続され、前記広
    帯域データ信号をデコードする広帯域メッセージデコー
    ダ; を具備する、デユアルモード受信器。
  4. 【請求項4】請求項3記載のデユアルモード受信器であ
    って、前記自動ゲイン制御ネットワークは、 少なくとも前記IディジタルFMベースバンド信号又は前
    記QディジタルFMベースバンド信号のいずれかの絶対値
    の最大値の、前記IディジタルFMベースバンド信号又は
    前記QディジタルFMベースバンド信号のいずれかの前記
    絶対値の最小値に対する比に基づいて、前記I及びQデ
    ィジタルFMベースバンド信号の受信した信号強度を計算
    する受信信号強度測定回路; 前記受信信号強度測定回路に接続され、前記計算された
    受信信号強度から基準レベルを減算するディジタル減算
    器であって、ディジタルAGCエラー信号を供する前記デ
    ィジタル減算器; 前記ディジタル減算器に接続され、飽和の上限と飽和の
    下限との間で前記ディジタルAGCエラー信号を積分する
    積分器;及び 前記積分器に接続され、前記積分されたディジタルAGC
    エラー信号をアナログAGCエラー信号に変換するコンバ
    ータ; を具備する自動ゲイン制御ネットワーク。
  5. 【請求項5】請求項3記載のデュアルモード受信器であ
    って、前記周波数トラッキングループフィルタは、 基準周波数を前記複合ディジタルFM音声信号及び広帯域
    データ信号の周波数から減算し、それによりディジタル
    周波数エラー信号を供するディジタル減算器; 前記ディジタル減算器に接続され、ゲイン信号に応じて
    前記周波数トランキングループフィルタの時定数を調整
    するシフトレジスタ; 前記シフトレジスタに接続され、前記シフトディジタル
    周波数エラー信号を累積する塁算器;及び 前記塁算器に切初され、前記累積されたシフトディジタ
    ル周波数エラー信号をアナログ周波数エラー信号に変換
    するコンバータ; を具備するデュアルモード受信器。
  6. 【請求項6】請求項3記載のデュアルモード受信器であ
    って、前記広帯域データ回復ネットワークは、 マンチエスター符号化された非ゼロ復帰(NRZ)データ
    信号を複合ディジタルFM音声及び広帯域データ信号から
    回復するディジタル位相ロックループ;及び 前記マンチエスター符号化されたNRZデータ信号を復号
    するNRZデコーダ; を具備するデュアルモード受信器。
JP52049296A 1994-12-23 1995-12-19 デュアルモードディジタルfm通信システム Expired - Fee Related JP3436372B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US37312294A 1994-12-23 1994-12-23
US373,122 1994-12-23
PCT/US1995/016363 WO1996020540A2 (en) 1994-12-23 1995-12-19 Dual-mode fm/cdma communication system

Publications (2)

Publication Number Publication Date
JPH10511525A JPH10511525A (ja) 1998-11-04
JP3436372B2 true JP3436372B2 (ja) 2003-08-11

Family

ID=23471060

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52049296A Expired - Fee Related JP3436372B2 (ja) 1994-12-23 1995-12-19 デュアルモードディジタルfm通信システム

Country Status (21)

Country Link
US (1) US5757858A (ja)
EP (1) EP0799530B1 (ja)
JP (1) JP3436372B2 (ja)
KR (1) KR100427836B1 (ja)
CN (1) CN1098569C (ja)
AR (1) AR000415A1 (ja)
AT (1) ATE264025T1 (ja)
AU (1) AU700691B2 (ja)
BR (1) BR9510534A (ja)
CA (1) CA2208081C (ja)
DE (1) DE69532863T2 (ja)
ES (1) ES2222469T3 (ja)
FI (1) FI972590A (ja)
HK (1) HK1003689A1 (ja)
IL (1) IL116475A (ja)
MX (1) MX9704695A (ja)
MY (1) MY114286A (ja)
RU (1) RU2142205C1 (ja)
TW (1) TW294867B (ja)
WO (1) WO1996020540A2 (ja)
ZA (1) ZA9510509B (ja)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2705538B2 (ja) * 1993-09-22 1998-01-28 日本電気株式会社 Cdmaモード及びfmモードの共用受信機
JP2822975B2 (ja) * 1996-04-09 1998-11-11 日本電気株式会社 受信機
US6678311B2 (en) 1996-05-28 2004-01-13 Qualcomm Incorporated High data CDMA wireless communication system using variable sized channel codes
EP1538799A3 (en) * 1997-03-12 2007-12-26 Interdigital Technology Corporation Phase-locked loop with continuously adjustable bandwidth
US6055231A (en) 1997-03-12 2000-04-25 Interdigital Technology Corporation Continuously adjusted-bandwidth discrete-time phase-locked loop
US6137826A (en) 1997-11-17 2000-10-24 Ericsson Inc. Dual-mode modulation systems and methods including oversampling of narrow bandwidth signals
KR100626960B1 (ko) * 1997-11-17 2006-09-26 에릭슨 인크. 협대역폭 신호의 오버샘플링 및 dc 오프셋 보상을 갖는 변조 시스템과 방법
US6100827A (en) * 1998-09-11 2000-08-08 Ericsson Inc. Modulation systems and methods that compensate for DC offset introduced by the digital-to-analog converter and/or the low pass filter thereof
AU1382199A (en) * 1997-11-17 1999-06-07 Ericsson Inc. Modulation systems and methods including oversampling of narrow bandwidth signals and dc offset compensation
US6002726A (en) * 1997-11-21 1999-12-14 Lucent Technologies Inc. FM discriminator with automatic gain control for digital signal processors
US8050345B1 (en) * 1999-08-09 2011-11-01 Kamilo Feher QAM and GMSK systems
US7593481B2 (en) 1998-08-31 2009-09-22 Kamilo Feher CDMA, W-CDMA, 3rd generation interoperable modem format selectable (MFS) systems with GMSK modulated systems
US7079584B2 (en) * 1998-08-10 2006-07-18 Kamilo Feher OFDM, CDMA, spread spectrum, TDMA, cross-correlated and filtered modulation
US7415066B2 (en) * 1998-08-10 2008-08-19 Kamilo Feher Mis-matched modulation-demodulation format selectable filters
US6470055B1 (en) * 1998-08-10 2002-10-22 Kamilo Feher Spectrally efficient FQPSK, FGMSK, and FQAM for enhanced performance CDMA, TDMA, GSM, OFDN, and other systems
US7548787B2 (en) 2005-08-03 2009-06-16 Kamilo Feher Medical diagnostic and communication system
US6061551A (en) 1998-10-21 2000-05-09 Parkervision, Inc. Method and system for down-converting electromagnetic signals
US7515896B1 (en) 1998-10-21 2009-04-07 Parkervision, Inc. Method and system for down-converting an electromagnetic signal, and transforms for same, and aperture relationships
US6317765B1 (en) * 1998-09-16 2001-11-13 Cirrus Logic, Inc. Sinc filter with selective decimation ratios
US7236754B2 (en) 1999-08-23 2007-06-26 Parkervision, Inc. Method and system for frequency up-conversion
US7039372B1 (en) 1998-10-21 2006-05-02 Parkervision, Inc. Method and system for frequency up-conversion with modulation embodiments
US6370371B1 (en) 1998-10-21 2002-04-09 Parkervision, Inc. Applications of universal frequency translation
FI112741B (fi) * 1998-11-26 2003-12-31 Nokia Corp Menetelmä ja järjestely RF-signaalien lähettämiseksi ja vastaanottamiseksi tiedonsiirtojärjestelmien erilaisissa radiorajapinnoissa
US7209725B1 (en) 1999-01-22 2007-04-24 Parkervision, Inc Analog zero if FM decoder and embodiments thereof, such as the family radio service
US6853690B1 (en) 1999-04-16 2005-02-08 Parkervision, Inc. Method, system and apparatus for balanced frequency up-conversion of a baseband signal and 4-phase receiver and transceiver embodiments
US6879817B1 (en) * 1999-04-16 2005-04-12 Parkervision, Inc. DC offset, re-radiation, and I/Q solutions using universal frequency translation technology
US7693230B2 (en) 1999-04-16 2010-04-06 Parkervision, Inc. Apparatus and method of differential IQ frequency up-conversion
US7110444B1 (en) 1999-08-04 2006-09-19 Parkervision, Inc. Wireless local area network (WLAN) using universal frequency translation technology including multi-phase embodiments and circuit implementations
US7065162B1 (en) 1999-04-16 2006-06-20 Parkervision, Inc. Method and system for down-converting an electromagnetic signal, and transforms for same
US6141389A (en) * 1999-07-09 2000-10-31 Sicom, Inc. Digital tuner
US8295406B1 (en) 1999-08-04 2012-10-23 Parkervision, Inc. Universal platform module for a plurality of communication protocols
US9813270B2 (en) 1999-08-09 2017-11-07 Kamilo Feher Heart rate sensor and medical diagnostics wireless devices
US9373251B2 (en) 1999-08-09 2016-06-21 Kamilo Feher Base station devices and automobile wireless communication systems
US9307407B1 (en) 1999-08-09 2016-04-05 Kamilo Feher DNA and fingerprint authentication of mobile devices
US7260369B2 (en) 2005-08-03 2007-08-21 Kamilo Feher Location finder, tracker, communication and remote control system
US6963734B2 (en) * 1999-12-22 2005-11-08 Parkervision, Inc. Differential frequency down-conversion using techniques of universal frequency translation technology
US6721548B1 (en) * 1999-12-22 2004-04-13 Koninklijke Philips Electronics N.V. High dynamic range low ripple RSSI for zero-IF or low-IF receivers
US7010286B2 (en) 2000-04-14 2006-03-07 Parkervision, Inc. Apparatus, system, and method for down-converting and up-converting electromagnetic signals
US6993105B1 (en) * 2000-05-09 2006-01-31 Cypress Semiconductor Corp. Linearized digital phase-locked loop
KR100403724B1 (ko) * 2000-06-28 2003-10-30 삼성전자주식회사 이동통신시스템에서 에프엠 디지털 데이터 프레임을복조하는 디지털 에프.엠 수신기
FI114261B (fi) * 2000-09-12 2004-09-15 Nokia Corp Lähetin ja langaton viestintälaite
US7454453B2 (en) 2000-11-14 2008-11-18 Parkervision, Inc. Methods, systems, and computer program products for parallel correlation and applications thereof
US7003024B2 (en) * 2000-12-01 2006-02-21 Hitachi, Ltd. Semiconductor device
JP3703083B2 (ja) * 2001-01-10 2005-10-05 松下電器産業株式会社 波形発生装置
ES2364630T3 (es) * 2001-02-16 2011-09-08 Qualcomm Incorporated Arquitectura de receptor de conversión directa.
US7076225B2 (en) 2001-02-16 2006-07-11 Qualcomm Incorporated Variable gain selection in direct conversion receiver
US20020126770A1 (en) * 2001-03-09 2002-09-12 Behrouz Pourseyed Method and system for acquiring narrowband channel information over a wideband channel receiver
US6674999B2 (en) * 2001-03-16 2004-01-06 Skyworks Solutions, Inc Dynamically varying linearity system for an RF front-end of a communication device
GB2374219B (en) * 2001-04-06 2004-10-13 Nokia Corp A receiver
US6724247B2 (en) 2001-09-13 2004-04-20 Telefonaktiebolaget Lm Ericsson (Publ) FM demodulator having DC offset compensation
US7085335B2 (en) * 2001-11-09 2006-08-01 Parkervision, Inc. Method and apparatus for reducing DC offsets in a communication system
US7072427B2 (en) 2001-11-09 2006-07-04 Parkervision, Inc. Method and apparatus for reducing DC offsets in a communication system
US7024169B2 (en) 2002-01-25 2006-04-04 Qualcomm Incorporated AMPS receiver using a zero-IF architecture
EP1476974A4 (en) * 2002-02-21 2005-05-04 Analog Devices Inc RADIO OF THREE ME G N RATION
GB0204108D0 (en) * 2002-02-21 2002-04-10 Analog Devices Inc 3G radio
US7460584B2 (en) 2002-07-18 2008-12-02 Parkervision, Inc. Networking methods and systems
US7379883B2 (en) 2002-07-18 2008-05-27 Parkervision, Inc. Networking methods and systems
US7221915B2 (en) * 2003-06-25 2007-05-22 M/A-Com, Inc. Electromagnetic wave transmitter, receiver and transceiver systems, methods and articles of manufacture
US7151913B2 (en) * 2003-06-30 2006-12-19 M/A-Com, Inc. Electromagnetic wave transmitter, receiver and transceiver systems, methods and articles of manufacture
US7751496B2 (en) * 2003-06-25 2010-07-06 Pine Valley Investments, Inc. Electromagnetic wave transmitter, receiver and transceiver systems, methods and articles of manufacture
TW200409454A (en) * 2002-11-18 2004-06-01 Winbond Electronics Corp Automatic gain controller and its controlling method
US7394870B2 (en) * 2003-04-04 2008-07-01 Silicon Storage Technology, Inc. Low complexity synchronization for wireless transmission
US7221918B1 (en) * 2003-08-11 2007-05-22 National Semiconductor Corporation Digital DC-offset correction circuit for an RF receiver
US8050640B2 (en) * 2003-10-20 2011-11-01 Avaak, Inc. Diverse antenna system
US7356091B2 (en) * 2003-12-09 2008-04-08 M/A-Com, Inc. Apparatus, methods and articles of manufacture for signal propagation using unwrapped phase
US7057543B2 (en) * 2004-04-29 2006-06-06 Invensys Systems, Inc. Low power method and interface for generating analog waveforms
US7643249B2 (en) * 2004-10-04 2010-01-05 Tdk Corporation Supporting mechanism for magnetic head slider and testing method for the magnetic head slider
US7826581B1 (en) 2004-10-05 2010-11-02 Cypress Semiconductor Corporation Linearized digital phase-locked loop method for maintaining end of packet time linearity
US7359449B2 (en) * 2004-10-05 2008-04-15 Kamilo Feher Data communication for wired and wireless communication
US7421004B2 (en) * 2004-10-05 2008-09-02 Kamilo Feher Broadband, ultra wideband and ultra narrowband reconfigurable interoperable systems
US7298423B1 (en) * 2004-11-29 2007-11-20 Cirrus Logic, Inc. Time based digital FM demodulator
CN101120400B (zh) * 2005-01-31 2013-03-27 斯凯普有限公司 在通信系统中生成隐藏帧的方法
TWI285568B (en) * 2005-02-02 2007-08-21 Dowa Mining Co Powder of silver particles and process
US7920658B2 (en) 2005-03-10 2011-04-05 Qualcomm Incorporated Efficient method to compute one shot frequency estimate
US8811468B2 (en) * 2005-05-26 2014-08-19 Broadcom Corporation Method and system for FM interference detection and mitigation
US10009956B1 (en) 2017-09-02 2018-06-26 Kamilo Feher OFDM, 3G and 4G cellular multimode systems and wireless mobile networks
US7280810B2 (en) * 2005-08-03 2007-10-09 Kamilo Feher Multimode communication system
US20070126552A1 (en) * 2005-12-06 2007-06-07 The Chamberlain Group, Inc. Secure spread spectrum-facilitated remote control signaling method and apparatus
US20080137715A1 (en) * 2005-12-06 2008-06-12 The Chamberlain Group, Inc. Secure spread spectrum-facilitated remote control signaling method and apparatus
US7535685B2 (en) * 2006-01-31 2009-05-19 Amperion, Inc. Radio frequency signal coupler, coupling system and method
US8920343B2 (en) 2006-03-23 2014-12-30 Michael Edward Sabatino Apparatus for acquiring and processing of physiological auditory signals
US20080130607A1 (en) * 2006-11-30 2008-06-05 Junqiang Li Method and System for Multimode DC Offset Compensation
US7912437B2 (en) * 2007-01-09 2011-03-22 Freescale Semiconductor, Inc. Radio frequency receiver having dynamic bandwidth control and method of operation
US20080194302A1 (en) * 2007-02-12 2008-08-14 Broadcom Corporation Mobile phone with an antenna structure having improved performance
JP4874919B2 (ja) * 2007-10-01 2012-02-15 株式会社東芝 無線装置
US8331892B2 (en) * 2008-03-29 2012-12-11 Qualcomm Incorporated Method and system for DC compensation and AGC
US8625724B2 (en) * 2009-03-10 2014-01-07 Qualcomm Incorporated Adaptive tracking steps for time and frequency tracking loops
US8319673B2 (en) * 2010-05-18 2012-11-27 Linear Technology Corporation A/D converter with compressed full-scale range
GB201114079D0 (en) * 2011-06-13 2011-09-28 Neul Ltd Mobile base station
JP6037156B2 (ja) * 2011-08-24 2016-11-30 ソニー株式会社 符号化装置および方法、並びにプログラム
CN103905074A (zh) * 2012-12-27 2014-07-02 深圳富泰宏精密工业有限公司 无线通信装置
CN104104402A (zh) * 2013-04-07 2014-10-15 深圳富泰宏精密工业有限公司 电子装置
RU2552150C1 (ru) * 2014-06-26 2015-06-10 федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Южно-Российский государственный политехнический университет (НПИ) имени М.И. Платова" Способ детектирования параметров синусоидального сигнала
EP3260977B1 (en) * 2016-06-21 2019-02-20 Stichting IMEC Nederland A circuit and a method for processing data
RU2691384C1 (ru) * 2018-07-23 2019-06-13 Акционерное общество "Концерн "Созвездие" Способ передачи информации широкополосными сигналами
US10812216B2 (en) 2018-11-05 2020-10-20 XCOM Labs, Inc. Cooperative multiple-input multiple-output downlink scheduling
US10659112B1 (en) 2018-11-05 2020-05-19 XCOM Labs, Inc. User equipment assisted multiple-input multiple-output downlink configuration
US10756860B2 (en) 2018-11-05 2020-08-25 XCOM Labs, Inc. Distributed multiple-input multiple-output downlink configuration
US10432272B1 (en) 2018-11-05 2019-10-01 XCOM Labs, Inc. Variable multiple-input multiple-output downlink user equipment
WO2020112840A1 (en) 2018-11-27 2020-06-04 XCOM Labs, Inc. Non-coherent cooperative multiple-input multiple-output communications
US11063645B2 (en) 2018-12-18 2021-07-13 XCOM Labs, Inc. Methods of wirelessly communicating with a group of devices
US10756795B2 (en) 2018-12-18 2020-08-25 XCOM Labs, Inc. User equipment with cellular link and peer-to-peer link
US11330649B2 (en) 2019-01-25 2022-05-10 XCOM Labs, Inc. Methods and systems of multi-link peer-to-peer communications
US10756767B1 (en) 2019-02-05 2020-08-25 XCOM Labs, Inc. User equipment for wirelessly communicating cellular signal with another user equipment
US10735057B1 (en) 2019-04-29 2020-08-04 XCOM Labs, Inc. Uplink user equipment selection
US10686502B1 (en) 2019-04-29 2020-06-16 XCOM Labs, Inc. Downlink user equipment selection
US11411778B2 (en) 2019-07-12 2022-08-09 XCOM Labs, Inc. Time-division duplex multiple input multiple output calibration
US11381430B2 (en) 2020-03-19 2022-07-05 Cypress Semiconductor Corporation Phase/frequency tracking transceiver
US11411779B2 (en) 2020-03-31 2022-08-09 XCOM Labs, Inc. Reference signal channel estimation

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5718145A (en) * 1980-07-09 1982-01-29 Toshiba Corp Signal separation system
US4577157A (en) * 1983-12-12 1986-03-18 International Telephone And Telegraph Corporation Zero IF receiver AM/FM/PM demodulator using sampling techniques
US4603300A (en) * 1984-09-21 1986-07-29 General Electric Company Frequency modulation detector using digital signal vector processing
JPS61171207A (ja) * 1985-01-25 1986-08-01 Nec Corp 受信機
US4680749A (en) * 1985-05-15 1987-07-14 General Electric Company Duplex radio transceiver having improved data/tone and audio modulation architecture
US4675882A (en) * 1985-09-10 1987-06-23 Motorola, Inc. FM demodulator
US4878035A (en) * 1988-05-27 1989-10-31 Wavetek Rf Products, Inc. Hybrid frequency shift keying modulator and method
CA1335612C (en) * 1988-06-28 1995-05-16 Genichi Fujiwara Voice frequency communication apparatus
US4944025A (en) * 1988-08-09 1990-07-24 At&E Corporation Direct conversion FM receiver with offset
US4985684A (en) * 1989-08-31 1991-01-15 Motorola, Inc. Fully integrated digital FM discriminator
US5003621A (en) * 1989-11-02 1991-03-26 Motorola, Inc. Direct conversion FM receiver
JP2912664B2 (ja) * 1990-03-02 1999-06-28 正雄 中川 移動体通信方法
US5010585A (en) * 1990-06-01 1991-04-23 Garcia Rafael A Digital data and analog radio frequency transmitter
US5163159A (en) * 1990-07-30 1992-11-10 Motorola, Inc. Dual mode automatic frequency control
US5107225A (en) * 1990-11-30 1992-04-21 Qualcomm Incorporated High dynamic range closed loop automatic gain control circuit
US5212826A (en) * 1990-12-20 1993-05-18 Motorola, Inc. Apparatus and method of dc offset correction for a receiver
GB9107147D0 (en) * 1991-04-05 1991-05-22 Philips Electronic Associated Frequency tracking arrangement,corresponding method of frequency tracking and a radio receiver embodying such a method
JPH04310037A (ja) * 1991-04-09 1992-11-02 Nec Corp Fsk受信機
US5309479A (en) * 1991-04-29 1994-05-03 Hughes Aircraft Company Low Cost Ku band transmitter
US5111163A (en) * 1991-05-06 1992-05-05 Hughes Aircraft Company Digital FM modulator
ATE233971T1 (de) * 1991-05-13 2003-03-15 Xircom Wireless Inc Sender/empfänger mit zwei betriebsarten
US5414736A (en) * 1991-08-12 1995-05-09 Matsushita Electric Industrial Co., Ltd. FSK data receiving system
GB9209027D0 (en) * 1992-04-25 1992-06-17 British Aerospace Multi purpose digital signal regenerative processing apparatus
US5289464A (en) * 1992-09-21 1994-02-22 At&T Bell Laboratories Frequency-multiplexed cellular telephone cell site base station and method of operating the same
US5299228A (en) * 1992-12-28 1994-03-29 Motorola, Inc. Method and apparatus of reducing power consumption in a CDMA communication unit
US5475705A (en) * 1993-04-29 1995-12-12 Ericsson Ge Mobile Communications Inc. Demodulator for Manchester-coded FM signals
US5436955A (en) * 1993-07-30 1995-07-25 Hughes Aircraft Company Compatible analog channel unit for a digital cellular telephone system
US5422931A (en) * 1993-09-22 1995-06-06 Hughes Aircraft Company Dual mode portable cellular telephone having switch control of the rf signal path to effectuate power savings
US5539770A (en) * 1993-11-19 1996-07-23 Victor Company Of Japan, Ltd. Spread spectrum modulating apparatus using either PSK or FSK primary modulation
US5640385A (en) * 1994-01-04 1997-06-17 Motorola, Inc. Method and apparatus for simultaneous wideband and narrowband wireless communication
US5451948A (en) * 1994-02-28 1995-09-19 Cubic Communications, Inc. Apparatus and method for combining analog and digital automatic gain control in receivers with digital signal processing
ZA95605B (en) * 1994-04-28 1995-12-20 Qualcomm Inc Method and apparatus for automatic gain control and dc offset cancellation in quadrature receiver
US5436590A (en) * 1994-08-25 1995-07-25 Northern Telecom Limited Digital FSK demodulator with automatic offset cancellation

Also Published As

Publication number Publication date
FI972590A0 (fi) 1997-06-17
FI972590A (fi) 1997-08-25
DE69532863T2 (de) 2005-03-31
MX9704695A (es) 1997-10-31
US5757858A (en) 1998-05-26
RU2142205C1 (ru) 1999-11-27
WO1996020540A2 (en) 1996-07-04
AU4599896A (en) 1996-07-19
HK1003689A1 (en) 1998-11-06
IL116475A (en) 1999-10-28
CN1176715A (zh) 1998-03-18
TW294867B (ja) 1997-01-01
IL116475A0 (en) 1996-03-31
CN1098569C (zh) 2003-01-08
AR000415A1 (es) 1997-06-18
JPH10511525A (ja) 1998-11-04
CA2208081A1 (en) 1996-07-04
ES2222469T3 (es) 2005-02-01
DE69532863D1 (de) 2004-05-13
AU700691B2 (en) 1999-01-14
KR100427836B1 (ko) 2004-10-14
CA2208081C (en) 2004-05-25
MY114286A (en) 2002-09-30
EP0799530A2 (en) 1997-10-08
ZA9510509B (en) 1996-05-30
EP0799530B1 (en) 2004-04-07
ATE264025T1 (de) 2004-04-15
WO1996020540A3 (en) 1996-09-06
BR9510534A (pt) 1998-07-14

Similar Documents

Publication Publication Date Title
JP3436372B2 (ja) デュアルモードディジタルfm通信システム
US4881240A (en) AM equalizer circuit for digital systems
US5144256A (en) Method and apparatus for demodulating a GMSK signal
JPH09505700A (ja) 差動4周波数シフトキーイングを使用するデジタル通信装置
US5117441A (en) Method and apparatus for real-time demodulation of a GMSK signal by a non-coherent receiver
JP3316744B2 (ja) Afc回路、それを備えた受信機、及び自動周波数制御通信システム
JP2879374B2 (ja) ディジタルfsk復調器
JPH0621992A (ja) 復調器
US5787128A (en) Nonlinear signal correlator and method therefor
JP2934800B2 (ja) 多値直交振幅変調方式を用いたディジタル無線通信システム
JP3169755B2 (ja) デジタル位相変調信号を復調する方法及び装置
JP3595478B2 (ja) 周波数偏差検出器および周波数偏差検出方法
JP3089835B2 (ja) 周波数オフセット補償方法
JP3311910B2 (ja) 位相比較器及び復調器並びに通信装置
JPH1023081A (ja) 信号検出回路
JP2002217995A (ja) 搬送波再生回路および復調装置
CA1303687C (en) Subscriber unit for wireless digital telephone system
KR20120007867A (ko) 제로크로싱복조 기반 수신기 및 이의 구동 방법
JPH07221802A (ja) ディジタル復調器
WO1999063722A1 (en) Demodulation method
JPH10224416A (ja) 同期検波復調装置
IE913838L (en) A transmission system
WO1996007234A1 (en) Communication device with efficient zero-crossing generator
JPH05207087A (ja) デジタル信号受信装置
WO1999063720A1 (en) A method and a system for carrier frequency recovery

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees