JP3364903B2 - 埋込みチャネルpfetの性能および信頼性を向上させるためのディープ・ディボット・マスク - Google Patents

埋込みチャネルpfetの性能および信頼性を向上させるためのディープ・ディボット・マスク

Info

Publication number
JP3364903B2
JP3364903B2 JP29109099A JP29109099A JP3364903B2 JP 3364903 B2 JP3364903 B2 JP 3364903B2 JP 29109099 A JP29109099 A JP 29109099A JP 29109099 A JP29109099 A JP 29109099A JP 3364903 B2 JP3364903 B2 JP 3364903B2
Authority
JP
Japan
Prior art keywords
well
divot
region
forming
field effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP29109099A
Other languages
English (en)
Other versions
JP2000269441A (ja
Inventor
ハンス=オリバー・ヨアヒム
ジャック・エイ・マンデルマン
ラージェシュ・レンガラージャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
International Business Machines Corp
Original Assignee
Siemens AG
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG, International Business Machines Corp filed Critical Siemens AG
Publication of JP2000269441A publication Critical patent/JP2000269441A/ja
Application granted granted Critical
Publication of JP3364903B2 publication Critical patent/JP3364903B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に、ゲートに隣
接したシャロー・トレンチ分離領域のディボット(di
vot)の深さを調整することによって金属酸化膜半導
体電界効果トランジスタのゲートのラップアラウンド量
を制御することに関する。
【0002】
【従来の技術】トランジスタ・ウェルに隣接するシャロ
ー・トレンチ分離(STI)領域にあって、表面チャネ
ルN型金属酸化膜半導体電界効果トランジスタ(NFE
T)の犠牲酸化物層を除去した結果であるディボット
が、ゲート導体がNFETのシリコンのコーナに「ラッ
プアラウンド」する原因であることはよく認識されてい
る。このラップアラウンドによって、NFETのしきい
電圧(Vt)制御能力は不十分なものとなる。埋込みチ
ャネルPFETデバイスに隣接したこのようなディボッ
ト12、13を図1に示す。このようにしきい電圧制御
能力が不十分なため、目標オフ電流(例えば、電界効果
トランジスタがオフの場合のドレイン−ソース電流「I
off」)を満足させる目的で、ゲート導体の下のPウ
ェルのドーピング濃度が高められる。
【0003】しかし、ドーピング濃度を高めるこの従来
の解決法には問題がある。これは、Pウェルの表面濃度
を高くする(例えば、5×1017cm-3超とする)と、
アレイ接合部での漏れが急激に増大することが観察され
ているからである。STIディボットに起因するこのN
FETアレイの問題のため、ディボットの深さをできる
だけ浅くすることに大きな関心が寄せられている。
【0004】本発明は、NFETデバイスのディボット
の深さを最小限に抑え、同時に、同じウェーハ上に製造
された埋込みチャネルPFETデバイスなどの他のデバ
イスに問題が生じることを回避する。
【0005】
【発明が解決しようとする課題】したがって本発明の目
的は、1枚の半導体基板上に、埋込みチャネルP型金属
酸化膜半導体電界効果トランジスタのNウェルにラップ
アラウンドした第1のゲート導体、および埋込みチャネ
ルN型金属酸化膜半導体電界効果トランジスタのPウェ
ルにラップアラウンドしていない第2のゲート導体を形
成する方法を提供することにある。
【0006】
【課題を解決するための手段】本発明の方法は、Nウェ
ルとPウェルのそれぞれに隣接した絶縁体を形成する段
階、Pウェルをパターン形成されたマスクで保護する段
階、絶縁体のNウェルに隣接した領域に第1のディボッ
トを形成する段階、および絶縁体のPウェルに隣接した
領域に、第1のディボットよりも浅い第2のディボット
を形成する段階を含む。
【0007】第1のディボットを形成するプロセスは、
Nウェルの側面の一部から絶縁体をエッチングする段階
を含み、第2のディボットを形成するプロセスはPウェ
ルの側面の絶縁体を維持する。
【0008】この方法はさらに、ゲート導体の一部がP
ウェルの上面を覆い、ゲート導体の他の一部がNウェル
の上面および側面を覆うように、NウェルおよびPウェ
ルの上にゲート導体を形成する段階を含む。さらに、N
ウェルが表面P層内に空乏領域を有し、第1のディボッ
トが空乏領域よりも深く形成される。
【0009】他の実施形態ではこの方法が、Nウェルと
Pウェルのそれぞれに隣接した絶縁体を形成する段階、
Nウェルをパターン形成された第1のマスクで保護し、
Pウェルを露出したまま残す段階、Pウェルに第1の不
純物を注入する段階、パターン形成された第1のマスク
を除去する段階、Pウェルをパターン形成された第2の
マスクで保護し、Nウェルを露出したまま残す段階、N
ウェルに第2および第3の不純物を注入する段階、絶縁
体のNウェルに隣接した領域に第1のディボットを形成
する段階、パターン形成された第2のマスクを除去する
段階、および絶縁体のPウェルに隣接した領域に、第1
のディボットよりも浅い第2のディボットを形成する段
階を含む。
【0010】本発明はさらに、Nウェル、ならびにNウ
ェルの上面および側面の一部を覆う第1のゲート導体を
有する埋込みチャネルP型金属酸化膜半導体電界効果ト
ランジスタと、Pウェル、ならびにPウェルの上面を覆
う第2のゲート導体を有する表面チャネルN型金属酸化
膜半導体電界効果トランジスタとを有する半導体構造を
含む。
【0011】本発明の構造はさらに、Nウェルに隣接し
第1のディボットを有する第1のシャロー・トレンチ分
離(STI)領域、およびPウェルに隣接し第2のディ
ボットを有する第2のシャロー・トレンチ分離(ST
I)領域を含み、第1のディボットは第2のディボット
よりも深い。さらにNウェルは、第1のゲート導体に隣
接したP型空乏領域を含み、第1のゲート導体はNウェ
ルの側面を、P型空乏領域の深さよりも深くまで覆う。
さらに、NウェルおよびPウェルは単一の基板上に配置
される。
【0012】本発明は、ゲートに隣接したシャロー・ト
レンチ分離領域のディボットの深さを調整することによ
って電界効果トランジスタにおけるゲートのラップアラ
ウンド量を制御する。本発明は、埋込みチャネルPFE
Tデバイスに深いディボットを、同じ基板上の表面チャ
ネルNFETデバイスに浅いディボットを形成する単一
のプロセスであり、こうすることによって本発明は、し
きい値未満でのスイング、オフ電流、縁での寄生導通、
ホットエレクトロン・デグラデーション、およびデバイ
スの側壁付近に存在する電荷に対する感度を低減させ
る。
【0013】
【発明の実施の形態】前述のとおり、トランジスタ・ウ
ェルに隣接するシャロー・トレンチ分離(STI)領域
にあって、金属酸化膜半導体電界効果トランジスタの犠
牲酸化物層を除去した結果であるディボットが、ゲート
導体がNFETのシリコンの縁に「ラップアラウンド」
する原因であり、このラップアラウンドによって、NF
ETアレイのしきい電圧(Vt)制御能力は不十分なも
のとなる。そのため、ディボットの深さをできるだけ浅
くすることに大きな関心が寄せられている。理想的に
は、表面チャネルNFETのトランジスタ・ウェル領域
に隣接したディボットの深さがゼロであることが望まし
い。
【0014】本発明の発明者らは、表面チャネルNFE
Tのコーナの導通を制御するのには必要な浅いSTIデ
ィボットが、埋込みチャネルP型金属酸化膜半導体電界
効果トランジスタ(本明細書ではPMOSFETまたは
PFETと称する)の動作に対しては不利であることを
見い出した。STIディボットが浅いことによって、P
FETデバイスが、側壁での寄生導通、しきい値以下で
の不十分な勾配、およびホットエレクトロン・デグラデ
ーションの影響を受けやすくなり、埋込みチャネルPF
ETの縁でのIoffのゲート制御が不十分なものとな
る。
【0015】図1は、左側に深いディボット13を、右
側に浅いディボット12を有する埋込みチャネルPFE
Tデバイスの概略の断面図である。図1にはさらに、こ
の例ではN+ポリシリコン・ゲート導体であるゲート導
体14、および窒化物層16に周囲を取り囲まれたNウ
ェル11の上にあるP型空乏層15が示されている。ゲ
ート酸化物層18はゲート導体14を空乏領域15から
分離する。窒化物層16は、シャロー・トレンチ分離
(STI)領域10と境界を接する。
【0016】表面チャネルNFETに対する影響とは逆
に、埋込みチャネルPFETでは浅いディボット12に
よって縁での寄生導通が生じる。ゲート制御を失うと、
しきい値以下での勾配、オフ電流、およびホットエレク
トロンの信頼性が低下する。
【0017】埋込みチャネルPFETに対して許容でき
るオフ電流を保証するためには、ゲート14の電位制御
が表面P層15の全体にわたって十分に強力で、P層1
5の多数キャリア(ホール)が大きく減少するようなも
のでなければならない。事実、ゲートのラップアラウン
ドによって電位制御は、P層の表面全体にわたって向上
する。
【0018】深いSTIディボット13が存在すると、
コーナでのゲートのラップアラウンドによって、P層1
5の側壁のホールが完全に消失する。しかし浅いディボ
ット12が存在する場合には、ゲート14による側壁の
制御は弱いものとなる。その結果、オフ電流は増大し、
しきい値以下での勾配は低下する。
【0019】図2に、電圧/電流勾配(例えば側壁での
多数キャリアの消失の程度)を示す。浅いディボット1
2の勾配は線22で、深いディボット13の勾配は線2
1で示されている。図2に示すように、浅いディボット
12によって得られた線22の勾配は、深いディボット
13によって得られた、より好ましい線21の勾配に比
べ著しく低下している。さらに深いディボット13のオ
フ電流20は、浅いディボット12に関連した望ましく
ない高いオフ電流23よりもはるかに低い。
【0020】深いディボット13は、P層/Nウェル接
合部よりも下方に延びている。そのため、デバイスの左
側面のP層が完全な空乏層となり、オフ電流に何ら寄与
しない(理想的条件)。デバイスの右側壁のP層は非空
乏領域17を含む。これはゲートが、デバイスのその部
分の電位を制御することができないためである。したが
って右側壁17はオフ電流の増大にかなり寄与する。
【0021】さらに、窒化物ライナ16の上部は右側面
で側壁P層17と隣接しているので、P層/窒化物界面
付近で捕獲された電子は側壁からの漏れを誘発する。捕
獲電子は、プロセスによって誘発されることもあり、通
常動作中のデバイスのホットエレクトロン・デグラデー
ションの結果生じることもある。
【0022】一方、デバイスの左側壁の窒化物ライナ1
6は実質的に、P層/Nウェル接合部よりも深くまでく
ぼんでいる。窒化物ライナ16がこのように深くまでく
ぼんでいることによって、寄生導通の影響を受けやすい
領域の捕獲電子密度はかなり低下する。窒化物ライナ1
6が事実上なければ、ホットエレクトロン・デグラデー
ションの速度はかなり低減する。
【0023】後述する本発明の好ましい方法によって、
PFETに深いディボットを、NFETに浅いディボッ
トを製作することができる。PFETのSTIディボッ
トの深さをNFETのディボットの深さとは独立に調整
することが可能である。このように本発明は、ゲートに
隣接したシャロー・トレンチ分離領域のディボットの深
さを調整することによってMOSFETにおけるゲート
のラップアラウンド量を制御する。
【0024】より具体的に説明する。図3は、NFET
のPウェルになるシリコン領域300およびPFETの
Nウェルになるシリコン領域301を含む部分的に形成
された半導体トランジスタの断面を示す図である。図3
にはさらに、シャロー・トレンチ分離(STI)領域3
02、ならびにNFETシリコン領域300およびPF
ETシリコン領域301の上の窒化物パッド303およ
び304が示されている。さらに図3には窒化物ライナ
305も示されている。
【0025】図3に示した構造は、周知の付着法および
パターン形成法を使用して形成する。本発明のこの部分
を、図11の流れ図のブロック501に示す。この構造
は、パッド窒化物303、304の上面と同じ高さまで
平滑化するために、化学−機械研摩などの従来の方法を
使用して平坦化される。
【0026】図4に示すように、フォトレジスト層(ま
たは酸化物硬質マスク層などの他の同種のマスク材料の
層)を付着させ、パターン形成して、WNマスク(Nウ
ェル・マスク)310を形成する。これによって、PF
ET領域304、301を露出したまま残し、NFET
領域303、300をフォトレジスト310で保護す
る。本発明のこの部分を図11のブロック502に示
す。
【0027】露出したパッド窒化物層304を、Si3
4向けの反応性イオン・エッチング、熱H3PO4など
の一般的な除去剤を使用して図5に示すように除去す
る。窒化物ライナ305のエッチングの深さは、熱リン
酸エッチングの時間によって決まる。一般にH3PO
4は、平坦な窒化物表面を4.5nm/分の速度でエッ
チングする。この情報、および実験に基づいて調整した
エッチング条件を用いて、窒化物ライナをその所望の深
さまでくぼませるのに要するエッチング時間を求める。
【0028】窒化物エッチングの後に、一般に窒化物パ
ッド304の下にある薄い(6nm)パッド酸化物を除
去する酸化物エッチング(緩衝HFなど)を実施する。
制御されたオーバエッチング時間のため、ほとんどの場
合、窒化物エッチングによってこの薄いパッド酸化物は
完全に除去される。このエッチングによって、ゲート導
体ポリシリコン(GC poly)で簡単に埋めること
ができる明瞭なディボット320がSTI302に形成
される。本発明のこの部分を図11のブロック503に
示す。
【0029】良好なPFET性能を得るためにはディボ
ットの深さがチャネル空乏層の深さよりも深くなければ
ならない。一方、NFETのディボットの深さは先に論
じた理由からできるだけ浅くしなければならない。NF
ETのディボットの深さはゼロである(ディボットがな
い)ことが好ましい。しかしより現実的には、ゲート導
体がPウェルのシリコンの縁にあまりラップアラウンド
しないように、NFETのディボットの深さがPウェル
の空乏領域の深さの1/3未満であることが好ましい。
【0030】PFETのチャネル空乏層の深さは、不純
物(例えばホウ素)注入プロセスによって決まる。例え
ば、空乏層の深さは一般に約600オングストロームで
あり、このような状況で良好なPFET性能を保証する
ためには、ディボットの深さが約1000オングストロ
ームでなければならない。
【0031】次いで、深いディボット用のマスク・フォ
トレジスト310を図6に示すように除去する。本発明
のこの部分を図11のブロック504に示す。次いで、
残った領域300の上のパッド窒化物303を除去する
(この場合も熱H3PO4などを使用する)。これによっ
て、パッド窒化物303の下にあるパッド酸化物も除去
される。通常実施されるパッド・エッチング条件を使用
するため、非PFET(すなわちNFET)領域300
の窒化物ライナ305のくぼみは最低限に保たれる。
【0032】周知のプロセスを使用して半導体トランジ
スタの形成を完了させる。これには、犠牲酸化層の形
成、ウェル注入、ゲート酸化層の形成、ゲート導体の付
着およびパターン形成、ならびに当業者に周知のその他
の段階が含まれる。本発明のこの部分を図11のブロッ
ク505に示す。
【0033】以上の結果、本発明は、同じ基板(例えば
ウェーハ)上に単一の製造プロセスで形成された異なる
型のトランジスタのディボットの深さを選択的に制御す
る。
【0034】本発明は、埋込みチャネルMOSFETと
表面チャネルMOSFETの両方を使用する技術に特に
有用である。本出願では、埋込みチャネルPMOSFE
Tおよび表面チャネルNMOSFETを一例として使用
した。本明細書で論じたとおり、埋込みチャネルMOS
FETと表面チャネルMOSFETとはディボットの深
さに対する要求が相反する。
【0035】本発明の以上の実施形態は、NMOSFE
TおよびPMOSFETの従来の製造プロセスに追加の
マスク形成/除去段階を追加する。以下に論じる本発明
の第2の実施形態は、追加のマスク形成または除去段階
を必要とすることなく、異なるトランジスタ・デバイス
のディボットの深さを選択的に制御する。
【0036】本発明を実現するための好ましい第2の方
法を図7ないし図10、および図12に示す。図7は図
3に類似の図であり、この図には、NFETに使用する
シリコン領域400、PFETに使用するシリコン領域
401、シャロー・トレンチ分離領域402、窒化物ラ
イナ405、および露出したシリコン表面400、40
1の上に成長させた犠牲酸化物層406が示されてい
る。本発明のこの部分を図11のブロック510に示
す。
【0037】図8に示すように、フォトレジスト層を付
着させ、パターン形成して、WPマスク(Pウェル・マ
スク)412を形成する。これによって、NFET領域
400、406を露出したまま残し、PFET領域40
1、406をフォトレジスト412で保護する。P型不
純物(ホウ素など)を当業者に周知の方法を使用して注
入し、NFETのPウェル400にドーピングを確立す
る。本発明のこの部分を図12の流れ図のブロック51
1に示す。
【0038】図9を参照する。Pウェル(WP)フォト
レジスト412を除去し、別のフォトレジスト層を付着
させ、パターン形成してWN(Nウェル)マスク421
とする。このパターンは、次段のPFET401上での
処理からPウェル(NFET)400を保護する。Nウ
ェル401に、N型ドーパント(リン、ヒ素など)およ
び埋込み表面層用のP型ドーパントをやはり当業者に周
知の方法を使用して注入する。本発明のこの部分を図1
2のブロック512に示す。
【0039】図10に示すように、WNフォトレジスト
421を除去する前に酸化物エッチング(緩衝HFな
ど)を実行し、PFET領域401の窒化物ライナ40
5の端部を覆っている犠牲酸化物を除去する。次いで、
窒化物エッチング(この場合もやはり熱H3PO4など)
を使用して、露出した窒化物ライナ405を所望の深さ
430までくぼませる。第1の実施形態と同じく、窒化
物ライナ405のエッチングの深さは、熱リン酸エッチ
ングの時間によって決まる。本発明のこの部分を図12
のブロック513に示す。
【0040】窒化物ライナ405を除去することによっ
て溝を開いた後、NFETをフォトレジスト421で保
護したまま酸化物エッチングを使用し、PFET領域の
溝430を広げる。これによって、深いディボット43
0を例えばゲート導体ポリシリコン(GC poly)
で埋める次段の処理が容易になる。次いでNウェルのフ
ォトレジスト421を除去し、その後、犠牲酸化物層4
06を除去する。
【0041】先の実施形態と同様に周知のプロセスを使
用して、ゲート酸化層の形成、ゲート導体の付着および
パターン形成、ならびに当業者に周知のその他の段階を
含む半導体トランジスタの形成を完了させる。本発明の
この部分を図12のブロック514に示す。
【0042】NFETに影響を及ぼすことなく埋込みチ
ャネルPFETに隣接するSTIのディボットの深さを
深くする低コストの修正プロセスを説明した。本発明
は、ゲートに隣接したシャロー・トレンチ分離領域のデ
ィボットの深さを調整することによってMOSFETに
おけるゲートのラップアラウンド量を制御する。本発明
は、埋込みチャネルPFETデバイスに深いディボット
を、同じ基板上の表面チャネルNFETデバイスに浅い
ディボットを形成する単一プロセスを含む。こうするこ
とによって本発明は、しきい値未満でのスイング、オフ
電流、縁での寄生導通、ホットエレクトロン・デグラデ
ーション、およびデバイスの側壁付近に存在する電荷に
対する感度を低減させる。
【0043】先に論じたように本発明は、埋込みチャネ
ルMOSFETと表面チャネルMOSFETの両方を使
用する技術に関する。埋込みチャネルPFETでは、オ
フ電流およびしきい値未満でのスイングが低減し、その
結果、待機電力が低減する。さらに、ゲートのラップア
ラウンドが増大する結果、有効チャネル幅およびオン電
流が増大し、性能が向上する。
【0044】表面チャネルNFETではディボットの深
さが浅くなる。公称Vt値を、ワーストケース・オフ電
流ターゲットを超えることなく低い値に設定することが
できるので、Vtの制御が良好となり、性能が向上す
る。
【0045】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0046】(1)絶縁体によって分離された複数のト
ランジスタ・ウェル領域を形成する段階と、前記絶縁体
の、前記トランジスタ・ウェル領域の第2のウェル領域
に隣接した領域に第1のディボットを形成する段階と、
前記絶縁体の、前記トランジスタ・ウェル領域の第1の
ウェル領域に隣接した領域に、前記第1のディボットよ
りも浅い第2のディボットを形成する段階とを含む半導
体トランジスタの製造方法。 (2)トランジスタ・ウェル領域を形成する前記段階
が、前記第1のウェル領域を、表面チャネルN型金属酸
化膜半導体電界効果トランジスタのPウェルとして形成
し、前記第2のウェル領域を、埋込みチャネルP型金属
酸化膜半導体電界効果トランジスタのNウェルとして形
成する段階を含む、上記(1)に記載の方法。 (3)トランジスタ・ウェル領域を形成する前記段階
が、窒化物に周囲を取り囲まれ、シャロー・トレンチ・
インシュレータ(STI)によって分離されたシリコン
・ウェル領域を形成する段階を含む、上記(1)に記載
の方法。 (4)前記第1のディボットを形成する前記段階が、前
記第2のウェル領域の側面の一部から前記絶縁体をエッ
チングする段階を含み、前記第2のディボットを形成す
る前記段階が、前記第1のウェル領域の側面の前記絶縁
体を維持する、上記(1)に記載の方法。 (5)ゲート導体の一部が前記第1のウェル領域の上面
を覆い、ゲート導体の他の一部が前記第2のウェル領域
の上面および側面を覆うように、前記トランジスタ・ウ
ェル領域の上にゲート導体を形成する段階をさらに含
む、上記(1)に記載の方法。 (6)トランジスタ・ウェル領域を形成する前記段階
が、空乏領域を有するように前記第2のウェル領域を形
成する段階を含み、前記第1のディボットが前記空乏領
域よりも深く形成される、上記(1)に記載の方法。 (7)半導体基板上に、埋込みチャネルP型金属酸化膜
半導体電界効果トランジスタのNウェルのコーナにラッ
プアラウンドした第1のゲート導体と、表面チャネルN
型金属酸化膜半導体電界効果トランジスタのPウェルに
ラップアラウンドしていない第2のゲート導体とを形成
する方法において、前記Nウェルと前記Pウェルのそれ
ぞれに隣接した絶縁体を形成する段階と、前記絶縁体の
前記Nウェルに隣接した領域に第1のディボットを形成
する段階と、前記絶縁体の前記Pウェルに隣接した領域
に、前記第1のディボットよりも浅い第2のディボット
を形成する段階とを含む方法。 (8)前記第1のディボットを形成する前記段階が、前
記Nウェルの側面の一部から前記絶縁体をエッチングす
る段階を含み、前記第2のディボットを形成する前記段
階が、前記Pウェルの側面の前記絶縁体を維持する、上
記(7)に記載の方法。 (9)ゲート導体の一部が前記Pウェルの上面を覆い、
ゲート導体の他の一部が前記Nウェルの上面および側面
を覆うように、前記Nウェルおよび前記Pウェルの上に
ゲート導体を形成する段階をさらに含む、上記(7)に
記載の方法。 (10)前記Nウェルが空乏領域を有し、前記第1のデ
ィボットが、前記空乏領域よりも深く形成される、上記
(7)に記載の方法。 (11)絶縁体によって分離された複数のトランジスタ
・ウェル領域を形成する段階と、前記トランジスタ・ウ
ェル領域の第1のウェル領域をパターン形成された第1
のマスクで保護し、前記トランジスタ・ウェル領域の第
2のウェル領域を露出したまま残す段階と、前記第2の
ウェル領域に第1の不純物を注入する段階と、前記パタ
ーン形成された第1のマスクを除去する段階と、前記第
2のウェル領域をパターン形成された第2のマスクで保
護し、前記第1のウェル領域を露出したまま残す段階
と、前記第1のウェル領域に第2および第3の不純物を
注入する段階と、前記絶縁体の前記第1のウェル領域に
隣接した領域に第1のディボットを形成する段階と、前
記パターン形成された第2のマスクを除去する段階と、
前記絶縁体の前記第2のウェル領域に隣接した領域に、
前記第1のディボットよりも浅い第2のディボットを形
成する段階とを含む半導体トランジスタの製造方法。 (12)トランジスタ・ウェル領域を形成する前記段階
が、前記第2のウェル領域を、表面チャネルN型金属酸
化膜半導体電界効果トランジスタのPウェルとして形成
し、前記第1のウェル領域を、埋込みチャネルP型金属
酸化膜半導体電界効果トランジスタのNウェルとして形
成する段階を含む、上記(11)に記載の方法。 (13)トランジスタ・ウェル領域を形成する前記段階
が、窒化物に周囲を取り囲まれ、シャロー・トレンチ・
インシュレータ(STI)によって分離されたシリコン
・ウェル領域を形成する段階を含む、上記(11)に記
載の方法。 (14)前記第1のディボットを形成する前記段階が、
前記第1のウェル領域の側面の一部から前記絶縁体をエ
ッチングする段階を含み、前記第2のディボットを形成
する前記段階が、前記第2のウェル領域の側面の前記絶
縁体を維持する、上記(11)に記載の方法。 (15)ゲート導体の一部が前記第2のウェル領域の上
面を覆い、ゲート導体の他の一部が前記第1のウェル領
域の上面および側面を覆うように、前記トランジスタ・
ウェル領域の上にゲート導体を形成する段階をさらに含
む、上記(11)に記載の方法。 (16)トランジスタ・ウェル領域を形成する前記段階
が、空乏領域を有するように前記第1のウェル領域を形
成する段階を含み、前記第1のディボットが、前記空乏
領域よりも深く形成される、上記(11)に記載の方
法。 (17)半導体基板上に、埋込みチャネルP型金属酸化
膜半導体電界効果トランジスタのNウェルのコーナにラ
ップアラウンドした第1のゲート導体、および埋込みチ
ャネルN型金属酸化膜半導体電界効果トランジスタのP
ウェルのコーナにラップアラウンドしていない第2のゲ
ート導体を形成する方法において、前記Nウェルと前記
Pウェルのそれぞれに隣接した絶縁体を形成する段階
と、前記Nウェルをパターン形成された第1のマスクで
保護し、前記Pウェルを露出したまま残す段階と、前記
Pウェルに第1の不純物を注入する段階と、前記パター
ン形成された第1のマスクを除去する段階と、前記Pウ
ェルをパターン形成された第2のマスクで保護し、前記
Nウェルを露出したまま残す段階と、前記Nウェルに第
2および第3の不純物を注入する段階と、前記絶縁体の
前記Nウェルに隣接した領域に第1のディボットを形成
する段階と、前記パターン形成された第2のマスクを除
去する段階と、前記絶縁体の前記Pウェルに隣接した領
域に、前記第1のディボットよりも浅い第2のディボッ
トを形成する段階と、前記Nウェルの上および前記第1
のディボットの中に前記第1のゲート導体を形成する段
階と、前記Pウェルの上および前記第2のディボットの
中に前記第2のゲート導体を形成する段階とを含む方
法。 (18)前記第1のディボットを形成する前記段階が、
前記Nウェルの側面の一部から前記絶縁体をエッチング
する段階を含み、前記第2のディボットを形成する前記
段階が、前記Pウェルの側面の前記絶縁体を維持する、
上記(17)に記載の方法。 (19)ゲート導体の一部が前記Pウェルの上面を覆
い、ゲート導体の他の一部が前記Nウェルの上面および
側面を覆うように、前記Nウェルおよび前記Pウェルの
上にゲート導体を形成する段階をさらに含む、上記(1
7)に記載の方法。 (20)前記Nウェルが空乏領域を有し、前記第1のデ
ィボットが前記空乏領域よりも深く形成される、上記
(17)に記載の方法。 (21)第1のウェル領域、ならびに前記第1のウェル
領域の上面および側面の一部を覆う第1のゲート導体を
有する複数の第1のトランジスタと、第2のウェル領
域、ならびに前記第2のウェル領域の上面を覆う第2の
ゲート導体を有する複数の第2のトランジスタとを備え
る半導体構造。 (22)前記第1のウェル領域に隣接し、第1のディボ
ットを有する第1の分離領域と、前記第2のウェル領域
に隣接し、第2のディボットを有する第2の分離領域と
をさらに備え、前記第1のディボットが前記第2のディ
ボットよりも深い、上記(21)に記載の半導体構造。 (23)前記第1のウェル領域が前記第1のゲート導体
に隣接した空乏領域を含み、前記第1のゲート導体が前
記第1のウェル領域の前記側面を、前記空乏領域の深さ
よりも深くまで覆う、上記(21)に記載の半導体構
造。 (24)前記第1のウェル領域が、埋込みチャネルP型
金属酸化膜半導体電界効果トランジスタのNウェルを含
み、前記第2のウェル領域が、表面チャネルN型金属酸
化膜半導体電界効果トランジスタのPウェルを含む、上
記(21)に記載の半導体構造。 (25)前記第1のウェル領域および前記第2のウェル
領域が単一の基板上に配置される、上記(21)に記載
の半導体構造。 (26)Nウェル、ならびに前記Nウェルの上面および
側面の一部を覆う第1のゲート導体を有する複数の埋込
みチャネルP型金属酸化膜半導体電界効果トランジスタ
と、Pウェル、ならびに前記Pウェルの上面を覆う第2
のゲート導体を有する複数の表面チャネルN型金属酸化
膜半導体電界効果トランジスタとを備える半導体構造。 (27)前記Nウェルに隣接し、第1のディボットを有
する第1のシャロー・トレンチ分離(STI)領域と、
前記Pウェルに隣接し、第2のディボットを有する第2
のシャロー・トレンチ分離(STI)領域とをさらに備
え、前記第1のディボットが前記第2のディボットより
も深い、上記(26)に記載の半導体構造。 (28)前記Nウェルが、前記第1のゲート導体に隣接
したP型空乏領域を含み、前記第1のゲート導体が前記
Nウェルの前記側面を、前記P型空乏領域の深さよりも
深くまで覆う、上記(26)に記載の半導体構造。 (29)前記Nウェルおよび前記Pウェルが単一の基板
上に配置される、上記(26)に記載の半導体構造。
【図面の簡単な説明】
【図1】P型埋込みチャネル電界効果トランジスタの概
略の断面図である。
【図2】図1に示した構造の異なる側部の電流と電圧の
関係を示すグラフである。
【図3】本発明の一実施形態を示す電界効果トランジス
タの概略の断面図である。
【図4】本発明の一実施形態を示す電界効果トランジス
タの概略の断面図である。
【図5】本発明の一実施形態を示す電界効果トランジス
タの概略の断面図である。
【図6】本発明の一実施形態を示す電界効果トランジス
タの概略の断面図である。
【図7】本発明の一実施形態を示す電界効果トランジス
タの概略の断面図である。
【図8】本発明の一実施形態を示す電界効果トランジス
タの概略の断面図である。
【図9】本発明の一実施形態を示す電界効果トランジス
タの概略の断面図である。
【図10】本発明の一実施形態を示す電界効果トランジ
スタの概略の断面図である。
【図11】本発明の好ましい実施形態を示す流れ図であ
る。
【図12】本発明の好ましい実施形態を示す流れ図であ
る。
【符号の説明】
10 シャロー・トレンチ分離(STI)領域 11 Nウェル 12 浅いディポット 13 深いディポット 14 ゲート導体 15 P型空乏層 16 窒化物層 17 非空乏領域 18 ゲート酸化物層 20 深いディボットのオフ電流 21 深いディボットの勾配 22 浅いディボットの勾配 23 浅いディボットのオフ電流 300 NFETのPウェルになるシリコン領域 301 PFETのNウェルになるシリコン領域 302 シャロー・トレンチ分離(STI)領域 303 窒化物パッド 304 窒化物パッド 305 窒化物ライナ 310 WNマスク(Nウェル・マスク)310 320 ディボット 400 NFETに使用するシリコン領域 401 PFETに使用するシリコン領域 402 シャロー・トレンチ分離領域 405 窒化物ライナ 406 犠牲酸化物層 412 WPマスク(Pウェル)マスク 421 WNマスク(Nウェル)マスク
───────────────────────────────────────────────────── フロントページの続き (73)特許権者 591209109 シーメンス アクチェンゲゼルシャフト SIEMENS AKTIENGESE LLSCHAFT ドイツ連邦共和国 D−80333 ミュン ヘン ヴィッテルスバッハ−プラッツ 2 (74)上記1名の復代理人 100085545 弁理士 松井 光夫 (外3名) (72)発明者 ハンス=オリバー・ヨアヒム アメリカ合衆国12590 ニューヨーク州 ワッピンガーズ・フォールズ タウン・ ビュー・ドライブ 18 (72)発明者 ジャック・エイ・マンデルマン アメリカ合衆国12582 ニューヨーク州 ストームヴィル ジャーミー・レーン 5 (72)発明者 ラージェシュ・レンガラージャン アメリカ合衆国12601 ニューヨーク州 ポーキープシー ハドソン・ハーバー・ ドライブ 808 (56)参考文献 特開 平9−232524(JP,A) 特開 平6−120453(JP,A) 米国特許5447884(US,A) (58)調査した分野(Int.Cl.7,DB名) H01L 27/092 H01L 21/8238 H01L 29/78 H01L 21/336 H01L 21/762

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】シャロー・トレンチ・インシュレータ(S
    TI)によって分離された、少なくとも1つの表面チャ
    ネルN型金属酸化膜半導体電界効果トランジスタのPウ
    ェルと、少なくとも1つの埋込みチャネルP型金属酸化
    膜半導体電界効果トランジスタのNウェルとを形成する
    段階と、 前記シャロー・トレンチ・インシュレータの、前記Nウ
    ェルに隣接した領域に、第1のディボットを形成する段
    階と、 前記シャロー・トレンチ・インシュレータの、前記Pウ
    ェルに隣接した領域に、前記第1のディボットよりも浅
    い第2のディボットを形成する段階とを含む半導体トラ
    ンジスタの製造方法。
  2. 【請求項2】シャロー・トレンチ・インシュレータによ
    って分離された、少なくとも1つの表面チャネルN型金
    属酸化膜半導体電界効果トランジスタのPウェルと、少
    なくとも1つの埋込みチャネルP型金属酸化膜半導体電
    界効果トランジスタのNウェルとを形成する段階と、 前記Nウェルをパターン形成された第1のマスクで保護
    し、前記Pウェルを露出したまま残す段階と、 前記Pウェルに第1の不純物を注入する段階と、 前記パターン形成された第1のマスクを除去する段階
    と、 前記Pウェルをパターン形成された第2のマスクで保護
    し、前記Nウェル領域を露出したまま残す段階と、 前記Nウェルに第2および第3の不純物を注入する段階
    と、 前記シャロー・トレンチ・インシュレータの前記Nウェ
    ルに隣接した領域に、第1のディボットを形成する段階
    と、 前記パターン形成された第2のマスクを除去する段階
    と、 前記シャロー・トレンチ・インシュレータの前記Pウェ
    ルに隣接した領域に、前記第1のディボットよりも浅い
    第2のディボットを形成する段階と を含む半導体トランジスタの製造方法。
  3. 【請求項3】シャロー・トレンチ・インシュレータによ
    って分離された、少なくとも1つの表面チャネルN型金
    属酸化膜半導体電界効果トランジスタのPウェルと、少
    なくとも1つの埋込みチャネルP型金属酸化膜半導体電
    界効果トランジスタのNウェルとを形成する段階と、 前記Pウェルをパターン形成された第1のマスクで保護
    し、前記Nウェルを露出したまま残す段階と、 前記シャロー・トレンチ・インシュレータの前記Nウェ
    ルに隣接した領域に、第1のディボットを形成する段階
    と、 前記パターン形成された第1のマスクを除去する段階
    と、 前記シャロー・トレンチ・インシュレータの前記Pウェ
    ルに隣接した領域に、前記第1のディボットよりも浅い
    第2のディボットを形成する段階とを含む半導体トラン
    ジスタの製造方法。
  4. 【請求項4】ゲート導体の一部が前記Pウェルの上面を
    覆い、ゲート導体の他の一部がNウェルの上面および側
    面を覆うように、前記トランジスタ・ウェル領域の上に
    ゲート導体を形成する段階をさらに含む、請求項1〜3
    のいずれか1項に記載の方法。
  5. 【請求項5】トランジスタ・ウェル領域を形成する前記
    段階が、空乏領域を有するように前記Nウェルを形成す
    る段階を含み、前記第1のディボットが前記空乏領域よ
    りも深く形成される、請求項1〜4のいずれか1項に記
    載の方法。
  6. 【請求項6】Nウェル、ならびに前記Nウェルの上面お
    よび側面の一部を覆う第1のゲート導体を有する複数の
    埋込みチャネルP型金属酸化膜半導体電界効果トランジ
    スタと、前記Nウェルに隣接し、第1のディボットを有する第1
    のシャロー・トレンチ分離(STI)領域と 、 Pウェル、ならびに前記Pウェルの上面を覆う第2のゲ
    ート導体を有する複数の表面チャネルN型金属酸化膜半
    導体電界効果トランジスタと、前記Pウェルに隣接し、第2のディボットを有する第2
    のシャロー・トレンチ分離(STI)領域と を備え、前記第1のディボットが前記第2のディボットよりも深
    いことを特徴とす る半導体構造。
  7. 【請求項7】前記Nウェルが、P型空乏領域を含み、ゲ
    ート導体が前記Nウェルの前記側面を、前記P型空乏領
    域の深さよりも深くまで覆う、請求項に記載の半導体
    構造。
  8. 【請求項8】前記Nウェルおよび前記Pウェルが単一の
    基板上に配置されている、請求項6または7に記載の半
    導体構造。
JP29109099A 1998-10-29 1999-10-13 埋込みチャネルpfetの性能および信頼性を向上させるためのディープ・ディボット・マスク Expired - Fee Related JP3364903B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/181,964 US6127215A (en) 1998-10-29 1998-10-29 Deep pivot mask for enhanced buried-channel PFET performance and reliability
US09/181964 1998-10-29

Publications (2)

Publication Number Publication Date
JP2000269441A JP2000269441A (ja) 2000-09-29
JP3364903B2 true JP3364903B2 (ja) 2003-01-08

Family

ID=22666548

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29109099A Expired - Fee Related JP3364903B2 (ja) 1998-10-29 1999-10-13 埋込みチャネルpfetの性能および信頼性を向上させるためのディープ・ディボット・マスク

Country Status (7)

Country Link
US (2) US6127215A (ja)
EP (1) EP0997946B1 (ja)
JP (1) JP3364903B2 (ja)
KR (1) KR100375032B1 (ja)
AT (1) ATE230520T1 (ja)
DE (1) DE69904690T2 (ja)
TW (1) TW426943B (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002033476A (ja) * 2000-07-13 2002-01-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
DE10131710B4 (de) * 2001-06-29 2006-05-18 Infineon Technologies Ag Verfahren zur gezielten Einstellung der Stufenhöhe bei der STI-Technik zur Herstellung von integrierten Schaltungen
US6759298B2 (en) * 2002-06-24 2004-07-06 Micron Technology, Inc. Methods of forming an array of flash field effect transistors and circuitry peripheral to such array
US6605504B1 (en) * 2002-06-28 2003-08-12 Infineon Technologies Ag Method of manufacturing circuit with buried strap including a liner
US20040040105A1 (en) * 2002-09-03 2004-03-04 Hillenbrand Stephen John Scuff remover device
US6743675B2 (en) 2002-10-01 2004-06-01 Mosel Vitelic, Inc. Floating gate memory fabrication methods comprising a field dielectric etch with a horizontal etch component
US20040065937A1 (en) * 2002-10-07 2004-04-08 Chia-Shun Hsiao Floating gate memory structures and fabrication methods
DE10329212B4 (de) * 2003-06-28 2006-07-06 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten DRAM-Speicherschaltung mit Corner Devices
US6838342B1 (en) * 2003-10-03 2005-01-04 Promos Technologies, Inc. Nonvolatile memory fabrication methods comprising lateral recessing of dielectric sidewalls at substrate isolation regions
US7091091B2 (en) * 2004-06-28 2006-08-15 Promos Technologies Inc. Nonvolatile memory fabrication methods in which a dielectric layer underlying a floating gate layer is spaced from an edge of an isolation trench and/or an edge of the floating gate layer
US7132333B2 (en) * 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
KR100618892B1 (ko) * 2005-04-13 2006-09-01 삼성전자주식회사 와이어 본딩을 통해 팬 아웃 구조를 달성하는 반도체패키지
DE102005037566B4 (de) * 2005-08-09 2008-04-24 Qimonda Ag Herstellungsverfahren für eine Halbleiterstruktur und entsprechende Halbleiterstruktur
US20080099834A1 (en) * 2006-10-30 2008-05-01 Josef Willer Transistor, an inverter and a method of manufacturing the same
US8642441B1 (en) 2006-12-15 2014-02-04 Spansion Llc Self-aligned STI with single poly for manufacturing a flash memory device
US20080258206A1 (en) * 2007-04-17 2008-10-23 Qimonda Ag Self-Aligned Gate Structure, Memory Cell Array, and Methods of Making the Same
US20080283910A1 (en) * 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
US7838355B2 (en) * 2008-06-04 2010-11-23 International Business Machines Corporation Differential nitride pullback to create differential NFET to PFET divots for improved performance versus leakage
US8551858B2 (en) * 2010-02-03 2013-10-08 Spansion Llc Self-aligned SI rich nitride charge trap layer isolation for charge trap flash memory
US8461634B2 (en) 2011-04-14 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Divot engineering for enhanced device performance
US10796943B2 (en) * 2018-11-06 2020-10-06 United Microelectronics Corp. Manufacturing method of semiconductor structure
CN115915749B (zh) * 2023-01-19 2023-06-02 合肥晶合集成电路股份有限公司 半导体结构及其制作方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3136897A (en) * 1961-09-25 1964-06-09 Westinghouse Electric Corp Monolithic semiconductor structure comprising at least one junction transistor and associated diodes to form logic element
JPS5935445A (ja) * 1982-08-24 1984-02-27 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
US4663832A (en) * 1984-06-29 1987-05-12 International Business Machines Corporation Method for improving the planarity and passivation in a semiconductor isolation trench arrangement
IT1200725B (it) * 1985-08-28 1989-01-27 Sgs Microelettronica Spa Struttura di isolamento in dispositivi mos e procedimento di preparazione della stessa
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
US4863562A (en) * 1988-02-11 1989-09-05 Sgs-Thomson Microelectronics, Inc. Method for forming a non-planar structure on the surface of a semiconductor substrate
US4900692A (en) * 1989-04-24 1990-02-13 Motorola, Inc. Method of forming an oxide liner and active area mask for selective epitaxial growth in an isolation trench
EP0603106A2 (en) * 1992-12-16 1994-06-22 International Business Machines Corporation Method to reduce stress from trench structure on SOI wafer
US5387540A (en) * 1993-09-30 1995-02-07 Motorola Inc. Method of forming trench isolation structure in an integrated circuit
KR950021600A (ko) * 1993-12-09 1995-07-26 가나이 쯔또무 반도체 집적회로장치 및 그 제조방법
JP2955459B2 (ja) * 1993-12-20 1999-10-04 株式会社東芝 半導体装置の製造方法
US5447884A (en) * 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5652176A (en) * 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
JPH09312429A (ja) * 1996-05-21 1997-12-02 Sony Corp レーザ機器における除振装置及び該装置を用いた光ディスク原盤製造装置
US5858866A (en) * 1996-11-22 1999-01-12 International Business Machines Corportation Geometrical control of device corner threshold
US5674775A (en) * 1997-02-20 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation trench with a rounded top edge using an etch buffer layer
US6153478A (en) * 1998-01-28 2000-11-28 United Microelectronics Corp. STI process for eliminating kink effect
TW444333B (en) * 1998-07-02 2001-07-01 United Microelectronics Corp Method for forming corner rounding of shallow trench isolation
KR100281124B1 (ko) * 1999-01-20 2001-01-15 김영환 반도체소자 및 그의 제조방법

Also Published As

Publication number Publication date
KR20000029367A (ko) 2000-05-25
JP2000269441A (ja) 2000-09-29
KR100375032B1 (ko) 2003-03-08
EP0997946A1 (en) 2000-05-03
DE69904690D1 (de) 2003-02-06
ATE230520T1 (de) 2003-01-15
US6127215A (en) 2000-10-03
TW426943B (en) 2001-03-21
DE69904690T2 (de) 2003-09-18
EP0997946B1 (en) 2003-01-02
US6323532B1 (en) 2001-11-27

Similar Documents

Publication Publication Date Title
JP3364903B2 (ja) 埋込みチャネルpfetの性能および信頼性を向上させるためのディープ・ディボット・マスク
US6316302B1 (en) Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
EP0749165B1 (en) Thin film transistor in insulated semiconductor substrate and manufacturing method thereof
KR100259097B1 (ko) 반도체 소자 및 그의 제조 방법
KR0165457B1 (ko) 트렌치 소자분리 방법
US6551870B1 (en) Method of fabricating ultra shallow junction CMOS transistors with nitride disposable spacer
JP2938422B2 (ja) Cmosデバイスにおけるラッチアップ耐性を増大させる方法および装置
KR100243715B1 (ko) 공핍부가 합체된 고립 웰의 fet를 가진 cmos 구조물 및 그 제조 방법
US20060220109A1 (en) Selectively doped trench device isolation
US20050003627A1 (en) Method of forming a field effect transistor
US20020081820A1 (en) Method for manufacturing semiconductor device capable of suppressing narrow channel width effect
US5994179A (en) Method of fabricating a MOSFET featuring an effective suppression of reverse short-channel effect
US6649461B1 (en) Method of angle implant to improve transistor reverse narrow width effect
US6329271B1 (en) Self-aligned channel implantation
US6921948B2 (en) Adjustment of threshold voltages of selected NMOS and PMOS transistors using fewer masking steps
US20050023608A1 (en) Method of forming a partially depleted silicon on insulator (PDSOI) transistor with a pad lock body extension
US6440788B2 (en) Implant sequence for multi-function semiconductor structure and method
US20080286930A1 (en) Nitride-encapsulated fet (nncfet)
JP3744694B2 (ja) トランジスターの特性を改善するための半導体装置製造方法
US6479356B1 (en) Method of manufacturing a semiconductive device with an enhanced junction breakdown strength
JP2891325B2 (ja) Soi型半導体装置およびその製造方法
US7279397B2 (en) Shallow trench isolation method
KR100597462B1 (ko) 반도체 소자의 트랜지스터 제조방법
JPH06151842A (ja) 半導体装置及びその製造方法
JPH09232524A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees