JP3276963B2 - 誘電体フィルムを基盤上に形成する方法 - Google Patents

誘電体フィルムを基盤上に形成する方法

Info

Publication number
JP3276963B2
JP3276963B2 JP54573798A JP54573798A JP3276963B2 JP 3276963 B2 JP3276963 B2 JP 3276963B2 JP 54573798 A JP54573798 A JP 54573798A JP 54573798 A JP54573798 A JP 54573798A JP 3276963 B2 JP3276963 B2 JP 3276963B2
Authority
JP
Japan
Prior art keywords
composition layer
electron beam
layer
dielectric
dielectric composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP54573798A
Other languages
English (en)
Other versions
JP2000511006A (ja
Inventor
ヤン,ジン・ジュン
フォレスター,リン
チョイ,ドン・キュー
ワン,シ−チン
ヘンドリックス,ニール・エイチ
Original Assignee
アライドシグナル・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アライドシグナル・インコーポレーテッド filed Critical アライドシグナル・インコーポレーテッド
Publication of JP2000511006A publication Critical patent/JP2000511006A/ja
Application granted granted Critical
Publication of JP3276963B2 publication Critical patent/JP3276963B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Description

【発明の詳細な説明】 関連出願の相互参照 本出願は、本明細書中に参照引用されている1997年3
月24日に出願された米国特許仮出願60/041,104号(出願
中)の権利を主張するものである。
発明の背景 発明の属する技術分野 本発明は集積回路の製造で用いられる基材の調製に関
する。より特定すれば、本発明は低誘電率(低−k)重
合体フィルムを半導体基材の上にスピン−オン(spin−
on)することに関する。本発明は、半導体デバイスのイ
ンターレベル誘電体(ILD)層への低−k重合体フィル
ムの集積に電子ビーム照射を用いることにより、低−k
重合体フィルムをスピン−オンする非−エッチバック
(non−etchback)加工を達成するための、そして半導
体基盤上の金属ライン間の領域における、スピン−オン
重合体フィルムの低い誘電率を維持するための方法を提
供する。
従来技術の説明 半導体工業技術分野では、その上により多くの、そし
てより速い回路を有する集積回路(IC)チップを作製す
る傾向が続いている。このような超大規模集積により、
画像サイズは引き続き小さくなり、その結果、多数のデ
バイスが、単一チップで作製できるようになる。チップ
の表面積は限られているので、相互連結密度は、普通、
多重レベル配列で、その基盤の上に広がり、そしてこれ
らデバイスは、これら多重レベルを横断して相互連結さ
れなければならない。この相互連結回路は、接触するよ
うに設計されている場合を除いて、お互いに絶縁されて
いなければならない。通常、電気的絶縁には、例えば、
CVDもしくはスピン−オン法を用いて、表面に誘電性フ
ィルムを堆積させることが必要である。集積回路設計尺
における収縮は、同時に配線ピッチを小さくする。これ
らのことは、相互連結回路中での信号の伝播を、総サイ
クル時間のかなり割合で遅延させる。信号遅延をできる
だけ小さくしようとする動機から、集積回路(IC)の製
造においてインターレベル(interlevel)誘電体として
使用できる低誘電率(低−k)材料を開発する広範囲の
研究が進められてきた。ILD層中で用いられる低−k材
料の大半は、熱的に硬化する、スピン−オン有機もしく
は無機重合体をベースにしている。これらの低−k材料
は望ましい低い誘電率を有しているが、これらのフィル
ムに関連する集積法は複雑で、後で、常用の酸化物化学
蒸着法(CVD)を用いて誘電体層をコートするエッチバ
ック法を必要とすることが多い。さらに、多くの熱硬化
された低−k重合体フィルムの誘電率は、不安定で、金
属蒸着中に遭遇する高温または、フォトレジスト・スト
リッピング(フォトレジストの除去)で用いられる酸素
プラズマのような酸化的雰囲気に曝された場合、増大し
易い。熱硬化されたスピン−オン重合体フィルムに関連
するこれらの欠点は、密度が低いこと、水分を吸収し易
いこと、熱安定性が乏しいことおよび酸素プラズマ誘起
酸化を受け易いことのような、それらの固有の特徴に関
係することが多い。それ故、ILD層への多くの熱硬化ス
ピン−オン低−k重合体の集積は複雑で、エッチバック
およびキャッピング(capping)のような追加の加工工
程を必要とすることが多い。多くの熱硬化低−k重合体
の誘電率は、集積工程中に増加し、そのため、それらの
低−k特性は、最終半導体デバイスの製造が完了した時
点では、部分的にもしくは完全に失われる。IC相互連結
絶縁材として有機高分子誘電体を使用することは、この
技術分野では知られている。このような有機高分子に含
まれるのは、ポリアリーレンエーテル類、フッ素化ポリ
アリーレンエーテル類、シルセスキオキサン類およびシ
ロキサン類である。その他の有機高分子誘電体およびそ
れらから製造される物品が、米国特許第5,145,936;5,10
8,840;5,115,082;5,114,780;5,155,175;5,179,188;5,25
0,667;5,235,044;5,173,542;および5,270,453号明細書
に開示されている。これらの全ての場合で、これらフィ
ルムが、スピン−コーティング法により、半導体基盤の
上に堆積され、次いで十分熱硬化される。
本発明の要約 本発明は、高分子誘電体組成物の層を基盤上に塗布し
て乾燥する工程、およびその乾燥された層を、その誘電
体層が部分的に硬化するのに十分な条件下で、電子ビー
ム照射する工程を含んでなり、そしてその場合に、その
照射により、その誘電体層の相対的により硬くされた最
上層の部分と、その誘電体層の相対的にあまり硬くされ
ていない下層部分が形成される、誘電性フィルムを調製
する方法を提供する。
本発明はまた、上の方法と同様であって、さらに、電
子ビーム照射の前に、その基盤上で少くとも部分的に固
化した誘電体層上にフォトレジストの層を塗布し、画像
を形成するように露光し、そしてそのフォトレジストの
層を現像して、それにより、フォトレジストの層の一部
を誘電体層から除去し、そしてフォトレジストの層の一
部を、その誘電体層上に残す工程をさらに含んでなる方
法も提供する;この場合、誘電体層上に残ったフォトレ
ジストの部分の下にある誘電体層の部分は、除去された
フォトレジストの層に対応する誘電体層の部分より、電
子ビームにより少なく露光されることになる。
さて、IC相互連結加工に用いられる、或る種のスピン
−オン誘電体フィルムの諸性質は、これらのフィルムを
制御された電子ビーム照射処理によって硬化すると、熱
硬化の場合に比べて改善されることが見いだされた。か
くして、例えば、本発明は、制御された電子ビーム照射
法を用いて、スピン−オン低−k誘電体のための非−エ
ッチバック法を達成するための改善された方法を提供す
る。本発明はまた、下層にある誘電体層を、工程環境で
誘起される分解から保護するための、特に、制御された
電子ビーム照射法を用いて、或る種のスピン−オン低−
k重合体フィルムの誘電率の低下を防ぐための、改善さ
れた方法をも提供する。
本発明はまた、制御された電子ビーム照射法を用い
る、金属ライン間のような領域中のスピン−オン重合体
フィルムのために、その本来の誘電率を保持するための
改善された方法をも提供する。
新しく堆積されたスピン−オン誘電体フィルムが、比
較的低エネルギー条件下で電子ビーム露光され、次い
で、標準ホットプレート処理されると、そのフィルムの
外層(大半は、電子ビームに直接触れた層)として“ス
キン”が生成することが見いだされた。この“スキン”
の厚さは、露光継続時間、およびそのフィルムに送達さ
れる積分(総)電子ビーム量を含む、その電子ビームエ
ネルギーのレベルによって制御することができる。かく
して、このフィルムは、中間層誘電体の厚さに依存し
て、公称500−6000Åの薄いスキンが生成し得るよう
に、極く弱く硬化される。かくして、このフィルムの硬
化の連続の程度を利用して、半導体を有利に加工するこ
とができる。スキンが形成される比較的短時間の硬化
は、同時にそのフィルムの低い誘電率の保持、硬化され
た酸化抵抗性外層をそのフィルム上に形成させること、
およびそのフィルムの全厚みを電子ビームで硬化させる
場合に比べて加工時間を非常に短くできること、が可能
になる。この方法によって、元の均質な誘電体層は、明
瞭に区別できる二つの層に変換される。金属の最上部の
誘電体層は、有意に改質され、かくしてその性質の幾つ
かが有意に変化された。この層は、吸湿性が小さいか或
いは無い、高温および酸素プラズマ雰囲気に曝した時の
分解が小さいか或いは無い、というような素晴らしい性
質を有しており、かくして分解することなしに非−エッ
チバック法が達成され得ることになる。下層にある誘電
体層、特に金属ラインの間の誘電体層は、低い誘電率の
ような、その固有の誘電性を保持する。この改質された
最上層は下層にある誘電体層を保護し、かくして下層に
ある誘電体の性質は、高温と酸素プラズマ暴露により影
響されない。
本発明のもう一つの態様では、残留水分および誘電体
層を通してのエッチング後のその通路(via)中の炭素
含有残留物に起因するポイズン・バイアス(poison vi
as)を排除した非−エッチバック誘電体法が使用され
る。この方法では、フォトレジストが現像されている領
域、即ち金属ラインの最上部の領域中の誘電体層だけ
が、制御された電子ビーム照射によって選択的に改質さ
れるであろう。この場合、制御された電子ビーム硬化の
深さは、金属ラインの最上部での残留誘電体の厚さに依
存する。この方法により通路被毒(via poisoning)は
本質的に排除され、一方金属ライン間の誘電体とインタ
ーレベル金属ライン中の誘電体は、本来の低い誘電率を
保持する。
図面の簡単な説明 図1は、制御された電子ビーム硬化を使用するスピン
−オン低−k重合体のための非−エッチバック法を示す
本発明の一つの実施態様を系統的に描写した図である。
図2は、フォトレジスト層の除去された部分の下の誘
電体の選択的電子ビーム硬化を用いるスピン−オン低−
k重合体のための非−エッチバック法を示す本発明のも
う一つの実施態様を系統的に描写した図である。
図3aは、熱的に硬化し、部分的に電子ビームで硬化し
た、および完全に電子ビーム硬化した水素シルセスキオ
キサン重合体フィルムのFTIRスペクトルを示す。
図3bは、異なる電子ビーム照射量で部分的に電子ビー
ムで硬化した水素シルセスキオキサン重合体フィルムの
FTIRスペクトルを示す。
図4は、異なる電子ビーム露光条件で照射した水素シ
ルセスキオキサン・フィルムのフィルムの厚さの関数と
してのBOE(50:1)での湿式エッチング速度を示す。
図5aは、それぞれ、400℃、450℃、500℃および600
℃、で30分露光後の、熱硬化した水素シルセスキオキサ
ン重合体フィルムのFTIRスペクトルを示す。
図5bは、それぞれ、400℃、450℃、500℃および600
℃、で30分露光後の、部分的に電子ビーム硬化した水素
シルセスキオキサン重合体フィルムのFTIRスペクトルを
示す。
図6aは、酸素プラズマ照射前および後における、熱硬
化水素メチルシルセスキオキサン重合体フィルムのFTIR
スペクトルを示す。
図6bは、酸素プラズマ照射前および後における、部分
的に電子ビーム硬化した(部分e−硬化1)メチルシル
セスキオキサン重合体フィルムのFTIRスペクトルを示
す。
図6cは、酸素プラズマ照射前および後における、部分
的に電子ビーム硬化した(部分e−硬化2)メチルシル
セスキオキサン重合体フィルムのFTIRスペクトルを示
す。
推奨される実施態様の詳細な説明 本発明の第1の態様は、基盤上に高分子誘電体組成物
を塗布しそして乾燥することによって、基盤上に誘電性
フィルムを形成させる。次いで、この乾燥された層に、
その誘電体層の相対的により硬くされた最上層の部分
が、その層の最上層に生成しそして、その誘電体層の相
対的にあまり硬くされていない部分が、その層の最上層
の下に生成するように、その誘電体層を部分的に硬化す
るのに十分な条件下で、電子ビームを照射する。
標準的には、この誘電体組成物は、ICもしくはマイク
ロ電子デバイスに加工されるウエハ基盤上に塗布され
る。本発明に適したプレナ(planar)基盤に含まれる物
を、非限定適に示すと、ヒ化ガリウム(GaAs)、ケイ素
および結晶性ケイ素、ポリケイ素、無定形ケイ素、エピ
タキシャル・ケイ素および二酸化ケイ素およびそれらの
混合物のようなケイ素を含む組成物であり、その表面に
回路パターンを有していても、有していなくてもよい。
液状誘電体層、望ましくは、適切な溶媒に溶かしたス
ピン−オンガラスが、外部雰囲気条件で基盤上に塗布さ
れる。この誘電体層は、シルセスキオキサン重合体、シ
ロキサン重合体、ポリアリーレンエーテル、フッ素ポリ
アリーレンエーテル、高分子誘電性材料もしくはそれら
の混合物を含んでなる。本発明に有用な高分子誘電性材
料に含まれるのは、式[(HSiO1.5xOyを有する水
素シロキサン類、式(HSiO1.5を有する水素シルセ
スキオキサン類および、式[(HSiO1.5xOy(RSi
O1.5、[(HSiO1.5(RSiO1.5およ
び[(HSiO1.5xOy(RSiO1.5を有する水素オ
ルガノシロキサン類である。これらの高分子の構造式の
各々の中で、x=約6から約20、y=約1から約3、z
=約6から約20、n=1から約4,000そして各Rは、独
立にH、C1〜C8アルキルもしくは、C6〜C12アリール基
である。その重量平均分子量は、約1,000から約220,000
の範囲である。望ましい態様では、nは約100から約800
の範囲であり、分子量は約5,000から約45,000になる。
より望ましくは、nは約250から約650の範囲であり、分
子量は約14,000から約36,000になる。本発明の構成上有
用な重合体を非限定的に示すと、水素シロキサン、水素
シルセスキオキサン、水素メチルシロキサン、水素エチ
ルシロキサン、水素プロピルシロキサン、水素ブチルシ
ロキサン、水素t−ブチルシロキサン、水素フェニルシ
ロキサン、水素メチルシルセスキオキサン、水素エチル
シルセスキオキサン、水素プロピルシルセスキオキサ
ン、水素ブチルシルセスキオキサン、水素t−ブチルシ
ルセスキオキサンおよび水素フェニルシルセスキオキサ
ンおよびそれらの混合物である。水素オルガノシロキサ
ン類、ポリアリーレンエーテル類、フッ素化ポリアリー
レンエーテル類およびそれらの混合物が推奨される。有
用な有機重合体に含まれるのは、ポリイミド類、フッ素
化および非フッ素化重合体、特に、アライドシグナル社
(AlliedSignal Inc.)から、フレアTM(FLARETM)と
いう商品名で入手できる、フッ素化および非フッ素化ポ
リ(アリールエーテル)類およびそれらの共重合体混合
物である。本発明で使用するのに適した推奨されるシロ
キサン材料が、アライドシグナル社から、アクグラス
(AccuglassR)という商品名で市販されている。この重
合体成分は、その組成物の約10%から約30重量%の量存
在するのが望ましい。より望ましい範囲は、約15%から
約30%、そして最も望ましいのは、その組成物の約17%
から約25重量%である。
さらにこの組成物は、少くとも一種の溶媒を含んでな
る溶媒成分を含んでいる。適した溶媒を非限定的に示す
と、その誘電性材料の均一な溶液もしくは分散液を調製
するのに十分な量の水および有機溶媒である。有用な線
状構造溶媒を非限定的に示すと、デカメチレンテトラシ
ロキサン、1,3−ジオクチルテトラシロキサン、オクタ
メチルトリシロキサン、ペンタメチルジシロキサン、ヘ
キサメチルジシロキサン、1,1,3,3,5,5−ヘキサメチル
トリシロキサン、1,1,3,3−テトラメチルジシロキサ
ン、1,3−ビス−(トリメチルシロキシ)−1,3−ジメチ
ルシロキサン、ヒス−(トリメチルシロキシ)エチルシ
ラン、ビス−(トリメチルシロキシ)メチルシラン、デ
カメチルテトラシロキサン、ドデカメチルペンタシロキ
サン、1,1,1,3,3,5,5−ヘプタメチルトリシロキサン、
ヘキサエチルジシロキサン、ヘプタメチルトリシロキサ
ン、および1,1,3,3−テトライソプロピルジシロキサン
である。有用な環状溶媒を非限定的に示すと、デカメチ
ルシクロペンタシロキサン、ヘキサエチルシクロトリシ
ロキサン、ヘキサメチルシクロトリシロキサン、1,3,5,
7−テトラメチルシクロテトラシロキサン、ペンタメチ
ルシクロペンタシロキサン、オクタメチルシクロテトラ
シロキサン、式(CH3HSiO)3-5のメチルヒドロシクロシ
ロキサン類、1,3,5,7−テトラエチルシクロテトラシロ
キサンおよび1,3,5,7−テトラメチルシクロテトラシロ
キサンである。本発明のこれら溶媒の混合物は、そのよ
うな混合物を調製することにより基盤上へのこの組成物
の蒸着速度を微妙に調整することができるので、特に望
ましいことが見いだされた。この溶媒成分は、総組成物
中にその組成物の約70%から約90重量%存在するのが望
ましく、約70%から約85重量%存在するのがより望まし
く、そしてその組成物の約75%から約83重量%存在する
のが最も望ましい。
この誘電体材料は、この技術分野で良く知られている
常用のスピン−コーティング法、浸漬コーティング法、
スプレー法もしくはメニスカスコーティング法(menisc
us coating method)により基盤上に塗布される。ス
ピン−コーティング法が最も推奨される。基盤上の誘電
体フィルムの厚さは、その基盤に塗布される液状誘電体
の量に依存して変わり得るが、標準的には約500Åから
約50,000Åの範囲、そして望ましくは約2,000Åから12,
000Åの範囲である。この基盤に塗布される誘電性液体
の量は、約1mLから約10mLの範囲で変わるが、望ましく
は約2mLから約8mLの範囲である。望ましい態様では、こ
の液状材料は、既知のスピン法に従って、その基盤の上
表面にスピン−コートされる。望ましくは、この誘電体
は、溶液として、その基盤の中心に塗布され、次いで回
転ホイール上で、その溶液が基盤表面を横断して均等に
広がるようにするために、約500rpmと約6000rpmの範囲
の速度、望ましくは約1,500から約4,000rpmの速度で、
約5から約60秒の間、望ましくは約10から約30秒の間、
スピン回転される。この誘電体層の密度は、約1g/cm3
ら約3g/cm3の範囲であるのが望ましい。
この誘電体材料が基盤上に塗布された後、この誘電体
−基盤の複合体は、場合によるが、しかし望ましくは、
この誘電体フィルム中に存在する溶媒を蒸発させ、そし
てそのフィルムを部分的に硬化させるために、十分な時
間の間そして十分な温度で加熱される。部分硬化の望ま
しい程度は、この技術分野の習熟者なら、特に試験する
ことなしに決められるであろう。電子ビーム照射前のこ
の熱処理は材料とコーティング法に依存する。一般に、
誘電体を塗布した基盤は、約50℃から約450℃、より望
ましくは約80℃から約375℃の温度で、約0.5から約30分
の間、より望ましくは約1から約3分の間、加熱され
る。これは、ホットプレート上で行われるのが望ましい
が、オーブン中でも行うことができる。
望ましい態様では、この誘電体は、先ず約80℃から約
180℃の温度で約30秒から約2分の間加熱され、次いで
約150℃から約250℃で約30秒から約2分の間加熱され、
そして第3段目として約180℃から約375℃で約30秒から
約2分の間加熱される。このフィルムの熱処理により部
分的に橋架けが起りそしてその層は部分的にプレナー化
される(planarize)。この被膜を加熱後、得られるフ
ィルムの厚さは、約500Åから約50,000Åの範囲、望ま
しくは約500Åから約20,000Å、そして最も望ましくは
約1,000Åから約12,000Åの範囲である。
本発明の重要な特徴は、この乾燥した層を、その誘電
体層を部分的に硬化するのに十分な条件下で、電子ビー
ムを照射することであり、その場合、この露光により、
その誘電体層の相対的により硬くされた最上層スキン部
分と、その誘電体層の相対的にあまり硬くされていない
下層部分が生成する。例えば、この誘電体層の最上層の
より硬くされた部分の厚さは、約200から約10.000Å
で、残りの下層部分は、あまり硬くされていないかもし
くは橋架けされている。一つの場合には、この誘電体層
の最上層部分は、電子ビームに露光され、そしてその誘
電体層の下層部分は基本的に電子ビームに曝されず、そ
の基盤に隣接する最下層部分は全く曝されない。もう一
つの場合には、下層部分は、その層全体にわたって暫減
的に露光される。
このコートされた基盤は、その基盤の表面を電子流束
(フラックス)に曝すことにより硬化される。電子ビー
ム露光が行われる温度は、得られるフィルムに希望され
る特性と希望の加工時間の長さに依存するであろう。こ
の技術分野の普通の習熟者なら、要求する結果を得るた
めに、露光条件を最適に選ぶことは容易であるが、この
加熱温度は、一般に、約50℃から約500℃、望ましくは
約150℃から約400℃の範囲である。加熱は、約1から約
360分、望ましくは約3分から約30分の間行なわれる。
もう一つの態様では、このような加熱は、電子ビーム露
光中より、むしろ前に行われる。電子ビーム硬化中の圧
力は、約0.1mtorr(ミリトリチエリ)から100torr(ト
リチエリ)、そして望ましくは約1mtorrから約100mtorr
である。電子ビームへの露光時間は、基盤に加えられる
ビームの線量の強さとビーム流の密度に依存する。この
技術分野の普通の習熟者なら、要求される結果を得るた
めに、露光条件を容易に最適化できるであろうが、一般
に、その露光時間は、約500から約20,000マイクロクー
ロン/cm2、望ましくは約2,000から約10,000マイクロク
ーロン/cm2の電子ビーム線量を加えた場合で、約1分か
ら約120分そして望ましくは約3分から約60分である。
電子ビームの加速電圧は、約0.5から約20KeVの範囲であ
る。選ばれる線量とエネルギーは、加工されるフィルム
の厚さに比例するであろう。誘電体でコートされた基盤
は、その中に置かれた基盤に電子ビームを供給する装置
を有する任意のチャンバー中で、電子ビームに曝され
る。望ましい態様では、この誘電体でコートされた基盤
は、アライドシグナル連合のエレクトロン ヴィジョン
社(Electron Vision)から、“エレクトロン・キュ
ア"TM(“Electron Cure")TMという商品名で市販され
ているチャンバー中に置かれる。その操作原理と実用性
能特性は、本明細書に引用参照されている米国特許第5,
001,178号明細書中に説明されている。この装置は、直
径200mmまでの基盤に露光できる大面積電子源を備えて
いる。さらに、この電子源は、エネルギー可変性であ
る。電子ビーム露光は、水素、ヘリウム、アルゴン、窒
素、酸素、キセノンおよびそれらの混合物、そして望ま
しくは、アルゴン、水素、酸素およびその混合物から成
る群から選ばれる気体の存在下で行われるのが望まし
い。このプロセス・ガスの選択は、主として材料に依存
する。
図1は、制御された電子ビーム硬化を使用するスピン
−オン低−k重合体のための非−エッチバック法を示す
本発明の一つの実施態様を系統的に示している。この図
には、基盤上の金属導体4をコートする酸化物化学蒸着
層2が示されている。誘電性重合体がこの酸化物上に塗
布され、そして乾燥するまでベーキングされる。この誘
電性重合体は、電子ビーム放射線に曝されるが、電子ビ
ームの透過度は、金属導体の上部の誘電性フィルムの厚
さ8に大体等しくなるように調節される。下層領域7は
電子ビームに殆ど、もしくは完全に曝されない。点線
は、これらゾーン間の境界面を示す。
該電子ビーム硬化法でのその他のパラメータの中で最
も重要なパラメータは、電子ビームのエネルギーであ
り、それが電子ビームの透過深度、従ってそのフィルム
の硬化深度を決める。望ましい電子ビーム硬化深度は、
図1に示されているように、金属ラインの上部に保持さ
れた誘電体フィルムの厚さに依存する。そのフィルムの
密度が分っていると、電子ビームの透過深度(もしくは
電子ビーム硬化深度)との相関性は、次のGrunの式に従
って求められる: Rg=(0.046/d)Va1.75 (1) 式中、Rgは、その材料範囲(μm)もしくはGrun範囲、
dはフィルム密度(g/cm3)そしてVaは、加速電圧もし
くは電子エネルギー(KeV)である。しかし、電子ビー
ム硬化深度と電子エネルギーの間の関係は、異なる水準
の電子ビームエネルギーで、特定の材料について一連の
実験を行って確立することができる。
誘電体フィルムに照射される電子ビームの照射量は、
得られるフィルムに希望される特性に依存するであろ
う。電子ビームの照射量がより大きいことは、水分吸収
と酸化に敏感なフィルムにとっては好ましい効果を有す
る。しかし、電子ビームの照射量がより大きいと、電子
ビーム硬化から非−電子ビーム硬化に徐々に転移し、従
って、電子ビームの二次散乱に因ってそのフィルムの希
望の深度を超えて、電子ビームが透過する結果になるで
あろう。それ故、電子ビームの照射線量も、希望の電子
ビームエネルギー水準で照射線量を変えることを含む一
連の実験を行って選定するのが望ましい。この被露光誘
電体層は、選定された重合体材料に依存して、約2.6か
ら約4.0の範囲の誘電率を有するのが望ましい。この誘
電体層の相対的により硬くされた最上層の誘電率は、そ
の誘電体層の相対的にあまり硬くされていない下層部分
の誘電率とは異なっているのが望ましい。この誘電体層
の、より少なく露光された、もしくは露光されていない
下層部分は、その元の誘電率を保持するか、変化しても
僅かしか変化しないことが望ましい。場合により、その
後、この誘電体層を電子ビーム露光後に、電子ビーム照
射で誘起された副生物を除去するのに十分な条件で、こ
の誘電体層を加熱することもある。このような加熱は、
約50℃から約500℃の温度で、約1分間から約360分間、
望ましくは約150℃から約425℃の温度で、約3分間から
約60分間、行なわれるのが望ましい。
本発明はまた、導電性ラインを有する半導体基盤の表
面に、誘電性重合体組成物を塗布して乾燥することを含
んでなる、低誘電率の金属間誘電体フィルムの非−エッ
チバック加工法をも提供する。この誘電性重合体組成物
にフォトレジストを塗布し、そして紫外線を照射し、そ
して、そのデバイス上の導電性金属ラインの直ぐ上のフ
ォトレジストの部分が露光されそして除去されるような
常用の方法で現像される。次いで、この基盤に、電子ビ
ームを照射し、かくして金属ラインの直ぐ上にある誘電
体フィルムの部分だけが十分硬化される。最後に、残っ
たフォトレジストを常用のフォトレジスト−ストリッピ
ング法を用いて除去する。図2(a)、(b)および
(c)は,基盤上で金属導体4をコートしている化学蒸
着酸化物2を示している。この酸化物上に誘電性重合体
をスピンコートし、そして乾燥するまでベーキングす
る。図2(a)は、誘電性重合体組成物に塗布し、そし
て露光し、そして常法で現像して、除去されるフォトレ
ジストの部分は、金属導体4の上方にあった部分である
ようにする。この重合体に電子ビームを照射し、この場
合、そのフォトレジストは、電子ビームの透過が、その
金属導体の上部の誘電体フィルムの領域8に調整される
ように、画像形成的に除去される。図2(b)は、電子
ビームを照射し、次いで全フォトレジスト層を取除いた
後の構造を示している。下層領域6は、電子ビームに殆
ど、もしくは全く露光されていない。かくして、通路
(vias)12が通常の方法で形成され、図2(c)に示さ
れた構造を生成する。さて、残留したフォトレジスト
は、電子が誘電性領域6に深く透過するのを防ぐマスク
としても機能する。ライン5は、電子ビームの概略の透
過深度を示す曲線である。
適切なポジ型フォトレジスト組成物およびそれの利用
法は、例えば、米国特許第3,666,473;4,115,128および
4,173,470号明細書に記載されている。これらに含まれ
るのは、感光性材料と併用した。水不溶性でアルカリ水
溶液に可溶な樹脂である。希望の部分を、画像形成的に
紫外線に露光すると、そのフォトレジストは、アルカリ
可溶性になり、そしてその被膜の露光された領域は、露
光されていない領域より、より可溶性になる。この溶解
率の差に因り、その基盤をアルカリ性現像液中に浸漬し
た時、そのフォトレジスト被膜の露光領域が溶解するよ
うになり、一方非露光領域は大きい影響を受けないの
で、その基盤上にポジ型のレリーフ・パターンが生成す
る。このフォトレジスト被膜は、領域6を電子ビーム照
射から保護する。
以下の非限定実施例は、本発明を例示するためのもの
である。
実施例1 部分的ビーム硬化: シリコンウェハー(基盤)を、慣用的なスピンコーテ
ィングを用いて、水素シルセスキオキサンポリマーと諸
有機溶剤の混合物とを含んでなる溶液でコートした。コ
ートしたウェハーを、150℃で1分間のあと、180℃で1
分間、そして最後に275℃で1分間という連続ホットプ
レート処理に付した。次いで、このコートしたウェハー
を、電子ビーム暴露装置(AlliedSignal社の分社である
Electron VisionからのElectron Cure30TM)内で、表1
に示した条件下で電子ビームに暴露した。そのコートし
たウェハーを電子ビーム暴露装置から出して、e−ビー
ムエネルギーとe−ビーム線量の関数としてのFTIR分析
法を用いてのSi−H結合の保留率及びe−ビームエネル
ギーとe−ビーム線量の関数としてのフィルム厚を通る
湿式エッチング速度、といった側面において特性を明ら
かにした。この実験は、部分的e−ビーム硬化アプロー
チ(電子ビーム透過が、フィルムの全厚未満である一定
のフィルムの深さに制限されるような条件下での部分的
e−ビーム硬化手段)及びそのe−ビームエネルギーと
e−ビーム線量への依存性を変動させるように設計され
た。
FTIRスペクトルからの約2265cm-1の波数におけるSi−
Hピークは、水素シルセスキオキサンポリマーの特性の
ピークである。電子ビーム暴露は、このSi−H結合を分
解して、水素をそのポリマーフィルムから駆逐して拡散
させ得る。また、電子ビーム暴露は、そのポリマーフィ
ルムを密にするであろう。これは、水素シルセスキオキ
サンフィルムを化学構造並びに物理特性においてより二
酸化ケイ素(SiO2)に類似するように修飾するであろ
う。エネルギーを与えられた電子の透過深度(又はGrum
域)は、電子のエネルギーレベルと材料密度に依存する
ので、所与の材料について、電子透過深度は、専ら電子
のエネルギーレベルに依存する。表1及び図3(a)、
3(b)及び4に示した結果を参照すると、3KeVのエネ
ルギーのe−ビーム暴露についてのSi−H結合の保留率
は、熱硬化フィルムの56〜69%も高く、これは、その電
子透過深度がそのフィルム厚よりずっと小さいことを示
している(フィルム厚の約3分の1)。しかしながら、
5.5及び8KeVのe−ビームエネルギーについては、Si−
H結合のピークが有意に減少したが、これは、ポリマー
フィルムの全体の層が電子ビームに暴露されたことを示
している。また、図4に示すように、3KeVのe−ビーム
暴露について、湿式エッチング速度は、約1000Åのフィ
ルム厚までは相対的に均一で、次いで、フィルム厚の深
度に伴って劇的に増加する。このことも、このフィルム
の1000Å厚の最上層は、そのフィルムの残りの部分より
も硬いことを示しいる。より高いエネルギーに暴露され
たフィルムについては、厚さ全体を通しての湿式エッチ
ング速度は相対的に均一である。加えて、e−ビーム硬
化深度へのe−ビーム線量の影響は、e−ビームエネル
ギーほど大きくはないことが明確に分かる。
実施例2 熱安定性: シリコンウェハーを、慣用的なスピンコーティングを
用いて、水素シルセスキオキサンポリマーと諸有機溶剤
の混合物とを含んでなる溶液でコートした。コートした
ウェハーを、150℃で1分間のあと、180℃で1分間、そ
して最後に275℃で1分間という連続ホットプレート処
理に付した。次いで、このコートしたウェハーを2組に
分けて、一方を熱硬化に使用して対照(熱硬化と言う)
とし、他方を部分的電子ビーム硬化に使用した(部分的
e−ビーム硬化と言う)。熱硬化グループのコートした
ウェハーは、水平炉内で400℃で30分間、大気圧下10
/分の窒素気流下で熱硬化された。他方のグループのコ
ートしたウェハーは、部分的硬化の条件(エネルギー:3
KeV;線量:3000μC/cm2;温度:250℃;電流:15mA及びアル
ゴンガス雰囲気)下で電子ビームに暴露された。次い
で、各々のグループからのウェハーを、それぞれ、水平
炉内で、400、450、500及び600℃に30分間、大気圧下10
/分の窒素気流下で暴露してから、これらウェハーに
ついて、フィルム厚、収縮率及びFTIR分析を行って、熱
安定性を評価した(Si−H結合保留率及びフィルム収縮
率)。結果を表2に示す。
表2及び図5(a)及び5(b)に示されるように、
熱硬化フィルムについては、Si−H結合の保留率は、熱
暴露の温度の増加に伴って急速に低下し、フィルム収縮
率は温度と共に大きく増加している。しかしながら、部
分的e−ビーム硬化フィルムについては、所与の熱暴露
温度について、Si−H結合の保留率はずっと高く、収縮
率は前者よりもずっと低い。これら結果は、少なくとも
500℃までは、部分的e−ビーム硬化が熱安定性を有意
に増進させることを示している。バックエンド加工に関
する現行IC製造法では、関与する温度はめったに450℃
を越えない。このような部分的e−ビーム暴露によるポ
リマーフィルムの熱安定性の向上は、製造容易性、信頼
性、収率及びそれらによる製造コストに大きな恩恵をも
たらすであろう。
実施例3 酸素プラズマ抵抗性: シリコンウェハーを、慣用的なスピンコーティングを
用いて、メチルシルセスキオキサンポリマーと諸有機溶
剤の混合物とを含んでなる溶液でコートした。コートし
たウェハーを、180℃で1分間のあと、180℃で1分間、
そして最後に250℃で1分間という連続ホットプレート
処理に付した。次いで、このコートしたウェハーを3組
に分けて、1組を熱硬化に使用して対照(熱硬化と言
う)とし、他の2組を部分的電子ビーム硬化に使用した
(部分的e−ビーム硬化1及び部分的e−ビーム硬化2
と言う)。熱硬化グループのコートしたウェハーは、水
平炉内で425℃で60分間、大気圧下15/分の窒素気流
下で熱硬化された。部分的e−ビーム硬化1のコートし
たウェハーは、エネルギー:2KeV;線量:3000μC/cm2;温
度:250℃;電流:15mA及びアルゴンガス雰囲気の条件下
で電子ビームに暴露された。部分的e−ビーム硬化2の
コートしたウェハーは、3KeVのエネルギーを用いたこと
を除いては、部分的e−ビーム硬化1と同じ条件下で電
子ビームに暴露された。次いで、全てのウェハーを、Ga
sonic Aura 1000ストリッパー装置中で、酸素気流:4.5
/分;窒素気流:0.45/分;及び温度:約250℃の条
件下で60分間、酸素プラズマに暴露した。次いで、Si−
C結合(〜1280cm-1の波数)及びC−H結合(〜2970cm
-1の波数)の保留率についてのFTIR分析、及び水又はシ
ラノール(Si−OH)吸収についてのFTIR分析、及びフィ
ルム収縮率を明らかにした。結果を表3及び図6(a)
〜(c)に示す。
表3及び図6(a)〜(c)に示されるように、熱硬
化メチルシルセスキオキサンフィルムについては、Si−
C及びC−Hの含有量は、水及び/又はシラノールの有
意な吸収と共に大きく減少し、酸素プラズマ暴露で大き
なフィルム収縮率となったが、これは、このフィルムが
酸素プラズマに非常に感受性であることを示している。
これとは対照的に、e−ビーム硬化フィルムについて
は、Si−C及びC−Hの両方の結合が100%保持され、
フィルム収縮及び水及び/又はシラノール吸収は殆どな
かった。この部分的電子ビーム処理は、フィルムの酸素
プラズマ抵抗性を大きく増進させた。
実施例4 誘電率: シリコンウェハーを、慣用的なスピンコーティングを
用いて、メチルシルセスキオキサンポリマーと諸有機溶
剤の混合物とを含んでなる溶液又は水素シルセスキオキ
サンポリマーと諸有機溶剤の混合物とを含んでなる溶液
のいずれかでコートした。コートしたウェハーを連続ホ
ットプレート処理に付した後に、熱硬化、部分的e−ビ
ーム硬化又は完全e−ビーム硬化のいずれかに付した。
表4にこの実験の条件を、焼付け、熱硬化及びe−ビー
ム硬化についての詳細な条件で示す。約1ミクロンの厚
さ及び0.5mmの直径を有するアルミニウムのドットを、
慣用的なスパッタリング金属堆積装置を用いて、このコ
ートしたウェハーの表層にコートした。測定された誘電
率データを表4に示す。
明らかなように、暴露は、誘電層の表層部分を比較的
より硬いものにし、誘電層の下層部分を比較的あまり硬
くないものにする。スピンオンされた低誘電率(低k
値)ポリマーフィルムは、半導体基盤上に適用され、そ
して電子ビーム照射を用いてそのフィルムの低誘電率特
性を失うことなく加工される。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 フォレスター,リン アメリカ合衆国カリフォルニア州95124, サンノゼ,ガナー・ドライブ 2233 (72)発明者 チョイ,ドン・キュー 大韓民国キョンギ―ド 463―075,サン ―ナム―シ,ブン―ダン―グ,ハ―タッ プ―ドン,スサン・ヨン・アパートメン ト 506―1401 (72)発明者 ワン,シ−チン アメリカ合衆国カリフォルニア州95008, キャンベル,ヴァージニア・アベニュー 495 (72)発明者 ヘンドリックス,ニール・エイチ アメリカ合衆国カリフォルニア州95370, ソノラ,ハビタット・トレイル 16525 (56)参考文献 特開 平1−319942(JP,A) 特開 平10−107141(JP,A) 特表 平11−506872(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/312 - 21/316 H01L 21/768

Claims (24)

    (57)【特許請求の範囲】
  1. 【請求項1】誘電体フィルムを基盤上に形成する方法で
    あって、高分子誘電体組成物層を基盤上に適用して乾燥
    すること、及び、該乾燥された層を、該誘電体組成物層
    を部分的に硬化させるのに十分な条件下で、電子ビーム
    に曝露することを含んでなり、該曝露が、該誘電体組成
    物層の相対的により硬くされた最上層部分と該誘電体組
    成物層の相対的にあまり硬くされていない下層部分を形
    成する方法。
  2. 【請求項2】誘電体組成物層が、シルセスキオキサン重
    合体、シロキサン重合体、ポイイミド、ポリアリーレン
    エーテル、フッ素化ポリアリーレンエーテル、若しくは
    それらの混合物を含んでなる、請求項1記載の方法。
  3. 【請求項3】誘導体組成物層が500Åから50,000Åの厚
    さを有する、請求項1記載の方法。
  4. 【請求項4】誘導体組成物層の相対的に硬くされた最上
    層部分の誘電率が、該誘電体組成物層の相対的にあまり
    硬くされていない下層部分の誘電率とは異なる、請求項
    1記載の方法。
  5. 【請求項5】誘電体組成物層の最上層の部分が電子ビー
    ム照射に曝露され、そして該誘電体組成物層の下層部分
    が電子ビーム照射に曝露されない、請求項1記載の方
    法。
  6. 【請求項6】誘電体組成物層が500Åから50,000Åの厚
    さを有し、そして該誘電体組成物層の最上層部分が200
    Åから10,000Åの厚さを有する、請求項1記載の方法。
  7. 【請求項7】基盤が半導体材料を含んでなる、請求項1
    記載の方法。
  8. 【請求項8】誘電体組成物層が、[(HSiO1.5)xOy]
    n、(HSiO1.5)n、[(HSiO1.5)xOy(RSiO1.5)z]
    n、[(HSiO1.5)x(RSiO1.5)y]n及び[(HSiO
    1.5)xOy(RSiO1.5)z]n(式中、x=6〜20、Y=
    1〜3、Z=6〜20、n=1〜4,000、そして各のR
    は、独立に、H、C1〜C8アルキル又はC6〜C12アリール
    基である)から成る群から選ばれる式を有する少なくと
    も一種の重合体を含んでなる、請求項1記載の方法。
  9. 【請求項9】誘導体組成物層が、水素シルセスキオキサ
    ン若しくはメチルシルセスキオキサンを含んでなる請求
    項1記載の方法。
  10. 【請求項10】電子ビーム曝露前若しくは曝露中に、少
    なくとも部分的に硬化した誘電体組成物層を基盤上に形
    成させるにの十分な条件下で、誘導体組成物層を加熱す
    る工程をさらに含んでなる、請求項1記載の方法。
  11. 【請求項11】加熱が、25℃から500℃の温度で、1分
    から360分間行われる、請求項10記載の方法。
  12. 【請求項12】電子ビームを曝露する前に、基盤上の少
    なくとも部分的に固化した誘電体組成物層の上にフォト
    レジスト層を適用し、画像を形成するように曝露し、そ
    して該フォトレジスト層を現像し、それにより該フォト
    レジスト層の一部を該誘電体組成物層から除去し、そし
    て該誘電体組成物層上に残存している該フォトレジスト
    層の一部を残す工程を更に含んでなる、請求項10記載の
    方法であって、該誘導体組成物層上に残存している該フ
    ォトレジスト層の部分の下にある誘電体組成物層の一部
    分が、除去されたフォトレジスト層の部分に対応する誘
    電体組成物層の部分よりも、あまり電子ビーム照射に曝
    されていない方法。
  13. 【請求項13】電子ビーム曝露が、実質的に均一に硬く
    された誘電体組成物層を形成する、請求項10記載の方
    法。
  14. 【請求項14】誘電体組成物層上に残存しているフォト
    レジストの部分を除去する後続工程をさらに含んでな
    る、請求工12記載の方法。
  15. 【請求項15】フォトレジスト層の除去された部分に対
    応する誘電体組成物層の一部分から基盤までの通路を形
    成する後続工程をさらに含んでなる、請求項12記載の方
    法。
  16. 【請求項16】通路の下にある基盤の部分が導電性材料
    を含んでなる、請求項15記載の方法。
  17. 【請求項17】電子ビーム曝露が、水素、ヘリウム、酸
    素、アルゴン、窒素、キセノン及びそれらの混合物から
    なる群から選ばれた気体の存在下で行われる、請求項1
    記載の方法。
  18. 【請求項18】電子ビーム曝露が、25℃から500℃の温
    度で行われる、請求項1記載の方法。
  19. 【請求項19】曝露された誘電体組成物層が2.6から4.0
    の範囲の誘電率を有する、請求項1記載の方法。
  20. 【請求項20】誘電体組成物層が、1g/cm3から3g/cm3
    密度を有する、請求項1記載の方法。
  21. 【請求項21】電子ビーム曝露が、0.5KeVから20KeVの
    エネルギーレベルで行われる、請求項1記載の方法。
  22. 【請求項22】電子ビーム曝露が、500μC/cm2から20,0
    00μC/cm2の電子線量で行われる、請求項1記載の方
    法。
  23. 【請求項23】電子ビーム曝露後に、電子ビーム曝露で
    誘導された副生物を除去するのに十分な条件下で、誘電
    体組成物層を加熱する工程をさらに含んでなる、請求項
    1記載の方法。
  24. 【請求項24】加熱が、50℃から500℃の温度で、1か
    ら360分間行われる、請求項23記載の方法。
JP54573798A 1997-03-24 1998-03-09 誘電体フィルムを基盤上に形成する方法 Expired - Fee Related JP3276963B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US4110497P 1997-03-24 1997-03-24
US60/041,104 1998-02-24
US09/028,465 1998-02-24
US09/028,465 US6080526A (en) 1997-03-24 1998-02-24 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
PCT/US1998/004560 WO1998043294A1 (en) 1997-03-24 1998-03-09 Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation

Publications (2)

Publication Number Publication Date
JP2000511006A JP2000511006A (ja) 2000-08-22
JP3276963B2 true JP3276963B2 (ja) 2002-04-22

Family

ID=26703725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP54573798A Expired - Fee Related JP3276963B2 (ja) 1997-03-24 1998-03-09 誘電体フィルムを基盤上に形成する方法

Country Status (9)

Country Link
US (1) US6080526A (ja)
EP (1) EP0970519B1 (ja)
JP (1) JP3276963B2 (ja)
KR (1) KR100477455B1 (ja)
CN (1) CN1113408C (ja)
CA (1) CA2284760A1 (ja)
DE (1) DE69836009D1 (ja)
IL (1) IL132017A0 (ja)
WO (1) WO1998043294A1 (ja)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US8021976B2 (en) * 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
EP1157059A1 (en) 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US6361837B2 (en) 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6207555B1 (en) * 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6770975B2 (en) * 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6495478B1 (en) * 1999-06-21 2002-12-17 Taiwan Semiconductor Manufacturing Company Reduction of shrinkage of poly(arylene ether) for low-K IMD
US20060263531A1 (en) * 2003-12-18 2006-11-23 Lichtenhan Joseph D Polyhedral oligomeric silsesquioxanes as glass forming coatings
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6291302B1 (en) * 2000-01-14 2001-09-18 Advanced Micro Devices, Inc. Selective laser anneal process using highly reflective aluminum mask
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US7011868B2 (en) * 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6465361B1 (en) * 2001-02-20 2002-10-15 Advanced Micro Devices, Inc. Method for preventing damage of low-k dielectrics during patterning
US6951707B2 (en) * 2001-03-08 2005-10-04 Ppg Industries Ohio, Inc. Process for creating vias for circuit assemblies
US7000313B2 (en) * 2001-03-08 2006-02-21 Ppg Industries Ohio, Inc. Process for fabricating circuit assemblies using electrodepositable dielectric coating compositions
US6458691B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Dual inlaid process using an imaging layer to protect via from poisoning
US6589711B1 (en) 2001-04-04 2003-07-08 Advanced Micro Devices, Inc. Dual inlaid process using a bilayer resist
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6824959B2 (en) * 2002-06-27 2004-11-30 Ppg Industries Ohio, Inc. Process for creating holes in polymeric substrates
US20060213685A1 (en) * 2002-06-27 2006-09-28 Wang Alan E Single or multi-layer printed circuit board with improved edge via design
CN1672475B (zh) * 2002-06-27 2011-11-23 Ppg工业俄亥俄公司 有凹入或伸长分离接头片的单层或多层印刷电路板及其制造方法
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
CN1302524C (zh) * 2002-09-27 2007-02-28 上海华虹(集团)有限公司 有机聚合物低介电材料刻蚀后的湿法去胶工艺
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6972217B1 (en) * 2002-12-23 2005-12-06 Lsi Logic Corporation Low k polymer E-beam printable mechanical support
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
JP5441084B2 (ja) * 2003-12-18 2014-03-12 ハイブリッド・プラスティックス・インコーポレイテッド コーティング、複合材料および添加剤としての、ポリヘドラルオリゴメリックシルセスキオキサンおよび金属化されたポリヘドラルオリゴメリックシルセスキオキサン
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7075093B2 (en) 2004-05-12 2006-07-11 Gorski Richard M Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7259381B2 (en) * 2004-08-03 2007-08-21 Applied Materials, Inc. Methodology for determining electron beam penetration depth
US7588803B2 (en) * 2005-02-01 2009-09-15 Applied Materials, Inc. Multi step ebeam process for modifying dielectric materials
JP2006253577A (ja) * 2005-03-14 2006-09-21 Fuji Photo Film Co Ltd 絶縁膜、その製造方法及び該絶縁膜を有するデバイス
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7473567B2 (en) 2006-03-30 2009-01-06 Tokyo Electron Limited Change rate prediction method, storage medium, and substrate processing system
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US20140014621A1 (en) * 2012-07-16 2014-01-16 Zhaoning Yu Analysis of pattern features
US9558930B2 (en) 2014-08-13 2017-01-31 International Business Machines Corporation Mixed lithography approach for e-beam and optical exposure using HSQ
WO2018013976A1 (en) * 2016-07-15 2018-01-18 Brewer Science Inc. Laser ablative dielectric material

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4222792A (en) * 1979-09-10 1980-09-16 International Business Machines Corporation Planar deep oxide isolation process utilizing resin glass and E-beam exposure
US4503126A (en) * 1982-08-18 1985-03-05 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4435441A (en) * 1982-12-30 1984-03-06 The United States Of America As Represented By The Secretary Of The Army Method of frequency trimming surface acoustic wave devices
US4661193A (en) * 1984-08-27 1987-04-28 The Dow Chemical Company Adhesive compositions for arylcyclobutene monomeric compositions
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5270259A (en) * 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
US5141970A (en) * 1990-12-10 1992-08-25 Loctite (Ireland) Limited Method of forming high-temperature resistant polymers
US5262392A (en) * 1991-07-15 1993-11-16 Eastman Kodak Company Method for patterning metallo-organic percursor film and method for producing a patterned ceramic film and film products
US5229172A (en) * 1993-01-19 1993-07-20 Medtronic, Inc. Modification of polymeric surface by graft polymerization
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
EP0701277B1 (en) * 1994-05-27 2008-02-27 Texas Instruments Incorporated Interconnection method using a porous insulator for line to line capacitance reduction
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5545475A (en) * 1994-09-20 1996-08-13 W. L. Gore & Associates Microfiber-reinforced porous polymer film and a method for manufacturing the same and composites made thereof
US6652922B1 (en) * 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
US5609925A (en) * 1995-12-04 1997-03-11 Dow Corning Corporation Curing hydrogen silsesquioxane resin with an electron beam
US5789140A (en) * 1996-04-25 1998-08-04 Fujitsu Limited Method of forming a pattern or via structure utilizing supplemental electron beam exposure and development to remove image residue
US5763049A (en) * 1996-04-30 1998-06-09 Minnesota Mining And Manufacturing Company Formed ultra-flexible retroreflective cube-corner composite sheeting with target optical properties and method for making same
US5883212A (en) * 1996-05-08 1999-03-16 Rexam Graphics, Inc. Conductivity exaltation in radiation cured electrically conductive coatings
US5916641A (en) * 1996-08-01 1999-06-29 Loctite (Ireland) Limited Method of forming a monolayer of particles
ES2176655T3 (es) * 1996-08-15 2002-12-01 Alcan Tech & Man Ag Reflector con superficie resistente.
US5863963A (en) * 1996-08-29 1999-01-26 Xerox Corporation Halomethylated high performance curable polymers
US5707681A (en) * 1997-02-07 1998-01-13 Dow Corning Corporation Method of producing coatings on electronic substrates
US5843537A (en) * 1997-03-07 1998-12-01 Quantum Corporation Insulator cure process for giant magnetoresistive heads
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides

Also Published As

Publication number Publication date
IL132017A0 (en) 2001-03-19
CA2284760A1 (en) 1998-10-01
CN1113408C (zh) 2003-07-02
JP2000511006A (ja) 2000-08-22
EP0970519B1 (en) 2006-09-27
KR100477455B1 (ko) 2005-03-23
WO1998043294A1 (en) 1998-10-01
CN1257610A (zh) 2000-06-21
EP0970519A1 (en) 2000-01-12
KR20010005703A (ko) 2001-01-15
DE69836009D1 (de) 2006-11-09
US6080526A (en) 2000-06-27

Similar Documents

Publication Publication Date Title
JP3276963B2 (ja) 誘電体フィルムを基盤上に形成する方法
US6214748B1 (en) Semiconductor device and method for the fabrication thereof
US6831005B1 (en) Electron beam process during damascene processing
JP4546094B2 (ja) デュアルダマシン配線をパターン形成する三層マスキングアーキテクチャ
JP2006190962A (ja) 半導体装置の製造方法
JP2003518767A (ja) 電子ビーム放射を利用してスピンオン誘電体被膜を硬化する方法
US20050161763A1 (en) Porogen material
KR101040687B1 (ko) 손상된 유전체 물질 및 막의 보상 및 회복
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
US7829268B2 (en) Method for air gap formation using UV-decomposable materials
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
JP2005294525A (ja) 半導体装置の製造方法
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
US6426127B1 (en) Electron beam modification of perhydrosilazane spin-on glass
JP2010278121A (ja) 半導体装置およびその製造方法
TW201933435A (zh) 半導體裝置之製造方法
KR100656225B1 (ko) 스핀-온 세라믹 막으로 구성된 패터닝층
JPH09241518A (ja) 樹脂組成物および多層配線形成方法
JP2004253626A (ja) 多孔性絶縁膜、電子装置及びそれらの製造方法
KR20020045494A (ko) 저유전율 층간절연막을 가지는 반도체 장치 형성 방법
JP2004260076A (ja) 被膜形成用塗布液、絶縁膜及びその製造方法ならびに半導体装置
TW409283B (en) Integration of LOW-K polymers into interlevel dielectrics using controlled electron-beam radiation
JP5565314B2 (ja) 半導体装置の製造方法及びその製造装置
JP2004204061A (ja) 低誘電率膜用組成物、低誘電率膜及び半導体装置

Legal Events

Date Code Title Description
R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees