JP3257593B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP3257593B2
JP3257593B2 JP2909299A JP2909299A JP3257593B2 JP 3257593 B2 JP3257593 B2 JP 3257593B2 JP 2909299 A JP2909299 A JP 2909299A JP 2909299 A JP2909299 A JP 2909299A JP 3257593 B2 JP3257593 B2 JP 3257593B2
Authority
JP
Japan
Prior art keywords
pattern
mask
film
hard mask
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2909299A
Other languages
English (en)
Other versions
JP2000227652A (ja
Inventor
清隆 今井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2909299A priority Critical patent/JP3257593B2/ja
Priority to TW089100851A priority patent/TW462073B/zh
Priority to KR1020000005370A priority patent/KR100363992B1/ko
Priority to US09/497,423 priority patent/US6573027B1/en
Publication of JP2000227652A publication Critical patent/JP2000227652A/ja
Application granted granted Critical
Publication of JP3257593B2 publication Critical patent/JP3257593B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に関し、特に位相シフトマスクを用いたパターンの形
成方法に関する。
【0002】
【従来の技術】半導体装置の高集積化のために、フォト
リソグラフィ工程では微細で密なパターン形成が求めら
れている。そのため、一般にフォトリソグラフィ工程の
露光には縮小投影露光法が用いられているが、その解像
限界を向上し、より微細で密なパターンを形成するため
に位相シフト法が利用されている。
【0003】位相シフト法の原理について、レベンソン
型位相シフト法でラインアンドスペース(L/S)パタ
ーンを形成する場合を例に挙げて簡単に説明する。この
場合、透明基板上に遮光材料でラインを形成し、開口部
分(スペース部分)の一つおきに位相シフタを配置した
位相シフトマスクが用いられる。このマスク上の開口部
を通過した光は、レンズを通ってウェーハのレジスト膜
上に像を結ぶ。隣合う開口部の間隔が狭くなると、位相
シフタを有しない通常のマスクでは、隣合う開口部から
の回折光は位相が同じであるため互いに干渉し、隣合う
開口部の像は互いに分離できなくなる。一方、位相シフ
トマスクでは、隣合う開口部からの光の位相は180度
異なるため、回折光は互いに干渉して打ち消し合い、隣
合う開口部の像は互いに分離する。
【0004】このような位相シフト法は、L/Sパター
ン等の繰り返しパターンや、孤立パターン、不規則パタ
ーン(random patterns)等の形成に利用され、DRA
Mのビット線やCMOSのゲートパターン等の種々の半
導体装置の製造に適用されている。例えば、SPIE V
ol.3051, pp.342-351 (1997)には、位相シフト法を0.
16μmのCMOSゲートパターンへ適用した研究が報
告されている。
【0005】以下に、位相シフト法を用いて微細なゲー
トパターンを形成する一般的な方法について説明する。
【0006】ここでは、図2(a)及び(b)に示す2
種のマスクを別々に用いて2回の露光を行い、これら2
枚のマスクから得られる合成像によってウェーハ上にゲ
ートパターンを形成する。図2(a)は、透明基板21
上にライン用遮光部22と位相シフタ部23が形成され
た位相シフトマスク(マスクA)の構成図である。ライ
ン用遮光部22のパターンが形成したいパターンであ
る。図2(b)は、マスクAを用いた露光後、形成した
いゲートパターン部分のポジ型レジストを次の露光から
保護するための保護用遮光部24が形成されたマスク
(マスクB)の構成図である。
【0007】上記のマスクA及びマスクBを用いてウェ
ーハ上のポジ型レジストに転写されるパターンを図3に
示す。図3(a)は、マスクAを用いた第1の露光によ
る転写パターンを示す。位相シフタ部の周囲にリング状
のパターンが転写され、ライン用遮光部22で遮光され
た部分以外にもパターンが転写されている。これは、位
相シフタ部23の周囲のエッジ部では光の振幅が弱めら
れ、実質的に遮光されるためである。図3(b)は、マ
スクAを用いた第1の露光時に転写されるパターンとマ
スクBを用いた第2の露光時に転写されるパターンとの
位置関係を示す。第2の露光の際、マスクBは、形成し
たいゲートパターン部分のレジストを保護用遮光部24
で保護できるように位置合わせする。図3(c)は、第
1の露光に次いで第2の露光を行い、続いて現像を行っ
た後のレジストパターンを示す。第1の露光において遮
光され転写されたパターンのうち不要な部分は第2の露
光により光が照射されるため、その部分のレジストは現
像により除去され、所定のレジストパターンが形成され
る。
【0008】次に、上記2種のマスクを用いてレジスト
パターンを形成し、シリコン基板上にゲートパターンを
形成する方法について説明する。図4は、この方法を説
明するための工程断面図であり、図4(a1)〜(a4)
は、図3(b)のA−A’線断面に相応する図であり、
図4(b1)〜(b4)は、図3(b)のB−B’線断面
に相応する図である。
【0009】まず、シリコン基板101上にゲート酸化
膜102を形成し、その上に多結晶シリコン膜103を
形成する。さらにその上に、ポジ型フォトレジスト膜1
05を形成する。このシリコン基板上にマスクA(10
6)を介して第1の露光を行う(図4(a1)、(b
1))。マスクAの位相シフタ部23のエッジ部とライ
ン用遮光部22に対応するレジスト領域には光が照射さ
れず、図3(a)に示すリング状の非露光領域パターン
が転写される。
【0010】次に、マスクB(107)をその転写パタ
ーンが図3(b)に示す位置関係となるように位置合わ
せを行い、このマスクBを介して第2の露光を行う(図
4(a2)、(b2))。この第2の露光により、マスク
Aの位相シフタ部23のエッジ部による非露光領域パタ
ーン部分にも光が照射される。
【0011】続いて現像を行うことにより、図3(c)
に示す形状のレジストパターン109が形成される(図
4(a3)、(b3))。そしてこのレジストパターンを
マスクとして多結晶シリコン膜103のエッチングを行
い、不要になったレジスト109を除去して、レジスト
パターン109に相応したゲートパターン110が形成
される(図4(a4)、(b4))。
【0012】
【発明が解決しようとする課題】しかしながら、上記従
来の方法には次のような問題がある。すなわちパターン
の間隔が狭くなるに従ってパターンの細りが顕著になる
ことである。最終的なパターン寸法が設計値から大きく
ズレると、歩留まりや信頼性の低下、素子特性の低下な
ど種々の問題が引き起こされる。
【0013】このようなパターンの細りの発生理由を上
記製造方法の場合を例に説明する。図3(b)におい
て、パターンの間隔、すなわちライン間隔(W1)が狭
くなると、マスクAとマスクBのマージン(W2)が小
さくなる。このようにマージン(W2)が小さくなる
と、第2の露光において(図4(a2))、保護される
べき非露光レジスト部108が光の漏れの影響を受けや
すくなる。その結果、現像後に形成されたレジストパタ
ーン109の幅(W3)が小さくなる、すなわちパター
ンの細りが起きる。
【0014】そこで本発明の目的は、パターン形成工程
において間隔の狭い微細パターンであってもパターンの
細りが生じず、ほぼ設計寸法どおりのパターンが形成可
能な、半導体装置の製造方法を提供することである。
【0015】
【課題を解決するための手段】本発明は、所定のパター
ンを形成するための被パターン形成膜上にハードマスク
材料膜を形成し、該ハードマスク材料膜上にフォトレジ
スト膜を形成する工程と、位相シフタを有する第1のマ
スクを用いて第1の露光および現像を行う工程と、形成
されたレジストパターンをマスクとして前記ハードマス
ク材料膜をエッチングする工程と、形成されたハードマ
スクパターンを覆うようにフォトレジスト膜を形成する
工程と、該ハードマスクパターンの必要な部分のみを被
覆するフォトレジスト部分を露光・現像後に残すことが
できるパターンを有する第2のマスクを用いて第2の露
光および現像を行う工程と、該フォトレジスト部分で被
覆されていない不要なハードマスク部分をエッチング除
去する工程と、残ったハードマスクパターンをマスクと
して前記被パターン形成膜をエッチングする工程を有す
ることを特徴とする半導体装置の製造方法に関する。
【0016】本発明は、所定のパターンを形成するため
の被パターン形成膜上にハードマスク材料膜を形成し、
該ハードマスク材料膜上にポジ型フォトレジスト膜を形
成する工程と、後の工程に用いる第1のマスクの転写パ
ターンに相当するレジスト領域の内で必要な部分には露
光されず不要な部分に露光されるようなパターンを有す
る第2のマスクを用いて第1の露光および現像を行う工
程と、形成されたレジストパターンをマスクとして前記
ハードマスク材料膜をエッチングする工程と、該レジス
トパターンを除去した後、形成されたハードマスクパタ
ーンを覆うようにフォトレジスト膜を形成する工程と、
位相シフタを有する第1のマスクを用いて第2の露光お
よび現像を行う工程と、形成されたレジストパターンを
マスクとして不要なハードマスク部分をエッチング除去
する工程と、該レジストパターンを除去した後、形成さ
れたハードマスクパターンをマスクとして前記被パター
ン形成膜をエッチングする工程を有することを特徴とす
る半導体装置の製造方法に関する。
【0017】
【発明の実施の形態】以下、本発明の好適な実施の形態
をゲートパターンの形成を例に挙げて説明する。
【0018】本発明では位相シフタを有するマスク、す
なわち位相シフトマスクを用いるが、レベンソン型また
はクロムレス型の位相シフトマスクが好適である。本実
施の形態ではレベンソン型位相シフトマスクを用いた例
を説明する。
【0019】また、本発明に用いるハードマスクとして
は、ドライエッチングによりパターニングするパターン
形成材料膜上に形成される無機系のマスク材料膜であっ
て、前記パターン形成材料に対してエッチング速度が十
分に小さく、すなわち十分大きなエッチング選択性を有
するものを用いることが好ましい。レジストが前記パタ
ーン形成材料に対して十分なエッチング選択性をもって
いない時にレジストパターンをマスクとしてハードマス
ク材料膜をパターニングし、続いて、形成されたハード
マスクパターンをマスクとして前記パターン形成材料膜
をエッチングするといった使い方をする。この使い方に
おいて、レジストパターンをマスクとしてハードマスク
材料膜をエッチングする際は、ハードマスク材料のレジ
ストに対するエッチング速度は十分に大きいことが必要
である。
【0020】本発明の方法には、前記従来方法に用いら
れたマスクと同様な図2(a)及び(b)に示す2種の
マスクを用いた。図2(a)は、ガラス基板等の透明基
板上に、ライン用遮光部22と位相シフタ部23が形成
された位相シフトマスク(マスクA)の構成図である。
また、遮光部22はクロムや酸化クロム等の遮光材料で
形成される。位相シフタ部は、シリコン酸化膜等の基板
と異なる材料であって位相差を生じさせる材料で形成し
たり、基板の厚みを変えて位相を変化させることにより
形成される。なお、ライン用遮光部22のパターンが形
成したいパターンである。図2(b)は、形成したいゲ
ートパターンに対応するハードマスク部分のみを被覆す
るレジストパターンを形成するための保護用遮光部24
が形成されたマスク(マスクB)の構成図である。
【0021】上記のマスクA及びマスクBを用いてウェ
ーハ上に転写されるパターンを図3に示す。図3(a)
は、マスクAを用いた第1の露光による転写パターンを
示す。位相シフタ部の周囲にリング状のパターンが転写
され、ライン用遮光部22で遮光された部分以外にもパ
ターンが転写されている。これは、位相シフタ部23の
周囲のエッジ部では光の振幅が弱められ、実質的に遮光
されるためである。図3(b)は、マスクAを用いた第
1の露光時に転写されるパターンとマスクBを用いた第
2の露光時に転写されるパターンとの位置関係を示す。
第2の露光の際、マスクBは、形成したいゲートパター
ンに対応するハードマスク部分のみを被覆するレジスト
パターンが形成できるように位置合わせする。図3
(c)は、最終的に形成したいゲートパターンに相応す
るハードマスクパターンを示す。後述するが、第1の露
光において遮光され転写されたパターンに従って形成さ
れたハードマスクのうち不要な部分は、レジストで被覆
されずエッチングにより除去され、所定のハードマスク
パターンが形成される。
【0022】次に、上記2種のマスクを用いてレジスト
パターン及びハードマスクパターンを形成し、シリコン
基板上にゲートパターンを形成する方法について説明す
る。図1は、この方法を説明するための工程断面図であ
り、図1(a1)〜(a4)は、図3(b)のA−A’線
断面に相応する図であり、図1(b1)〜(b4)は、図
3(b)のB−B’線断面に相応する図である。
【0023】まず、シリコン基板1上にゲート酸化膜2
を形成し、その上に多結晶シリコン膜3を形成する。さ
らにその上に、ハードマスク形成用のシリコン酸化膜4
を形成した後、ポジ型フォトレジスト膜を形成する。
【0024】このシリコン基板上にマスクA(6)を介
して第1の露光を行い、続いて現像を行う。これによ
り、マスクAの位相シフタ部23のエッジ部とライン用
遮光部22に対応するレジスト領域には光が照射され
ず、図3(a)に示すリング状のレジストパターン5が
形成される(図1(a1)、(b1))。
【0025】次に、このレジストパターン5をマスクと
してシリコン酸化膜4をエッチングして多結晶シリコン
膜3上にハードマスク8を形成する(図1(a2)、
(b2))。
【0026】次に、この上にポジ型フォトレジスト膜を
形成した後、マスクB(7)をその転写パターンが図3
(b)に示す位置関係となるように位置合わせを行っ
て、マスクBを介して第2の露光を行い、続いて現像す
る。これにより、形成したいゲートパターンに対応する
部分のハードマスクのみ保護用レジスト9により被覆さ
れる(図1(a3)、(b3))。
【0027】次に、この保護用レジスト9に被覆されて
いない部分のハードマスクをエッチングにより除去し、
続いて保護用レジスト9を除去して、形成したいゲート
パターンに対応する図3(c)に示す所望のハードマス
クパターン8を得る(図1(a4)、(b4))。
【0028】そしてこのハードマスクパターン8をマス
クとして、多結晶シリコン膜3のエッチングを行うこと
により、このハードマスクパターン8に相応したゲート
パターン10が形成される(図(a5)、(b5))。
ゲートパターン上に残った不要なハードマスクはエッチ
ングにより容易に除去される。
【0029】次に、他の実施の形態として、SRAMメ
モリセル領域のゲートパターンの形成に本発明を適用し
た例を説明する。
【0030】図5に、用いたマスクの構成図を示す。図
5(a)は、透明基板上にライン用遮光部122と位相
シフタ部123が形成されてなるライン形成用のマスク
A、図5(b)は、透明基板上に保護用遮光部124が
形成されてなる保護用のマスクBである。なお、図5
(b)に記された破線は出来上がりゲートパターンの位
置を示す。図6には、図5に示したマスクにより形成さ
れる転写パターンを示す。図6(a)はマスクAにより
形成される転写パターンであり、図6(b)はマスクB
により形成される転写パターンである。前記の実施の形
態と同様にしてマスクA及びマスクBを用いてパターン
形成することにより図6(c)に示す所定のゲートパタ
ーンが形成できる。
【0031】従来の方法では、保護用遮光部の開口部1
25に相応する領域に沿ったライン部分pに細りが見ら
れたが、本発明ではほぼ設計どおりのパターンが得られ
た。本発明によれば、形成したいゲートパターンに相応
するハードマスクパターンがレジストにより被覆されて
いるため、ハードマスクパターンの形成工程においてそ
の形状は変化することがない。よって、このハードマス
クパターンをマスクとして形成されたゲートパターンに
は細り等の形状の問題は生じず、ほぼ設計寸法通りのゲ
ートパターンが形成される。
【0032】さらに本発明の他の実施の形態として、前
述のそれぞれの実施の形態において、マスクAによる露
光工程とマスクBによる露光工程の順序を逆にしてもよ
い。すなわち、多結晶シリコン膜上にハードマスク材料
膜としてシリコン酸化膜を形成し、該シリコン酸化膜上
にフォトレジスト膜を形成する。次に、後の工程に用い
るマスクAの転写パターンに相当するレジスト領域の内
で必要な部分には露光されず不要な部分に露光されるよ
うなパターンを有するマスクBを用いて第1の露光およ
び現像を行う。続いて、形成されたレジストパターンを
マスクとして前記シリコン酸化膜をエッチングする。そ
して、該レジストパターンを除去した後、形成されたシ
リコン酸化膜パターンを覆うようにフォトレジスト膜を
形成する。次に、マスクAを用いて第2の露光および現
像を行う。次いで、形成されたレジストパターンをマス
クとして不要なシリコン酸化膜部分をエッチング除去す
る。続いて、該レジストパターンを除去した後、形成さ
れたシリコン酸化膜パターンをマスクとして前記多結晶
シリコン膜をエッチングする。
【0033】なお、以上の説明ではポジ型レジストを用
いているが、パターンによってはネガ型レジストを用い
てもよい。
【0034】以上に説明した本発明の製造方法は、L/
Sパターン等の繰り返しパターンや、孤立パターン、不
規則パターン(random patterns)等の種々のパターン
形成に利用可能であり、特にL/Sパターンやその組み
合わせパターン、それらの繰り返しパターンに好適であ
り、DRAM、SRAM、CMOS等の各種半導体素子
のビット線やゲートパターン等の種々パターン形成にお
いて有用である。
【0035】
【発明の効果】以上の説明から明らかなように本発明に
よれば、信頼性が高く素子特性に優れた半導体装置を高
い歩留まりで製造することができる。
【図面の簡単な説明】
【図1】本発明の、位相シフトマスクを用いたゲートパ
ターンの形成方法を説明するための工程断面図である。
【図2】本発明のゲートパターンの形成に用いたマスク
の構成図である。
【図3】図2に示すマスクを用いた露光により形成され
るウェーハ上への転写パターン図である。
【図4】従来の、位相シフトマスクを用いたゲートパタ
ーンの形成方法を説明するための工程断面図である。
【図5】本発明のゲートパターンの形成に用いたマスク
の構成図である。
【図6】図5に示すマスクを用いた露光により形成され
るウェーハ上への転写パターン図である。
【符号の説明】
1 シリコン基板 2 酸化膜 3 多結晶シリコン膜 4 ハードマスク用シリコン酸化膜 5 レジストパターン 6 マスクA 7 マスクB 8 ハードマスク 9 保護用レジスト 10 ゲートパターン 21 透明基板 22 122 ライン用遮光部 23 123 位相シフタ部 24 124 保護用遮光部 101 シリコン基板 102 ゲート酸化膜 103 多結晶シリコン膜 105 レジスト膜 106 マスクA 107 マスクB 108 非露光レジスト部 109 レジストパターン 110 ゲートパターン

Claims (7)

    (57)【特許請求の範囲】
  1. 【請求項1】 所定のパターンを形成するための被パタ
    ーン形成膜上にハードマスク材料膜を形成し、該ハード
    マスク材料膜上にフォトレジスト膜を形成する工程と、
    位相シフタを有する第1のマスクを用いて第1の露光お
    よび現像を行う工程と、形成されたレジストパターンを
    マスクとして前記ハードマスク材料膜をエッチングする
    工程と、形成されたハードマスクパターンを覆うように
    フォトレジスト膜を形成する工程と、該ハードマスクパ
    ターンの必要な部分のみを被覆するフォトレジスト部分
    を露光・現像後に残すことができるパターンを有する第
    2のマスクを用いて第2の露光および現像を行う工程
    と、該フォトレジスト部分で被覆されていない不要なハ
    ードマスク部分をエッチング除去する工程と、残ったハ
    ードマスクパターンをマスクとして前記被パターン形成
    膜をエッチングする工程を有することを特徴とする半導
    体装置の製造方法。
  2. 【請求項2】 所定のパターンを形成するための被パタ
    ーン形成膜上にハードマスク材料膜を形成し、該ハード
    マスク材料膜上にポジ型フォトレジスト膜を形成する工
    程と、後の工程に用いる第1のマスクの転写パターンに
    相当するレジスト領域の内で必要な部分には露光されず
    不要な部分に露光されるようなパターンを有する第2の
    マスクを用いて第1の露光および現像を行う工程と、形
    成されたレジストパターンをマスクとして前記ハードマ
    スク材料膜をエッチングする工程と、該レジストパター
    ンを除去した後、形成されたハードマスクパターンを覆
    うようにフォトレジスト膜を形成する工程と、位相シフ
    タを有する第1のマスクを用いて第2の露光および現像
    を行う工程と、形成されたレジストパターンをマスクと
    して不要なハードマスク部分をエッチング除去する工程
    と、該レジストパターンを除去した後、形成されたハー
    ドマスクパターンをマスクとして前記被パターン形成膜
    をエッチングする工程を有することを特徴とする半導体
    装置の製造方法。
  3. 【請求項3】 前記第1のマスクがレベンソン型位相シ
    フトマスクである請求項1又は2記載の半導体装置の製
    造方法。
  4. 【請求項4】 前記所定のパターンがラインアンドスペ
    ースの組み合わせパターンである請求項1、2又は3記
    載の半導体装置の製造方法。
  5. 【請求項5】 前記所定のパターンがゲートパターンで
    ある請求項1〜4のいずれか1項に記載の半導体装置の
    製造方法。
  6. 【請求項6】 前記被パターン形成膜が多結晶シリコン
    膜である請求項1〜5のいずれか1項に記載の半導体装
    置の製造方法。
  7. 【請求項7】 前記ハードマスク材料膜がシリコン酸化
    膜である請求項1〜6のいずれか1項に記載の半導体装
    置の製造方法。
JP2909299A 1999-02-05 1999-02-05 半導体装置の製造方法 Expired - Fee Related JP3257593B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2909299A JP3257593B2 (ja) 1999-02-05 1999-02-05 半導体装置の製造方法
TW089100851A TW462073B (en) 1999-02-05 2000-01-18 Manufacturing method of semiconductor device
KR1020000005370A KR100363992B1 (ko) 1999-02-05 2000-02-03 반도체 장치의 제조 방법
US09/497,423 US6573027B1 (en) 1999-02-05 2000-02-03 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2909299A JP3257593B2 (ja) 1999-02-05 1999-02-05 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2000227652A JP2000227652A (ja) 2000-08-15
JP3257593B2 true JP3257593B2 (ja) 2002-02-18

Family

ID=12266722

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2909299A Expired - Fee Related JP3257593B2 (ja) 1999-02-05 1999-02-05 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US6573027B1 (ja)
JP (1) JP3257593B2 (ja)
KR (1) KR100363992B1 (ja)
TW (1) TW462073B (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6675369B1 (en) * 2001-12-11 2004-01-06 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks by adding parallel line to phase 0 region
US6749970B2 (en) * 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
JP4342767B2 (ja) 2002-04-23 2009-10-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
DE10260755B4 (de) * 2002-12-23 2007-09-06 Infineon Technologies Ag Verfahren zur Bildung eines Strukturelementes auf einem Wafer mittels einer Maske und einer ihr zugeordneten Trim-Maske
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US7132327B2 (en) * 2004-05-25 2006-11-07 Freescale Semiconductor, Inc. Decoupled complementary mask patterning transfer method
JP2006310376A (ja) * 2005-04-26 2006-11-09 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2006332123A (ja) * 2005-05-23 2006-12-07 Toshiba Corp 半導体装置の製造方法
JP2007123342A (ja) * 2005-10-25 2007-05-17 Nec Electronics Corp 半導体装置の製造方法。
JP2007149768A (ja) * 2005-11-24 2007-06-14 Nec Electronics Corp 半導体装置の製造方法
JP2007299960A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置及びその製造方法
JP4237216B2 (ja) 2006-10-05 2009-03-11 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2008091825A (ja) * 2006-10-05 2008-04-17 Nec Electronics Corp 半導体装置の製造方法
JP4891962B2 (ja) * 2008-09-17 2012-03-07 株式会社東芝 半導体装置の製造方法
US8404403B2 (en) 2010-06-25 2013-03-26 Intel Corporation Mask design and OPC for device manufacture
US8741776B2 (en) * 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
WO2016132816A1 (ja) * 2015-02-19 2016-08-25 日本碍子株式会社 光学デバイスの製造方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970007433B1 (en) 1988-10-27 1997-05-08 Lg Semicon Co Ltd Double photo treating process using image reverse photoresist
JP3053099B2 (ja) 1989-12-01 2000-06-19 株式会社日立製作所 パターン構造を有する素子の製造方法
JP2798796B2 (ja) 1990-07-18 1998-09-17 沖電気工業株式会社 パターン形成方法
JP2919023B2 (ja) 1990-08-28 1999-07-12 沖電気工業株式会社 レジストパターン形成方法
JPH04155812A (ja) 1990-10-19 1992-05-28 Hitachi Ltd パタン形成方法
JPH04337732A (ja) 1991-05-15 1992-11-25 Hitachi Ltd パターン形成法
JP2974821B2 (ja) 1991-06-19 1999-11-10 沖電気工業株式会社 パターン形成方法
JP3082396B2 (ja) 1992-01-29 2000-08-28 日本電気株式会社 半導体装置の製造方法
US5419988A (en) * 1992-08-07 1995-05-30 Dai Nippon Printing Co., Ltd. Photomask blank and phase shift photomask
JP3234084B2 (ja) * 1993-03-03 2001-12-04 株式会社東芝 微細パターン形成方法
US5770350A (en) * 1993-11-09 1998-06-23 Lg Semicon Co. Ltd. Method for forming pattern using multilayer resist
GB2291207B (en) * 1994-07-14 1998-03-25 Hyundai Electronics Ind Method for forming resist patterns
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
JP2638561B2 (ja) 1995-05-10 1997-08-06 株式会社日立製作所 マスク形成方法
KR970007433A (ko) * 1995-07-28 1997-02-21 배순훈 비디오 카세트 레코더의 검색 방법
JPH1032156A (ja) * 1996-07-15 1998-02-03 Mitsubishi Electric Corp 位相シフトマスクを用いた露光装置およびパターン形成方法
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
KR19980068052A (ko) 1997-02-14 1998-10-15 김광호 반도체 장치의 제조방법
JPH117120A (ja) * 1997-06-18 1999-01-12 Sony Corp マスクパターン作成方法およびマスクパターン作成装置並びにマスク作成装置
JP3119202B2 (ja) 1997-06-23 2000-12-18 日本電気株式会社 マスクパターン自動発生方法およびマスク
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
TW382807B (en) * 1997-12-01 2000-02-21 United Microelectronics Corp Method for fabricating DRAM capacitor
US6271154B1 (en) * 1998-05-12 2001-08-07 Advanced Micro Devices, Inc. Methods for treating a deep-UV resist mask prior to gate formation etch to improve gate profile
US6204187B1 (en) * 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6365509B1 (en) * 2000-05-31 2002-04-02 Advanced Micro Devices, Inc. Semiconductor manufacturing method using a dielectric photomask
JP4646367B2 (ja) * 2000-08-25 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US6436810B1 (en) * 2000-09-27 2002-08-20 Institute Of Microelectronics Bi-layer resist process for dual damascene

Also Published As

Publication number Publication date
KR20000057910A (ko) 2000-09-25
KR100363992B1 (ko) 2002-12-11
TW462073B (en) 2001-11-01
US6573027B1 (en) 2003-06-03
JP2000227652A (ja) 2000-08-15

Similar Documents

Publication Publication Date Title
JP3257593B2 (ja) 半導体装置の製造方法
US7494765B2 (en) Method for patterning photoresist pillars using a photomask having a plurality of chromeless nonprinting phase shifting windows
US7737016B2 (en) Two-print two-etch method for enhancement of CD control using ghost poly
US7678509B2 (en) Method of producing phase shift masks
KR100614781B1 (ko) 부-파장 분해능을 갖는 리소그래피 공정
JP3912949B2 (ja) フォトマスクの形成方法及び半導体装置の製造方法
US7291425B2 (en) Radiation patterning tools, and methods of forming radiation patterning tools
US6376130B1 (en) Chromeless alternating reticle for producing semiconductor device features
JPH06123961A (ja) 位相シフトマスク及び位相シフトマスクブランク並びに位相シフトマスクの製造方法
JPH11143047A (ja) フォトマスク及びその製造方法
US6440613B1 (en) Method of fabricating attenuated phase shift mask
US7354682B1 (en) Chromeless mask for contact holes
US9829786B2 (en) PSM blank for enhancing small size CD resolution
US5387485A (en) Phase shift photomask
US6103428A (en) Photomask utilizing auxiliary pattern that is not transferred with the resist pattern
US8067133B2 (en) Phase shift mask with two-phase clear feature
US7033947B2 (en) Dual trench alternating phase shift mask fabrication
KR100620652B1 (ko) 반도체 소자의 위상 반전 마스크 제조방법
CN210835580U (zh) 光掩膜板
US6858355B2 (en) Mask and method for defining a guard ring pattern
US6830853B1 (en) Chrome mask dry etching process to reduce loading effect and defects
US6537709B2 (en) Photo mask having film formed from halftone material, method of manufacturing photo mask, and method of manufacturing semiconductor device
US7445159B2 (en) Dual trench alternating phase shift mask fabrication
JPH05333524A (ja) 位相シフトマスクおよびその製造方法
JPH05142751A (ja) フオトマスクおよび投影露光方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees