JP3017064B2 - 選択窒化物酸化物エッチングを使用するプラグ・ストラップの製造方法 - Google Patents

選択窒化物酸化物エッチングを使用するプラグ・ストラップの製造方法

Info

Publication number
JP3017064B2
JP3017064B2 JP7314967A JP31496795A JP3017064B2 JP 3017064 B2 JP3017064 B2 JP 3017064B2 JP 7314967 A JP7314967 A JP 7314967A JP 31496795 A JP31496795 A JP 31496795A JP 3017064 B2 JP3017064 B2 JP 3017064B2
Authority
JP
Japan
Prior art keywords
layer
nitride
oxide
etching
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP7314967A
Other languages
English (en)
Other versions
JPH08241925A (ja
Inventor
マイケル・デーヴィット・アーマコスト
ジョン・ハワード・ギヴンズ
チャールズ・ウィリアム・コバーガー・ザ・サード
ジェローム・ブレット・ラスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH08241925A publication Critical patent/JPH08241925A/ja
Application granted granted Critical
Publication of JP3017064B2 publication Critical patent/JP3017064B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体デバイス内
のストラップ形成に関し、より詳細には、半導体デバイ
ス内で誘電体によって分離された2つの電子素子を電気
的に接続するために、高選択酸化物窒化物エッチングを
利用したプラグ・ストラップの形成に関する。
【0002】
【従来の技術】一般に半導体デバイスは、デバイスが機
能するために相互に接続されなければならない多数の電
子素子を有する。これらの電子素子は、その構造の間に
「ブリッジ・コンタクト」または「ストラップ」を形成
することによって相互接続することができる。
【0003】誘電体層によって分離されたポリシリコン
・トレンチと拡散領域とを電気的に接続するためにスト
ラップを形成する従来の試みは、拡散領域内の注入物の
形成後にホウ素ドープ・シリコンなどの導電性ポリシリ
コンを付着させる処理を含んでいた。ポリシリコン層は
次に、拡散領域とポリシリコン・トレンチとを電気的に
接続するために材料を「ストラップ」として画定するよ
うにエッチングされる。しかしこのプロセスは、ポリシ
リコンのエッチャントが注入物またはTiSixの下に
入って下のシリコン内に孔を開けそれにより得られる半
導体デバイスに漏れが生じるという事実に密接に関係す
る大きな問題があった。そのような問題を回避するため
に、ホウ素の外方拡散法を利用してストラップの形成を
行うより複雑な方法が考案された。ポリシリコン・トレ
ンチと拡散領域の露出したシリコン領域はイオン注入を
利用してホウ素がドープされる。次に、拡散バリアがド
ープ領域上に付着され、マスクされ、エッチングされ
て、バリアの選択領域が除去される。ポリシリコンの連
続層が付着され、高ドープ領域上のポリシリコン内にホ
ウ素が外方拡散される。次に、ウェット・エッチングを
利用してポリシリコンの連続層の拡散ホウ素ドーパント
を含まない部分を除去し、別のエッチングによって拡散
バリアも除去する。残りのドープ・シリコンが、ポリシ
リコン・トレンチと拡散領域との間に電気的接続を形成
する。
【0004】現在、ブリッジ・コンタクトまたはストラ
ップの形成のために利用可能な信頼できる数少ない方法
は、複雑な処理手順とかなりのサイクルタイムを必要と
する。さらに、そのような方法には一連の様々なステッ
プが組み込まれるために、問題を経験せずにそれらのス
テップを処理手順に完全に統合することが難しい場合も
ある。たとえば、統合されたプロセスの温度限界が、ポ
リシリコン内のボイディングとゲート・スタック内のポ
リサイドのリフティングを引き起こすマスキングおよび
エッチングのステップを伴うこともある。このような潜
在的な問題は、より短いサイクルタイムとより少ないス
テップを有し、さらに重要なことには標準的処理ステッ
プと材料を組み込む方法を利用することによって排除ま
たは削減される。
【0005】さらに、既存の構造を保護するために、現
在の方法は、ゲート・スタック上に厚い酸化物キャップ
を使用する必要があることもある。しかし残念ながら、
酸化物キャップが厚くなるほど、デバイスに関係するバ
イアス関連の問題が増える。逆に、酸化物キャップの厚
さつまりゲート・スタックの高さを減少させると、バイ
アス関連の問題が減少しライン幅の制御が改善される。
【0006】
【発明が解決しようとする課題】したがって、本発明の
目的は、誘電体によって分離された半導体デバイスの2
つの電子素子を電気的に接続し、標準的な処理技術およ
び材料を一体化する方法を提供することである。本発明
のさらに他の目的は、より少ない処理ステップとより短
いサイクルタイムを有するより効率的な上記方法を提供
することである。さらに他の目的は、得られるデバイス
のバイアス関連の問題を減少させライン幅の制御を改善
することである。本発明のさらに他の目的は、電気的構
成要素に損傷を与えることなくストラップを形成し、そ
れにより漏れ、短絡、および他の潜在的欠陥を防ぎ、よ
り信頼性の高い半導体デバイスを作成することである。
【0007】
【課題を解決するための手段】本発明で使用されるもの
として、エッチングは、材料を化学的にエッチング除去
して所望のパターンを形成することを指す。選択エッチ
ングは、半導体構造物において、ある材料の選択部分を
別の材料から除去するエッチングの使用を指す。選択酸
化物:窒化物エッチングは、窒化物よりも酸化物で速い
エッチング速度を有するエッチングを指し、その選択比
は、各材料の相対的なエッチング速度を示す。高選択酸
化物:窒化物エッチングは、少なくとも12:1の選択
比を有する選択酸化物:窒化物エッチングを指す。
【0008】本出願で使用されるシリコンは、ポリシリ
コン(多結晶シリコン)、アモルファス・シリコン(非
晶質シリコン)、単結晶シリコンおよびシリコン/ゲル
マニウム材料を含む。上記シリコンは、nまたはpドー
プされているかあるいはドープされていないこともあ
る。
【0009】CVDは、化学蒸着法を指す。LPCVD
は、低圧化学蒸着法を指す。イオン注入は、たとえば、
半導体基板にドーピングするためのイオンの注入を指
す。サリサイデーションは、自己整合シリサイドの形成
を指す。
【0010】電子素子は、活性な電子デバイスおよび能
動構成部分を指す。電導体は、電気を容易に通し、金
属、p形材料(アクセプタ形不純物がドープされており
ホール移動によって電流を通ずる半導体材料)、および
n形材料(ドナー形不純物がドープされており電子によ
って電流を通ずる半導体材料)などの材料を指す。
【0011】本発明で使用される酸化物はシリコン酸化
物を指し、本明細書で使用される窒化物はシリコン窒化
物を指す。酸化物は、PSG(燐化ケイ素ガラス)やB
PSG(ホウ素ドープPSG)などで、ドープされてい
てもいなくてもよい。絶縁層または絶縁体層は、電気を
通さない高い抵抗率を有する層を指す。この層は、ナト
リウム汚染物質として作用することもある。本発明で
は、窒化物に対して絶縁体層を選択的にエッチングでき
なければならない。
【0012】以上検討した目的は、第1と第2の電子素
子が誘電体によって分離されているときに第1の電子素
子と第2の電子素子とを電気的に接続する方法をある点
で実現する本発明により達成され、従来技術の問題が克
服される。その方法は、デバイス上に窒化物層を付着さ
せる段階と、窒化物層上に第1の絶縁体層を付着させる
段階と、窒化物層に対して絶縁体層を優先的にエッチン
グする高選択エッチングの適用と絶縁体層よりも窒化物
層をエッチングする選択窒化物エッチングの適用により
第1および第2の素子上に開口部をエッチングし、それ
により第1の絶縁体層と窒化物層とを貫通する第1の孔
を形成する段階と、第1の孔の中に導電体を付着させ、
それにより第1および第2の電気素子が電気的に接続さ
れるようにストラップを形成する段階と含む。
【0013】また、上記開示した方法は、半導体デバイ
ス内のコンタクトの形成と統合することもでき、さら
に、窒化物層に対して第1の絶縁体層を優先的にエッチ
ングする高選択エッチングの適用と、下にある材料に対
して窒化物を優先的にエッチングする選択窒化物エッチ
ングの適用とによって、第3の電子素子上に開口部をエ
ッチングし、それにより第1の絶縁体層と窒化物層とを
貫通する第2の孔を形成する段階と、第2の孔の中に導
電体を付着させてコンタクトを形成する段階とを含む。
【0014】本発明の方法は、半導体デバイス内のトレ
ンチ・キャパシタと拡散領域との電気的接続、および追
加電子素子と金属線との電気的接続に容易に適用され統
合される。そのような適用は、トレンチ・キャパシタ上
に酸化物カラーを形成する段階と、デバイス上に窒化物
層を付着させる段階と、窒化物層上に第1の絶縁体層を
付着させる段階と、窒化物層に対して第1の絶縁体層を
優先的にエッチングする高選択エッチングの適用と、選
択窒化物:酸化物エッチングの適用と、選択酸化物:シ
リコン・エッチングの適用とによって、トレンチ・キャ
パシタと拡散領域を露出させ、露出したトレンチ・キャ
パシタと拡散領域に第1の孔を形成する段階と、前記第
1の孔の中に導電体を付着させ、それによりストラップ
を形成して前記トレンチ・キャパシタと前記拡散領域と
を電気的に接続する段階と、デバイス上に第2の絶縁体
層を付着させる段階と、前記窒化物層に対して前記第1
および第2の絶縁体層を優先的にエッチングする高選択
エッチングの適用と、選択窒化物エッチングの適用とに
よって第3の電子素子を露出させて第2の孔を形成する
段階と、第2の孔の中に導電体を付着させてコンタクト
を形成する段階と、第3の電子素子が金属線と電気的に
接続されるようにコンタクトを金属線と電気的に接続す
る段階とを含む。
【0015】本発明はまた、第1の電子素子を外部の電
気回路と電気的に接続する方法として適用することもで
き、第1の電子素子上に窒化物層を付着させる段階と、
窒化物層上に酸化物層を付着させる段階と、高選択酸化
物:窒化物エッチングと次の選択窒化物エッチングの適
用によって第1の電子素子上に開口部をエッチングし、
それにより前記窒化物層と前記絶縁体層を貫通する第1
の孔を形成する段階と、前記第1の孔の中に導電体を付
着させる段階と、前記第1のコンタクトと電気的接触し
た状態で外部電気回路を形成して、前記第1の電気素子
が前記外部電気回路と電気的に接続されるようにする段
階とを含む。
【0016】
【発明の実施の形態】1つの実施形態において、第1の
電子素子がポリシリコン充填トレンチを含み、第2の電
子素子が拡散領域を含む。図1から分かるように、DR
AMセルの一部分として、ポリシリコン充填トレンチ1
0はトレンチ・キャパシタとして機能し、したがって、
拡散領域との接触およびデバイスの短絡を回避するため
に、トレンチは、トレンチ周囲のシリコン基板14の表
面近くにシリコン酸化物カラー12を有する。トレンチ
とそれに対応するカラーは、当技術分野で周知の標準C
MOS製造技術により形成することができる。CMOS
の製造中に、同様に、当技術分野で周知の手段によって
所望のゲート・スタックも製造することができる。本実
施形態では、ゲート・スタックを作成する層を半導体デ
バイス上に付着させることによってスタックを形成する
ことができる。典型的なスタックは、ゲート酸化物層1
6と、その上のポリシリコン18などの絶縁体と、タン
グステン・シリサイド(WSix)などの金属20とを
含む。キャップ22として機能するように、金属上にさ
らに他の層を付着させてもよい。キャップは酸化物か窒
化物どちらを含んでもよく、その選択は、所望の処理手
順および後でさらに詳しく検討する集積上の問題点に依
存する。所望の層を付着した後で、通常のマスキング・
プロセスと既知のリアクティブ・イオン・エッチング
(RIE)プロセスなどのエッチング・プロセスによっ
て、図2に示したようなゲート・スタック24および2
5を画定することができる。
【0017】図3から分かるように、ゲート・スタック
24および25を画定した後で、ゲート・スタックの側
壁に酸化物の薄い層26を成長させる。酸化物は、当技
術分野で周知の手段によって成長させることができる
が、基板およびゲート・スタックを800〜1000℃
の温度の酸素含有雰囲気にさらすような温度成長が好ま
しい。これは、次のステップにおいてゲート・スタック
を構成する材料が損傷を受けないように保護し、またス
タックの画定中に受けた損傷の修復を促進するために行
われる。その後、ソース/ドレイン注入物28を形成す
る。ゲート・スタック24の側面に沿ってスペーサ30
を形成する前に、まずシリコン14内に浅い注入物だけ
を形成することが好ましい。これらの浅い注入物の作成
は、シリコン内へのp形ドーパントの注入を含むことが
あり、例としては、高温アニール処理の前のBF3を使
用したシリコン内へのホウ素のイオン注入である。一般
に、n形領域にはひ素または燐が使用される。
【0018】次に、スペーサ30がゲート・スタックと
隣接して形成され、スペーサ30は酸化物または窒化物
の層を含む。スペーサは、選択した層をたとえばLPC
VDによってデバイス上に付着させ、次に対応するエッ
チングを使用してスペーサを画定することにより形成で
きる。キャップとしてのスペーサ組成物の選択は、最終
的には所望の処理手順および後で詳しく考察する統合要
因に依存する。スペーサが完成した後は、より深い注入
物を設けることができる。次に、チタンを付着して、露
出した注入物のサリサイデーションを達成し、チタン・
シリサイド34の薄層を形成する。
【0019】注入物または他の所望の構造が完成し活性
化した後は、図4を参照して分かるように、デバイス上
に窒化物層36を整合的に付着させる。この窒化物層は
次に、エッチング・ストップ層として機能する。本実施
例において、窒化物キャップと窒化物スペーサを使用す
るときは、将来の処理ステップによる汚染または損傷か
ら既存の構造物を有効に隔離するには約50nm の窒
化物層で十分である。窒化物層は、当技術分野で周知の
たとえばプラズマ強化化学蒸着法(PECVD)によっ
て付着させることができる。その後で、絶縁体層38を
付着し、化学機械的研磨を行って平坦化する。たとえば
燐化けい素ガラス(PSG)などの酸化シリコンのよう
な標準的な絶縁体材料を利用して絶縁体層38を形成す
ることができる。絶縁体層の重要な特性は、窒化物に対
して選択的にエッチングできることである。
【0020】図5から分かるように、次に絶縁体層の上
にフォトレジストなどのマスク40を付着させ、ポリシ
リコン・トレンチ10とそのトレンチに最も近い拡散領
域に対応する絶縁体層38の部分だけを露出させる。次
に、高選択酸化物:窒化物エッチングを適用して絶縁体
層38の露出部分を除去することにより、ストラップ・
ホールの形成を開始する。この場合、少なくとも12:
1の選択比を有する酸化物:窒化物エッチングを適用す
ることが重要であり、それは、このような高い選択比を
有していないエッチングは、この例のゲート・スタック
のような既存の構造物に損傷を及ぼすと思われるためで
ある。この高選択酸化物:窒化物エッチングは、絶縁体
層の露出部分を除去し、ポリシリコン・トレンチおよび
拡散領域に対応する窒化物層の選択部分を露出させる。
【0021】適切な酸化物:窒化物選択比を有する選択
エッチングの例は、参照により本明細書に組み込まれた
論文"Selective Dry Etching In a High Density Plasm
a for 0.5μm Complementary Metal-Oxide-Semiconduct
or Technology"、 J.Vac.Sci. Technol. B. Vol.12 No.
1 Jan/Feb 1994に記載されている。この選択酸化物:窒
化物エッチングは、高密度プラズマ反応器内で加熱した
シリコンの上板と高いC:F比の過フッ化炭化水素を利
用する。そのような過フッ化炭化水素の例としては、C
26を含むがそれに限定されることはない。好ましい選
択酸化物:窒化物エッチングは、12:1を十分に上回
る高い選択比を有し、ドライ・エッチング・プロセスを
含む。
【0022】図6からよく分かるように、次に、選択窒
化物:酸化物エッチングが露出した窒化物層36に適用
され、ポリシリコン・トレンチ10のシリコン酸化物キ
ャップ12と拡散領域のシリサイド34とを掘り下げ
る。選択比が高いと既存の構造物への損傷が減少するた
め、前述の高密度プラズマ選択エッチングなどの高選択
窒化物:酸化物エッチングを利用することが好ましい。
しかしながら、前のステップとは異なり、窒化物層を除
去するために高選択エッチングを利用することはあまり
重要ではない。したがって、CHF3/O2の化学作用を
利用するような標準的な窒化物:酸化物エッチングを利
用してもよい。窒化物層36の露出部分を除去した後
で、選択酸化物:(シリコン、TiSix)エッチング
を適用することによりシリコン酸化物カラー12の新し
い露出部分を除去し、それによりポリシリコン・トレン
チを露出させてストラップ・ホールを完成させる。マス
クを除去するために、選択酸化物:(シリコン、TiS
ix)エッチングをデバイスの所定位置または全体に渡
って適用する。そのような選択エッチングの例は、前述
の高密度プラズマ・エッチングである。
【0023】エッチング・プロセス中には正イオンおよ
び小さな物理的損傷が生じることがあり、したがってプ
ラグ・ストラップの形成前に表面状態/損傷のアニール
を行うことが好ましい。そのようなアニールの例は、デ
バイスを600℃で30分間加熱することである。これ
は、電荷のトラッピングおよび望ましくない不動能化状
態の形成を防ぐ助けとなる。
【0024】図7に示したように、ストラップ・ホール
が完成した後で、その中に、たとえばLPCVDのよう
な当技術分野で周知の手段によってp+ポリシリコンな
どの導電性材料を付着させる。p+ポリシリコンの付着
により、トレンチ・キャパシタと拡散領域とを電気的に
接続するストラップ42が形成される。しかし、検討し
た特定の実施例では、トレンチのわずかにドープされた
領域とデバイスの側壁とが接触することがあるので、ポ
リシリコン・トレンチを露出させるストラップ・ホール
内には金属を使用しないことが好ましい。余分なp+ポ
リシリコンを取り除き、化学機械的研磨、リアクティブ
・イオン・エッチング(RIE)、ドライ・エッチン
グ、または当技術分野で周知の他の手段によってストラ
ップを平坦化する。
【0025】図8を参照すると、既存の絶縁体層38と
ストラップ42の上に追加の絶縁体層44が付着され
る。この第2の絶縁体層44は、酸化物または同様の材
料からなる。第1の絶縁体層38と同様に第2の絶縁体
層もまた窒化物に対して選択的にエッチングできなけれ
ばならない。この特定の実施例では、ストラップ42を
金属線48とデバイスの外部電気回路とに電気的に接続
するため、第2の絶縁体層のストラップ42に対応する
部分を除去するように第2の絶縁体層をマスクして通常
の酸化物エッチングを利用してエッチングする。同様
に、マスクおよび高選択酸化物エッチングを利用して、
選択したゲート・スタックまたはワード・ラインを密封
する窒化物層36を露出させる。ゲート・スタック25
の上の窒化物エッチング・ストップの露出部分は、前述
のように選択窒化物:酸化物エッチングを利用してエッ
チングすることができる。キャップもまた窒化物の場合
は、窒化物:酸化物エッチングを利用することによりゲ
ート・スタックを露出させることができる。あるいは、
酸化物キャップを使用するときは、選択窒化物:酸化物
エッチングでキャップを露出させた後、高選択酸化物:
窒化物エッチングをもう1度適用して酸化物キャップを
除去しゲート・スタックを露出させなければならない。
【0026】ストラップ42と所望のゲート・スタック
25を露出させた後、孔の中にたとえばタングステンな
どの導電体を付着させて、選択したゲート・スタック2
5、ストラップ42および拡散領域にコンタクト46を
形成することができる。次に、余分な金属を除去し、化
学機械的研磨または当技術分野で周知の手段によって平
坦化する。次に、BEOLメタライゼーションなどで金
属線48をデバイスに付着させて、コンタクト46と電
気接続した状態でデバイスの外部電気回路を形成する。
金属線は、アルミニウムまたは他の同様の金属よりなる
ことが好ましい。
【0027】先に説明したように、本発明は、酸化物ま
たは窒化物からなるキャップ22とスペーサ30を利用
する。特定の組合せの選択は、最終的には、デバイスの
キャパシタンス、窒化物エッチング・ストップの厚さ
(ゲート・スタック間の最小間隔によって限定され
る)、およびコンタクトの種類(すなわち、境界なしま
たは境界付き)などの所望の処理手順および統合要因に
よって決まる。酸化物キャップと酸化物スペーサの使用
は最小のプラグ・ストラップ・プロセス・ウィンドウを
提供するのに役立つが、拡散コンタクトと境界なしでう
まく統合される。しかし、酸化物キャップと酸化物スペ
ーサを使用するときは、より厚い窒化物エッチング・ス
トップ層を使用しなくてはならない。それに対して、窒
化物キャップと窒化物スペーサを使用するときは、一般
により大きなプラグ・ストラップ・プロセス・ウィンド
ウとなる薄い窒化物エッチング・ストップ層を使用す
る。したがって、窒化物キャップと窒化物スペーサが最
も丈夫で信頼性の高いプロセスを提供する。
【0028】このストラップ形成の方法は、非常に多く
の用途のどのような用途にも適用でき、また他の構造物
の形成あるいは電気接続と統合できることは当業者には
理解されよう。たとえば、図9は、非常に多くの電子素
子(図示せず)のうちの任意の素子を拡散領域と接触し
た状態で位置決めできるような拡散コンタクトとの境界
のない半導体デバイスを示す。前述のプロセスに従っ
て、ポリシリコン・トレンチ10が露出されストラップ
42が作成される。第2の絶縁体層44を形成する前
に、マスクと高選択酸化物:窒化物エッチングを適用
し、分離トレンチ13に対応する第1の絶縁体層38と
第2の拡散領域50とをエッチングし、窒化物層36の
断面を露出させる。次に、露出した窒化物層を貫くこの
第2の孔に選択酸化物:窒化物エッチングの適用を拡大
して、分離トレンチ13と第2の拡散領域のシリサイド
34を露出させる。次に、分離トレンチ13と第2の拡
散領域が露出する第2の孔の中に、p+ポリシリコンな
どの導電体を付着させてコンタクト43を形成する。コ
ンタクト43の余分な材料を取り除いて平坦化した後
で、デバイス上に第2の絶縁体層44を付着させる。次
に、コンタクト43が、マスクと通常の酸化物エッチン
グを利用して露出される。コンタクト43が露出する孔
の中にタングステンなどの導電体を付着させて第2のコ
ンタクト46を形成する。余分な金属を取り除き、コン
タクト46を平坦化して、第2のコンタクト46上に金
属線48を付着して、第2の拡散領域をデバイスの外部
電気回路と電気的に接触させる。
【0029】あるいは、図10に見られるように、単一
のコンタクト45の形成によって拡散領域を外部電気回
路と電気的に接続することもできる。図1〜図6を参照
して前に説明したように、第1のストラップ42の形成
と第2の絶縁体層44の付着の後で、通常のマスキング
技法と高選択酸化物:窒化物エッチングを適用して、ラ
ンドなしの拡散領域50および分離トレンチ13に対応
する第1および第2の絶縁体層を取り除く。この適用に
より、分離トレンチおよび第2の拡散領域に対応する窒
化物層36の断面が露出する。次に、選択窒化物:酸化
物エッチングを適用して露出した窒化物層を取り除き、
分離トレンチと第2の拡散領域を露出させる。次に、対
応する孔の中にタングステンなどの導電体を付着させて
平坦化し、コンタクト45を形成する。その後、コンタ
クト45と電気的に接続させて金属線48を形成するこ
とにより、第2の拡散領域がデバイスの外部電気回路と
電気的に接触される。
【0030】本発明をその好ましい実施例を参照して詳
細に示し説明してきたが、本発明の趣旨および範囲から
逸脱せずに形態および詳細の様々な変更を行えることは
当業者には理解されよう。
【0031】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0032】(1)誘電体によって分離された第1の電
子素子と第2の電子素子とを電気的に接続する方法であ
って、前記第1および第2の電子素子と前記誘電体の上
に窒化物層を付着させる段階と、前記窒化物層の上に第
1の絶縁体層を付着させる段階と、前記窒化物層に対し
て前記絶縁体層を優先的にエッチングする高選択エッチ
ングと、前記誘電体層よりもむしろ前記窒化物層をエッ
チングする選択窒化物エッチングとによって、前記第1
の絶縁体層と前記窒化物層とを貫く第1の孔を形成する
段階と、前記第1の孔の中に導電体を付着させ、それに
より前記第1と第2の電気素子を電気的に接続するスト
ラップを形成する段階と、を含む方法。 (2)前記絶縁体層がシリコン酸化物を含むことを特徴
とする、上記(1)に記載の方法。 (3)前記窒化物層に対して前記絶縁体層を優先的にエ
ッチングする前記高選択エッチングが、少なくとも1
2:1の選択比を有する酸化物:窒化物エッチングを含
むことを特徴とする、上記(2)に記載の方法。 (4)前記高選択酸化物:窒化物エッチングが、高密度
プラズマ反応器内で高いC:F比の過フッ化炭化水素を
利用するドライ・エッチングを含むことを特徴とする上
記(3)に記載の方法。 (5)前記ストラップを平坦化する段階と、前記第1の
絶縁体層および前記ストラップ上に第2の絶縁体層を付
着させる段階とをさらに含むことを特徴とする、上記
(1)に記載の方法。 (6)誘電体によって分離された第1の電子素子と第2
の電子素子とを電気的に接続する方法であって、前記第
1および第2の電子素子と前記誘電体デバイスの上に窒
化物層を付着させる段階と、前記層の上に第1の絶縁体
層を付着させる段階と、前記窒化物層に対して前記第1
の絶縁体層を優先的にエッチングする高選択エッチング
と、前記誘電体に対して前記窒化物層を優先的にエッチ
ングする選択窒化物エッチングと、およびシリコンに対
して前記誘電体層を優先的にエッチングする高選択窒化
物エッチングとによって、前記第1の絶縁体層、前記窒
化物層、および前記誘電体を貫く第1の孔を形成する段
階と、前記第1の孔の中に導電体を付着させ、それによ
り前記第1と第2の電気素子を電気的に接続するストラ
ップを形成する段階と、を含む方法。 (7)前記誘電体が前記第1の電子素子を覆うことを特
徴とする、上記(6)に記載の方法。 (8)半導体デバイス内のトレンチ・キャパシタと拡散
領域とを電気的に接続する方法であって、前記トレンチ
・キャパシタの上に酸化物カラーを形成する段階と、前
記デバイス上に窒化物層を付着させる段階と、前記窒化
物層上に第1の絶縁体層を付着させる段階と、前記窒化
物層に対して前記絶縁体層を優先的にエッチングする高
選択エッチングと、選択窒化物:酸化物エッチングと、
選択酸化物:シリコン・エッチングとによって、前記ト
レンチ・キャパシタと前記拡散領域を露出させ、前記ト
レンチ・キャパシタと前記拡散領域が露出するように第
1の孔を形成する段階と、前記第1の孔の中に導電体を
付着させ、それによりストラップを形成し前記トレンチ
・キャパシタと前記拡散領域を電気的に接続する段階
と、を含む方法。 (9)前記絶縁体層がシリコン酸化物を含み、前記窒化
物層に対して前記絶縁体層を優先的にエッチングする前
記高選択エッチングが、高選択酸化物:窒化物エッチン
グを含むことを特徴とする、上記(8)に記載の方法。 (10)前記絶縁体層がドープされたシリコン酸化物を
含むことを特徴とする、上記(8)に記載の方法。 (11)前記デバイスがキャップおよびスペーサを有す
る少なくとも1つのゲート・スタックを有し、キャップ
およびスペーサが酸化物と窒化物の群から選択された化
合物を含むことを特徴とする上記(8)に記載の方法。 (12)前記キャップが窒化物を含むことを特徴とす
る、上記(11)に記載の方法。 (13)前記キャップと前記スペーサが共に窒化物を含
むことを特徴とする、上記(11)に記載の方法。 (14)第1の電子素子を外部電気回路と電気的に接続
する方法であって、前記第1の電子素子上に窒化物層を
付着させる段階と、前記窒化物層上に酸化物層を付着さ
せる段階と、高選択酸化物:窒化物エッチングと、次の
選択窒化物:酸化物エッチングとにより、前記窒化物層
と前記絶縁体層とを貫く第1の孔を形成する段階と、前
記第1の孔の中に導電体を付着させて第1のコンタクト
を形成する段階と、前記第1の電子素子が前記外部電気
回路に電気的に接続するように、前記第1のコンタクト
と電気的に接触した状態で外部電気回路を形成する段階
と、を含む方法。 (15)第1の電子素子を外部電気回路と電気的に接続
する方法であって、前記第1の電子素子上に、酸化物を
含む第1の層を形成する段階と、前記第1の電子素子お
よび前記第1の層上に窒化物層を付着させる段階と、前
記窒化物層上に酸化物層を付着させる段階と、高選択酸
化物:窒化物エッチングと、選択窒化物:酸化物エッチ
ングとにより前記第1の層、前記窒化物層および前記絶
縁体層を貫く第1の孔を形成する段階と、前記第1の孔
の中に導電体を付着させて第1のコンタクトを形成する
段階と、前記第1の電子素子が前記外部電気回路と電気
的に接続するように、前記第1のコンタクトと電気的に
接触した状態で外部電気回路を形成する段階と、を含む
方法。
【図面の簡単な説明】
【図1】シリコン基板内のポリシリコン・トレンチおよ
び分離トレンチならびにその上の複数の層を有する半導
体デバイスの断面図である。
【図2】ゲート・スタックを形成するために複数の層を
画定した後の図1の構造の断面図である。
【図3】ソース/ドレイン注入物を作成しゲート・スタ
ックにスペーサを追加した後の図2の構造の断面図であ
る。
【図4】注入物のシリサイデーションならびに窒化物層
および絶縁体層の付着の後の図3の構造の断面図であ
る。
【図5】レジスト層の付着とポリシリコン・トレンチ付
近の窒化物層に対するエッチング後の図4の構造の断面
図である。
【図6】ポリシリコン・トレンチを露出させ後の図5の
構造の断面図である。
【図7】ストラップ孔内のポリシリコンの付着と平坦化
の後の図6の構造の断面図である。
【図8】ゲート・スタックを露出させゲート・スタック
を外部電気回路と電気接続してストラップをビット・ラ
インにつないだ後の図7の構造の断面図である。
【図9】拡散コンタクトとの境界のない半導体デバイス
の断面図である。
【図10】拡散コンタクトとの境界のない半導体デバイ
スの断面図である。
【符号の説明】
10 ポリシリコン充填トレンチ 12 シリコン酸化物カラー 14 シリコン基板 16 ゲート酸化物層 18 ポリシリコン 20 金属 22 キャップ 24 ゲート・スタック 25 ゲート・スタック 30 スペーサ 34 チタン・シリサイド層 36 窒化物層 38 絶縁体層 40 マスク 42 ストラップ 44 追加絶縁体層 46 コンタクト 48 金属線
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョン・ハワード・ギヴンズ アメリカ合衆国05452 バーモント州エ セックス・ジャンクション アルダーブ ルック・ロード 13 (72)発明者 チャールズ・ウィリアム・コバーガー・ ザ・サード アメリカ合衆国05452ディー バーモン ト州エセックス・ジャンクション ビッ クスビー・ヒル・ロード 74 (72)発明者 ジェローム・ブレット・ラスキー アメリカ合衆国05452 バーモント州エ セックス・ジャンクション マレイ・ロ ード 11 (56)参考文献 特開 平6−326269(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/28 - 21/288 H01L 21/3205 H01L 21/321 H01L 21/3213 H01L 21/44 - 21/445 H01L 21/768 H01L 21/8229 H01L 21/8239 - 21/8247 H01L 27/10 H01L 27/10 - 27/115 H01L 29/40 - 29/43 H01L 29/47 H01L 29/872

Claims (15)

    (57)【特許請求の範囲】
  1. 【請求項1】誘電体によって分離された第1の電子素子
    と第2の電子素子とを電気的に接続する方法であって、 前記第1および第2の電子素子と前記誘電体の上に窒化
    物層を付着させる段階と、 前記窒化物層の上に第1の絶縁体層を付着させる段階
    と、 前記窒化物層に対して前記絶縁体層を優先的にエッチン
    グする高選択エッチングと、前記誘電体層よりもむしろ
    前記窒化物層をエッチングする選択窒化物エッチングと
    によって、前記第1の絶縁体層と前記窒化物層とを貫く
    第1の孔を形成する段階と、 前記第1の孔の中に導電体を付着させ、それにより前記
    第1と第2の電気素子を電気的に接続するストラップを
    形成する段階と、 を含む方法。
  2. 【請求項2】前記絶縁体層がシリコン酸化物を含むこと
    を特徴とする、請求項1に記載の方法。
  3. 【請求項3】前記窒化物層に対して前記絶縁体層を優先
    的にエッチングする前記高選択エッチングが、少なくと
    も12:1の選択比を有する酸化物:窒化物エッチング
    を含むことを特徴とする、請求項2に記載の方法。
  4. 【請求項4】前記高選択酸化物:窒化物エッチングが、
    高密度プラズマ反応器内で高いC:F比の過フッ化炭化
    水素を利用するドライ・エッチングを含むことを特徴と
    する請求項3に記載の方法。
  5. 【請求項5】前記ストラップを平坦化する段階と、 前記第1の絶縁体層および前記ストラップ上に第2の絶
    縁体層を付着させる段階とをさらに含むことを特徴とす
    る、請求項1に記載の方法。
  6. 【請求項6】誘電体によって分離された第1の電子素子
    と第2の電子素子とを電気的に接続する方法であって、 前記第1および第2の電子素子と前記誘電体デバイスの
    上に窒化物層を付着させる段階と、 前記層の上に第1の絶縁体層を付着させる段階と、 前記窒化物層に対して前記第1の絶縁体層を優先的にエ
    ッチングする高選択エッチングと、前記誘電体に対して
    前記窒化物層を優先的にエッチングする選択窒化物エッ
    チングと、およびシリコンに対して前記誘電体層を優先
    的にエッチングする高選択窒化物エッチングとによっ
    て、前記第1の絶縁体層、前記窒化物層、および前記誘
    電体を貫く第1の孔を形成する段階と、 前記第1の孔の中に導電体を付着させ、それにより前記
    第1と第2の電気素子を電気的に接続するストラップを
    形成する段階と、 を含む方法。
  7. 【請求項7】前記誘電体が前記第1の電子素子を覆うこ
    とを特徴とする、請求項6に記載の方法。
  8. 【請求項8】半導体デバイス内のトレンチ・キャパシタ
    と拡散領域とを電気的に接続する方法であって、 前記トレンチ・キャパシタの上に酸化物カラーを形成す
    る段階と、 前記デバイス上に窒化物層を付着させる段階と、 前記窒化物層上に第1の絶縁体層を付着させる段階と、 前記窒化物層に対して前記絶縁体層を優先的にエッチン
    グする高選択エッチングと、選択窒化物:酸化物エッチ
    ングと、選択酸化物:シリコン・エッチングとによっ
    て、前記トレンチ・キャパシタと前記拡散領域を露出さ
    せ、前記トレンチ・キャパシタと前記拡散領域が露出す
    るように第1の孔を形成する段階と、 前記第1の孔の中に導電体を付着させ、それによりスト
    ラップを形成し前記トレンチ・キャパシタと前記拡散領
    域を電気的に接続する段階と、 を含む方法。
  9. 【請求項9】前記絶縁体層がシリコン酸化物を含み、前
    記窒化物層に対して前記絶縁体層を優先的にエッチング
    する前記高選択エッチングが、高選択酸化物:窒化物エ
    ッチングを含むことを特徴とする、請求項8に記載の方
    法。
  10. 【請求項10】前記絶縁体層がドープされたシリコン酸
    化物を含むことを特徴とする、請求項8に記載の方法。
  11. 【請求項11】前記デバイスがキャップおよびスペーサ
    を有する少なくとも1つのゲート・スタックを有し、キ
    ャップおよびスペーサが酸化物と窒化物の群から選択さ
    れた化合物を含むことを特徴とする請求項8に記載の方
    法。
  12. 【請求項12】前記キャップが窒化物を含むことを特徴
    とする、請求項11に記載の方法。
  13. 【請求項13】前記キャップと前記スペーサが共に窒化
    物を含むことを特徴とする、請求項11に記載の方法。
  14. 【請求項14】第1の電子素子を外部電気回路と電気的
    に接続する方法であって、 前記第1の電子素子上に窒化物層を付着させる段階と、 前記窒化物層上に酸化物層を付着させる段階と、 高選択酸化物:窒化物エッチングと、選択窒化物:酸化
    物エッチングとにより、前記窒化物層と前記絶縁体層と
    を貫く第1の孔を形成する段階と、 前記第1の孔の中に導電体を付着させて第1のコンタク
    トを形成する段階と、 前記第1の電子素子が前記外部電気回路に電気的に接続
    するように、前記第1のコンタクトと電気的に接触した
    状態で外部電気回路を形成する段階と、 を含む方法。
  15. 【請求項15】第1の電子素子を外部電気回路と電気的
    に接続する方法であって、 前記第1の電子素子上に、酸化物を含む第1の層を形成
    する段階と、 前記第1の電子素子および前記第1の層上に窒化物層を
    付着させる段階と、 前記窒化物層上に酸化物層を付着させる段階と、 高選択酸化物:窒化物エッチングと、選択窒化物:酸化
    物エッチングとにより前記第1の層、前記窒化物層およ
    び前記絶縁体層を貫く第1の孔を形成する段階と、 前記第1の孔の中に導電体を付着させて第1のコンタク
    トを形成する段階と、 前記第1の電子素子が前記外部電気回路と電気的に接続
    するように、前記第1のコンタクトと電気的に接触した
    状態で外部電気回路を形成する段階と、 を含む方法。
JP7314967A 1994-12-06 1995-12-04 選択窒化物酸化物エッチングを使用するプラグ・ストラップの製造方法 Expired - Fee Related JP3017064B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/350,445 US5545581A (en) 1994-12-06 1994-12-06 Plug strap process utilizing selective nitride and oxide etches
US350445 1994-12-06

Publications (2)

Publication Number Publication Date
JPH08241925A JPH08241925A (ja) 1996-09-17
JP3017064B2 true JP3017064B2 (ja) 2000-03-06

Family

ID=23376756

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7314967A Expired - Fee Related JP3017064B2 (ja) 1994-12-06 1995-12-04 選択窒化物酸化物エッチングを使用するプラグ・ストラップの製造方法

Country Status (3)

Country Link
US (1) US5545581A (ja)
JP (1) JP3017064B2 (ja)
KR (1) KR100196018B1 (ja)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6001685A (en) * 1993-12-21 1999-12-14 Hyundai Electronics Industries Co., Ltd. Method of making a semiconductor device
US5858875A (en) * 1995-02-03 1999-01-12 National Semiconductor Corporation Integrated circuits with borderless vias
US5656543A (en) * 1995-02-03 1997-08-12 National Semiconductor Corporation Fabrication of integrated circuits with borderless vias
US5757077A (en) * 1995-02-03 1998-05-26 National Semiconductor Corporation Integrated circuits with borderless vias
TW428244B (en) * 1996-04-15 2001-04-01 United Microelectronics Corp Planarization method for self-aligned contact process
US6080645A (en) * 1996-10-29 2000-06-27 Micron Technology, Inc. Method of making a doped silicon diffusion barrier region
US5926730A (en) * 1997-02-19 1999-07-20 Micron Technology, Inc. Conductor layer nitridation
US6262458B1 (en) 1997-02-19 2001-07-17 Micron Technology, Inc. Low resistivity titanium silicide structures
US6015997A (en) * 1997-02-19 2000-01-18 Micron Technology, Inc. Semiconductor structure having a doped conductive layer
DE19713961C2 (de) * 1997-04-04 1999-05-06 Siemens Ag Verfahren zur Erzeugung einer leitenden Verbindung zwischen zumindest zwei Gebieten eines ersten Leitfähigkeitstyps
US5918141A (en) * 1997-06-20 1999-06-29 National Semiconductor Corporation Method of masking silicide deposition utilizing a photoresist mask
US6207543B1 (en) 1997-06-30 2001-03-27 Vlsi Technology, Inc. Metallization technique for gate electrodes and local interconnects
US6420273B1 (en) 1997-06-30 2002-07-16 Koninklijke Philips Electronics N.V. Self-aligned etch-stop layer formation for semiconductor devices
EP0996977A1 (de) * 1997-07-15 2000-05-03 Infineon Technologies AG Kontaktierung einer halbleiterzone
US6083803A (en) * 1998-02-27 2000-07-04 Micron Technology, Inc. Semiconductor processing methods of forming a conductive projection and methods of increasing alignment tolerances
KR100268459B1 (ko) * 1998-05-07 2000-10-16 윤종용 반도체 장치의 콘택 플러그 형성 방법
US6297084B1 (en) * 1998-09-03 2001-10-02 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor memory
US6239026B1 (en) 1998-09-28 2001-05-29 Conexant Systems, Inc. Nitride etch stop for poisoned unlanded vias
JP2000114522A (ja) * 1998-10-08 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
TW395026B (en) * 1998-10-21 2000-06-21 United Microelectronics Corp The producing approach to the borderless contact
KR100350764B1 (ko) 1998-12-30 2002-11-18 주식회사 하이닉스반도체 반도체소자의 제조방법
US6759315B1 (en) 1999-01-04 2004-07-06 International Business Machines Corporation Method for selective trimming of gate structures and apparatus formed thereby
US6207514B1 (en) * 1999-01-04 2001-03-27 International Business Machines Corporation Method for forming borderless gate structures and apparatus formed thereby
US6190979B1 (en) 1999-07-12 2001-02-20 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
US6339027B1 (en) * 1999-11-22 2002-01-15 Chartered Semiconductor Manufacturing Ltd. Process for borderless stop in tin via formation
US6265271B1 (en) 2000-01-24 2001-07-24 Taiwan Semiconductor Manufacturing Company Integration of the borderless contact salicide process
KR100475135B1 (ko) * 2000-08-03 2005-03-08 매그나칩 반도체 유한회사 반도체 소자의 콘택 형성방법
US6780770B2 (en) * 2000-12-13 2004-08-24 Medtronic, Inc. Method for stacking semiconductor die within an implanted medical device
JP2004047608A (ja) * 2002-07-10 2004-02-12 Toshiba Corp 半導体装置及びその製造方法
US6936512B2 (en) * 2002-09-27 2005-08-30 International Business Machines Corporation Semiconductor method and structure for simultaneously forming a trench capacitor dielectric and trench sidewall device dielectric
KR100485690B1 (ko) * 2002-10-26 2005-04-27 삼성전자주식회사 모스 트랜지스터 및 그 제조방법
US6849495B2 (en) * 2003-02-28 2005-02-01 Infineon Technologies Ag Selective silicidation scheme for memory devices
US7338888B2 (en) * 2004-03-26 2008-03-04 Texas Instruments Incorporated Method for manufacturing a semiconductor device having a silicided gate electrode and a method for manufacturing an integrated circuit including the same
JP2006093635A (ja) * 2004-09-27 2006-04-06 Toshiba Corp 半導体装置およびその製造方法
US7157341B2 (en) * 2004-10-01 2007-01-02 International Business Machines Corporation Gate stacks
KR100783283B1 (ko) * 2006-12-05 2007-12-06 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US7879663B2 (en) * 2007-03-08 2011-02-01 Freescale Semiconductor, Inc. Trench formation in a semiconductor material
US7564115B2 (en) * 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
CN101562151B (zh) * 2008-04-15 2012-04-18 和舰科技(苏州)有限公司 具有金属硅化物的半导体结构及形成金属硅化物的方法
JP5912394B2 (ja) * 2011-10-13 2016-04-27 株式会社半導体エネルギー研究所 半導体装置
US8975729B2 (en) 2012-01-13 2015-03-10 Qualcomm Incorporated Integrating through substrate vias into middle-of-line layers of integrated circuits
US9153486B2 (en) * 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9478626B2 (en) 2014-12-19 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with an interconnect structure and method for forming the same
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4466172A (en) * 1979-01-08 1984-08-21 American Microsystems, Inc. Method for fabricating MOS device with self-aligned contacts
US4541168A (en) * 1984-10-29 1985-09-17 International Business Machines Corporation Method for making metal contact studs between first level metal and regions of a semiconductor device compatible with polyimide-filled deep trench isolation schemes
US4983544A (en) * 1986-10-20 1991-01-08 International Business Machines Corporation Silicide bridge contact process
US4801988A (en) * 1986-10-31 1989-01-31 International Business Machines Corporation Semiconductor trench capacitor cell with merged isolation and node trench construction
US4870470A (en) * 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US5200354A (en) * 1988-07-22 1993-04-06 Hyundai Electronics Industries Co. Ltd. Method for manufacturing dynamic random access memory cell
JP2551127B2 (ja) * 1989-01-07 1996-11-06 三菱電機株式会社 Mis型半導体装置およびその製造方法
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5151376A (en) * 1990-05-31 1992-09-29 Sgs-Thomson Microelectronics, Inc. Method of making polycrystalline silicon resistors for integrated circuits
US5065273A (en) * 1990-12-04 1991-11-12 International Business Machines Corporation High capacity DRAM trench capacitor and methods of fabricating same
US5126280A (en) * 1991-02-08 1992-06-30 Micron Technology, Inc. Stacked multi-poly spacers with double cell plate capacitor
US5293512A (en) * 1991-02-13 1994-03-08 Nec Corporation Semiconductor device having a groove type isolation region
KR940003597B1 (ko) * 1991-08-24 1994-04-25 삼성전자 주식회사 마스크롬의 제조방법
JPH05110017A (ja) * 1991-10-18 1993-04-30 Hitachi Ltd 半導体装置とその製造方法
US5362666A (en) * 1992-09-18 1994-11-08 Micron Technology, Inc. Method of producing a self-aligned contact penetrating cell plate

Also Published As

Publication number Publication date
JPH08241925A (ja) 1996-09-17
KR960026641A (ko) 1996-07-22
US5545581A (en) 1996-08-13
KR100196018B1 (ko) 1999-06-15

Similar Documents

Publication Publication Date Title
JP3017064B2 (ja) 選択窒化物酸化物エッチングを使用するプラグ・ストラップの製造方法
US6476488B1 (en) Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
JP3398649B2 (ja) 異なる導電タイプのドーパントをゲート導体にドーピングする方法
KR100320332B1 (ko) 반도체 장치 및 그 제조 방법
US5874359A (en) Small contacts for ultra large scale integration semiconductor devices without separation ground rule
US5670404A (en) Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
JP2827728B2 (ja) 半導体記憶装置およびその製造方法
JP2501734B2 (ja) 接続導体の形成方法
US5173450A (en) Titanium silicide local interconnect process
US5804846A (en) Process for forming a self-aligned raised source/drain MOS device and device therefrom
JP3132435B2 (ja) 半導体装置の製造方法
US6037211A (en) Method of fabricating contact holes in high density integrated circuits using polysilicon landing plug and self-aligned etching processes
JP3520146B2 (ja) 埋没ビットラインdramセルの製造方法
US5998269A (en) Technology for high performance buried contact and tungsten polycide gate integration
US6184129B1 (en) Low resistivity poly-silicon gate produced by selective metal growth
US5899735A (en) Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits
US5605862A (en) Process for making low-leakage contacts
JPH09260607A (ja) 半導体記憶装置の製造方法
US5926728A (en) Method for fabricating tungsten polycide contacts
JP2945964B2 (ja) 半導体素子の配線構造
US5946595A (en) Method of forming a local interconnect between electronic devices on a semiconductor substrate
US6080647A (en) Process to form a trench-free buried contact
US5923584A (en) Dual poly integrated circuit interconnect
US7442617B2 (en) Method for manufacturing bipolar transistor
US6136633A (en) Trench-free buried contact for locos isolation

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071224

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081224

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091224

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091224

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101224

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101224

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111224

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees