JP2023145718A - 触媒促進パターン転写技術 - Google Patents

触媒促進パターン転写技術 Download PDF

Info

Publication number
JP2023145718A
JP2023145718A JP2023127219A JP2023127219A JP2023145718A JP 2023145718 A JP2023145718 A JP 2023145718A JP 2023127219 A JP2023127219 A JP 2023127219A JP 2023127219 A JP2023127219 A JP 2023127219A JP 2023145718 A JP2023145718 A JP 2023145718A
Authority
JP
Japan
Prior art keywords
etching
catalyst
silicon
wafer
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023127219A
Other languages
English (en)
Inventor
ヴイ. スリーニヴァッサン,シトルガタ
V Sreenivasan Sidlgata
マラバラプ,アクヒラ
Mallavarapu Akhila
シンハル,シュラワン
Singhal Shrawan
アール. ダン,ローレンス
R Dunn Lawrence
ガウリク,ブライアン
Gawlik Brian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2023145718A publication Critical patent/JP2023145718A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Abstract

【課題】触媒促進ケミカルエッチング(CICE)の信頼性を向上する半導体素子のアーキテクチャ及び製造技術を提供する。【解決手段】三次元メモリアーキテクチャ及びトランジスタへの応用を伴うCICEは、半導体及び多層半導体に使用できる触媒ベースのエッチング方法であって、半導体基板をエッチングし、高アスペクト比のフィーチャを製造(成形)するために触媒を使用する。触媒は通常、エッチング剤や酸化剤の存在下で基板を掘り込むことによってケミカルエッチングを局所的に支援する。【選択図】図8C

Description

(関連出願の相互参照)
本出願は、あらゆる目的のために全てが参照により本明細書に援用される、2017年11月28日に「触媒メッシュパターンを用いる3次元メモリアーキテクチャの形成」と題して出願された米国仮特許出願番号62/591,326号と、2018年5月1日に「半導体素子製造(加工)のための多層電気化学エッチング処理」と題して出願された米国仮特許出願番号62/665,084号と、2018年6月20日に「半導体素子製造(加工)のための触媒ベースの電気化学エッチング処理」と題して出願された米国仮特許出願番号62/701,049号と、2018年9月10日に「触媒支援ケミカルエッチング技術:半導体素子における用途」と題して出願された米国仮特許出願番号62/729,361号と、の優先権を主張する。
(連邦政府支援の研究に関する陳述)
本発明は、国立科学財団から授与された助成金番号EEC1160494と、空軍研究所から授与された助成金番号FA8650-15-C-7542とに基づく政府支援によりなされた。米国政府は本発明に一定の権利を有する。
(技術分野)
本技術の各種の実施形態は、一般に、半導体素子のアーキテクチャ及び製造技術に関する。より具体的には、本技術のいくつかの実施形態は、3次元メモリアーキテクチャ及びトランジスタへの適用を伴う、触媒促進ケミカルエッチング技術を用いるシリコンエッチングに関する。
各種トランジスタ、メモリ、集積回路、フォトニックデバイス及びその他の半導体素子の半導体製造は、現代のコンピューティングデバイスやその他の電子システムの普及につながっている。例えば、コンピュータ、携帯電話、自動車、家庭用電化製品等は、全て、半導体製造における進歩の直接の産物である。これらのデバイスの製造(加工)に不可欠な部分はパターン転写である。高度に制御されたナノパターンを異方性エッチングするために半導体業界で用いられるドライプラズマエッチング処理は、高価な真空装置を必要とし、高アスペクト比をパターニングする際に断面形状を容易に保持できない。これらは、アスペクト比依存エッチング(ARDE)やエッチングテーパ等のエッチングの課題に悩まされている。
本技術の各種の実施形態は、一般に、メモリアーキテクチャ及び製造技術に関する。より具体的には、本技術のいくつかの実施形態は、3次元メモリアーキテクチャ及びトランジスタへの適用を伴う、触媒促進ケミカルエッチング技術を用いるシリコンエッチングに関する。
本技術の一の実施形態では、触媒促進ケミカルエッチングにより、複数の高アスペクト比の半導体構造の実質的な崩壊を防ぐ方法は、半導体材料の表面上に触媒層をパターニングすることを含み、ここで、触媒層は、意図されたデザイン及び複数のリソグラフィリンクを含む。更に、複数のリソグラフィリンクは、触媒層及び/又は半導体材料の1以上の孤立したフィーチャを実質的に接続する。この方法は、半導体材料の表面上のパターニングされた触媒層を、エッチング剤にさらすことを更に含み、ここで、複数の内部接続された高アスペクト比の構造を形成するために、パターニングされた触媒層は、半導体材料のエッチングを引き起こす。
本技術の他の実施形態では、複数の高アスペクト比の半導体構造の実質的な崩壊を防ぐ方法は、パターニングされた触媒層上か、又は低い高さの構造の上部のいずれかに堆積されたキャッピング材料を備えた構造を作成することを含む。この方法は、構造をエッチング剤にさらすことを更に含む。この方法は更に、複数の高アスペクト比の半導体構造の実質的な崩壊を防ぐために、キャッピング材料を備えた構造に触媒作用ケミカルエッチングを用いることにより複数の高アスペクト比の半導体構造を形成することを含む。
本技術の更なる実施形態では、触媒促進ケミカルエッチング用の装置は、半導体材料のエッチング状態を検出するように構成された複数のセンサを含む。
本技術の他の実施形態では、実質的に未崩壊の交互マルチ層が積層した複数のナノ構造を作る方法は、交互半導体フィルムの2以上の層を備える材料スタックを作成することを含み、ここで、交互半導体フィルムの2以上の層各々は、材料、ドーピング濃度及びドーパント材料の少なくとも1つが、他とは異なる。この方法は、触媒促進ケミカルエッチングにより、特性が異なる複数の層が、形態、多孔性、エッチング速度及び熱処理速度の少なくとも1つが異なるエッチングされた複数のナノ構造を製造(加工)するように、材料スタックをエッチングすることを更に含む。
本技術の更なる実施形態では実質的に未崩壊の交互マルチ層が積層した複数のフィーチャを作る方法は、交互半導体フィルムの2以上の層を備える材料スタックを作成することを含み、ここで、交互半導体フィルムの2以上の層の各々は、材料、ドーピング濃度及びドーパント材料の少なくとも1つが、他とは異なる。この方法は、結晶面に沿ってテーパを形成するために、結晶方位依存エッチングにより材料スタックをエッチングすることを更に含む。この方法は、階段構造を作成するために、他の層の一部のエッチングの間に、交互半導体フィルムの2以上の層の一つを露出するために結晶面に沿ってテーパをエッチングすることを更に含む。
本技術の実施形態は、一又は複数のプロセッサに、本明細書に記載の方法、方法の変形、及びその他の動作を実行させるための命令セットを含むコンピュータ読取可能記憶媒体も含む。
複数の実施形態が開示されているが、本技術の更なるその他の実施形態は、当該技術の例示的な実施形態を図示及び記載する以下の詳細な説明から当業者に明らかになるであろう。後に理解されるように、本技術は、本技術の範囲から逸脱することなく各種の態様で変更可能である。従って、図面及び詳細な説明は、本質的に例示としてみなされるべきであり、限定とみなされるべきではない。
本技術の実施形態を、添付の図面を用いて記載及び説明する。
本技術の一又は複数の実施形態に係る、成形ナノワイヤのためのジェットアンドフラッシュインプリントリソグラフィ(J-FIL)可能な、触媒促進ケミカルエッチング(CICE)を示す図である。 本技術の一又は複数の実施形態に従い、図1Aに記載のステップを用いてナノワイヤを製造(加工)する断面図である。 本技術の一又は複数の実施形態に係る、SiSE(シリコン超格子エッチング)処理制御を示す図である。 本技術の一又は複数の実施形態に係る、ドーピング濃度が異なるエピタキシャルシリコンの交互層を備える基板上のSiSE後の多孔質層と非多孔質層との間の急勾配の界面を示す図である。 本技術の一又は複数の実施形態に係る、ドーピング濃度が異なるエピタキシャルシリコンの交互層を備える基板上のSiSE後の多孔質層と非多孔質層との間の急勾配の界面を示す図である。 本技術の一又は複数の実施形態に係る、金及び白金触媒で作成されたシリコンナノワイヤのSEM断面を示し、ナノ構造の底部の触媒メッシュの画像を拡大した図である。 本技術の一又は複数の実施形態に係る、触媒材料及び半導体構造の接続リンクを用いて、一又は複数の孤立触媒及び高アスペクト比(HAR)ナノ構造を同時に接続する方法を示す図である。 本技術の一又は複数の実施形態に係る、SiSE後に残る高アスペクト比構造を規定する接続領域と、触媒フィーチャのジオメトリを表す非接続領域とを示す上面図である。 本技術の一又は複数の実施形態に係る、CICEの処理チャンバ構成を示す図である。 本技術の一又は複数の実施形態に係る、CICEの処理チャンバ構成を示す図である。 本技術の一又は複数の実施形態に係る、CICEの処理チャンバ構成を示す図である。 本技術の一又は複数の実施形態に係る、CICEの処理チャンバ構成を示す図である。 本技術の一又は複数の実施形態に係る、CICEの処理チャンバ構成を示す図である。 本技術の一又は複数の実施形態に係る、水平基板を有するMSP-CICE処理チャンバの実施形態を示す図である。 本技術の一又は複数の実施形態に係る、水平基板を有するMSP-CICE処理チャンバの実施形態を示す図である。 本技術の一又は複数の実施形態に係る、MSP-CICEツールセットアップの実施形態を示す図である。 本技術の一又は複数の実施形態に係る、詳細な処理チャンバレイアウトの一例を示す図である。 本技術の一又は複数の実施形態に係る、処理フローの一例を示す図である。 本技術の一又は複数の実施形態に係る、目標出力の最適処理パラメータを決定するために使用可能である遺伝的アルゴリズムベースのコントローラを示す図である。 本技術の一又は複数の実施形態に係る触媒メッシュの一例を示す図である。 本技術の一又は複数の実施形態に係る触媒メッシュの一例を示す図である。 本技術の一又は複数の実施形態に係る触媒メッシュの一例を示す図である。 本技術の一又は複数の実施形態に係る触媒メッシュの一例を示す図である。 本技術の一又は複数の実施形態に係る触媒メッシュの一例を示す図である。 図10に示したものに類似する触媒メッシュパターンを有するスリット及びチャネルのHARエッチングの処理フローを示す図である。 本技術の一又は複数の実施形態に係る、垂直チャネル3D NANDの犠牲処理フローを示す図である。 本技術の一又は複数の実施形態に係る、SiSEで3D NANDアレイを作るための処理フロー及び各種の経路を示す図である。 本技術の一又は複数の実施形態に係る、垂直チャネル3D NANDアレイを作成するためにSiSEによって作成される多孔質及び非多孔質シリコン層の交互層を処理する処理フローの一部を示す図である。 本技術の一又は複数の実施形態に係る、垂直チャネル3D NANDアレイを作成するためにSiSEによって作成される多孔質及び非多孔質シリコン層の交互層を処理する処理フローの一部を示す図である。 本技術の一又は複数の実施形態に係る、垂直チャネル3D NANDアレイを作成するためにSiSEによって作成される多孔質及び非多孔質シリコン層の交互層を処理する処理フローの一部を示す図である。 本技術の一又は複数の実施形態に係る、垂直ゲート及び水平シリコンチャネルを備える3D NANDアーキテクチャの実施形態を示す図である。 本技術の一又は複数の実施形態に係る3D NANDのレイアウト及び寸法を示す図である。 本技術の一又は複数の実施形態に係る3D NANDのレイアウト及び寸法を示す図である。 本技術の一又は複数の実施形態に係る3D NANDのレイアウト及び寸法を示す図である。 図18Aから図18Cに示されるパターンを作るための例示的な製造(加工)テンプレートを示す図である。 図18Aから図18Cに示されるパターンを作るための例示的な製造(加工)テンプレートを示す図である。 図18Aから図18Cに示されるパターンを作るための例示的な製造(加工)テンプレートを示す図である。 リンクがインプリントリソグラフィ(そのテンプレートは電子ビームリソグラフィで作製)で作られ、ドットがインプリント又はフォトリソグラフィを用いてアライメント及び印刷される、又はその逆も然りの、リソグラフィリンクのパターンの一例を提供する図である。 本技術の一又は複数の実施形態に係る、CICE触媒をパターニングするための例示的なフォトリソグラフィ処理ステップを示す図である。 本技術の一又は複数の実施形態に係る、自己組織化及びリソグラフィを用いて実質的に接続された触媒フィーチャを有する触媒パターンを作るための処理フローを示す図である。 本技術の一又は複数の実施形態に係る3D NAND階段エッチングの一例を示す図である。 本技術の一又は複数の実施形態に係る、ワードライン用接点パッドを作成するためのアルカリエッチング剤によるバルクシリコン上の階段エッチング又は傾斜プラズマエッチングの処理を示す図である。 本技術の一又は複数の実施形態に係る、CICEによってエッチングされたナノワイヤ上にトランジスタ、コンデンサ、及び配線材を備えた例示的なDRAMのデザインを示す図である。 本技術の一又は複数の実施形態に係る、崩壊することなく高アスペクト比のピラーを作成するためのCICEウェット異方性エッチングの2つの処理フローを示す図である。 本技術の一又は複数の実施形態に係る、崩壊することなく高アスペクト比のピラーを作成するためのCICEウェット異方性エッチングの2つの処理フローを示す図である。 本技術の一又は複数の実施形態に係る、シリコン上におけるCICE後の未支持フィーチャvs支持フィーチャの崩壊を示すSEM画像を示す図である。 テーパ角度が約85度の14nm FinFETと、24nmの物理的なハーフピッチ(HP)を示す図である(参照:テックインサイツ)。 本技術の一又は複数の実施形態に係る、異なるフィン幅及びエッチングテーパ角度に対する最大フィン高さを示す図である。 支持/支援フィーチャなしに、50nm長のフィンの長さに沿って横方向に崩壊する前のテーパのないフィンの最大高さを示すプロットである。 本技術の一又は複数の実施形態に係る、CICEを用いてfinFETを作るための処理フローの一例を示す図である。 本技術の一又は複数の実施形態に係る、CICE後のFinFET製造(加工)処理ステップの一例を示す図である。 本技術の一又は複数の実施形態に係る、CICEを用いてナノシートFET及び横方向ナノワイヤFETを作るための処理フローの一例を示す図である。 フィンの数が1より大きい場合の接続リンクを示す図である。 全てのフィンを孤立触媒(上)、又は接続済リンク及び接続済触媒(下)と接続するリンクを示す図である。 異なるフィン幅f及び1から10の範囲のフィンの数について、接続されたフィン構造の長さに沿って崩壊する前の臨界高さを示すプロットである。 異なるフィン幅f及び1から10の範囲のフィンの数について、接続されたフィン構造の幅に沿って崩壊する前の臨界高さを示すプロットである。
これらの図面は必ずしも一定の縮尺で描かれているわけではない。同様に、本技術の実施形態のいくつかを説明する目的で、いくつかの構成要素及び/又は動作を異なるブロックに分離するか、又は単一のブロックに組み合わせてよい。更に、本技術は各種の変更及び代替形態を受けることができるが、特定の実施形態について例として図示しており、以下に詳細に説明される。しかしながら、その意図は、記載された特定の実施形態に本技術を限定することではない。それどころか、本技術は、添付の特許請求の範囲によって規定される技術の範囲内の変更物、等価物、及び代替物の全てを包含するよう意図される。
本技術の各種の実施形態は、新規な異方性エッチング処理を説明する。そのための製造(加工)ツールも開示される。これにより、本技術を半導体素子の作製に採用可能となる。いくつかの実施形態は、トランジスタ及び各種のメモリアーキテクチャの製造に対して触媒促進ケミカルエッチング(CICE)を用いる。更に、CICE処理の各種の実施形態は、フィーチャのサイズを失うことなく、非常に高いアスペクト比を示した。
本技術の各種の実施形態は、触媒ベースのケミカルエッチングにおける各種の制御スキームも提供する。そのためのウエハスケールマルチスケール精密触媒影響ケミカルエッチング(MSP-CICE)製造(加工)ツールも開示される。いくつかの実施形態は、各種の制御スキームとツール設計を用いて、CICEの性能を現代の文献におけるエッチング深さ制御のない小領域(サブ150mm基板)から、局所的及び全体的な制御及び計測のある大領域(例えば、300mmSiウエハ)に拡張する。これにより、3D NANDフラッシュ、DRAM、FinFET及びナノシートトランジスタ等の半導体素子の作製に本技術を採用可能となる。
本技術の各種の実施形態は、一般に、メモリアーキテクチャ及び製造技術に関する。より具体的には、本技術のいくつかの実施形態は、三次元メモリアーキテクチャ及びトランジスタへの適用を伴う、触媒促進ケミカルエッチング技術を用いるシリコンエッチングに関する。現在のパターン転写技術による高度なメモリアーキテクチャのスケーラビリティは、高アスペクト比プラズマエッチングによるエッチングマスクの劣化、側壁の損傷及びゼロ以外のテーパによって制限される。3次元(3D)NANDフラッシュ等の不揮発性半導体メモリでは、フラッシュドライブの記憶容量を増やすために、64層を超える交互材料の非常に高いアスペクト比のエッチングが必要である。層の増加に伴い、各層への接点を規定するための階段エッチングばかりでなく、異方性で高アスペクト比のチャネル及びトレンチエッチングのコストと信頼性が、スケーリングの主な制限要因になる。ゼロ以外のプラズマエッチングテーパ角度は、確実に達成できる層スタックの最大数を制限する。
高度に制御されたナノパターンを異方性エッチングするために半導体業界で用いられるドライプラズマエッチング処理は、高価な真空装置を必要とし、高アスペクト比をパターニングする際に断面形状を容易に保持できない。また、アスペクト比依存エッチング(ARDE)やエッチングテーパ等のエッチングの課題に悩まされている。3D NANDフラッシュのデザインでは、円形チャネルと長方形スリットの同時エッチングは、側壁を正確に制御するプラズマエッチングで確実には達成できない。同様に、接続リンクを備えたフィーチャの場合、ピラー間のサブ10nmリンクは、高アスペクト比では保持できない。
更に、DRAMスケーリングは、コンデンサが占める面積とセルサイズ係数によって制限される。故に、メモリアーキテクチャのスケーリングにおける現在の技術は、リソグラフィ及び高アスペクト比エッチングのステップが多いために制限されている。各種の実施形態は、DRAM製造のために改良された技術を提供する。
以下の記載では、説明の目的で、本技術の実施形態の完全な理解のために多くの特定の詳細が示される。しかしながら、当業者には、本技術の実施形態は、これらの特定の詳細の一部がなくても実施可能であることは明らかであろう。
ここで紹介する技術は、専用ハードウェア(例えば、回路)として、ソフトウェアやファームウェアで適切にプログラムされたプログラム可能回路として、又は専用回路及びプログラム可能回路の組み合わせとして具体化できる。故に、実施形態は、コンピュータ(又は他の電子デバイス)をプログラムして処理を実行するために使用される命令が格納された機械可読媒体を含んでよい。機械可読媒体は、光ディスク、コンパクトディスク読取専用メモリ(CD-ROM)、光磁気ディスク、ROM、ランダムアクセスメモリ(RAM)、消去可能プログラマブル読み出し専用メモリ(EPROM)、電気的消去可能プログラマブル読み出し専用メモリ(EEPROM)、磁気カード又は光学カード、フラッシュメモリ、又は電子命令を格納するのに適したその他の種類の媒体/機械可読媒体を含んでよいが、これらに限定されない。
「いくつかの実施形態において」、「いくつかの実施形態に従って」、「示された実施形態において」、「他の実施形態において」等の語句は、一般に、その語句に続く特定の特徴、構造、又は特性が、本技術の少なくとも1つの実施に含まれることを意味してよく、1よりも多い実施に含まれてよい。加えて、そのような語句は、必ずしも同じ実施形態又は異なる実施形態を指すとは限らない。
本技術の各種の実施形態は、トランジスタ及び各種のメモリアーキテクチャの製造のために触媒促進ケミカルエッチング(CICE)を用いる。CICEは、Si、Ge、SiGe1-x、GaN、InP、GaAs、InAs、GaP、InGaS、InGaP、SiC等の半導体や、多層半導体で使用できる触媒ベースのエッチング方法である。CICEは、半導体基板をエッチングするために触媒を用いており、フォトリソグラフィ、電子ビームリソグラフィ、ナノスフィアリソグラフィ、ブロックコポリマー、レーザー干渉リソグラフィ、コロイドリソグラフィ、ダブルパターニング、クワッドパターニング、ナノインプリントリソグラフィ、及び触媒をパターニングする陽極酸化アルミニウム(AAO)テンプレート等のパターニング技術を用いて、高アスペクト比のフィーチャを製造(加工)するために用いられている。触媒は、ポリマー、Cr等のエッチング遅延材料と共に使用できる。
いくつかの実施形態では、このセットアップは、エッチング剤(例えば、フッ化物種HF、NHF、緩衝HF、HSO、HO)及び酸化剤(H、V、KMnO、溶存酸素等)を含む溶液に浸すことができる。アルコール(エタノール、イソプロピルアルコール、エチレングリコール)、エッチングの均一性を調節する材料(界面活性剤、可溶性ポリマー、ジメチルスルホキシド-DMSO)、溶媒(イオン交換水、DMSO等)、及び緩衝液等のその他の化学物質も、エッチング組成物に含めることができる。用いられる化学物質は、エッチングされる半導体基板に依存しうる。非水性エッチング剤も必要に応じて使用できる。エッチング剤は液相又は気相でありうる。シリコン基板用のそのようなエッチング剤の実施形態は、イオン交換水、HO、H、エタノール及びHFを含む。
金属(例えば、Ag、Au、Pd、Pt、Cu、W、Ru、Ir)等の材料、TiN、TaN、RuO、IrO等の化合物、及びその他の導電性金属酸化物及び窒化物、グラフェン、炭素等が、CICE用触媒として機能できる。SiをエッチングするためのCICE処理の機構は、触媒による酸化剤の還元を伴い、それにより正に帯電したホールhを作成する。次に、これらのホールは、金属を介して金属-半導体界面に注入され、それにより金属の下で半導体を酸化する。酸化されたシリコンは、触媒の側面からや触媒を介して拡散するエッチング剤のフッ化物成分によって溶解され、可溶性生成物が拡散し離れる。HFとHを用いたシリコンのCICEの場合、このレドックス反応は水素ガスも生成する。変数n=2から4は、発生するエッチングレジーム(領域)を決定する、HFに対する酸化剤の比率によって決定される。
Figure 2023145718000002
この処理のエッチング速度と、得られる形態は、ドーパントタイプ、濃度、触媒膜厚、及びエッチング剤の濃度に依存する。電場と磁場の両方を用いて、エッチング処理中のホール拡散によって、多孔性のより高い均一性/制御が達成されている。得られた触媒メッシュを備えた基板は、エッチング剤溶液内に配置され、その場(インサイチュ)でエッチング深さを決定できる光学イメージングシステム、温度勾配及び電場によって積極的に制御されるある一定の深さまで精密にエッチングされる。CICE後には、王水、塩素系プラズマ等のケミカルエッチング又はプラズマエッチングを用いて、触媒を除去できる。
ジェットアンドフラッシュインプリントリソグラフィ(J-FIL)と金触媒を用いたCICEを用いて作られたダイヤモンド形断面のシリコンナノワイヤは、実証できている(例えば、図1を参照)。ダイヤモンド形のシリコンナノワイヤで作られたコンデンサは、同じピッチの円形断面を持つNWよりも90%高い比容量を示し、文献ではNWの面積当たり最高比容量を示す。J-FIL及びCICEは、標準的なコストモデルに基づき、ウエハ当たり1ドル未満の製造(加工)コストでSiナノ構造を製造(加工)する可能性がある。
図1Aは、本技術の一又は複数の実施形態に係る、ナノワイヤを作るためのJ-FIL対応触媒促進ケミカルエッチング(CICE)処理100を示す。図1Aから図1Bに示すように、処理ステップ110では、ナノフィーチャ111(例えば、レジスト材料)をシリコン基板112上にインプリントできる。材料(例えば、レジスト)は、インプリント処理後、トレンチ113内に残ることがある。処理ステップ120では、レジスト残層の厚さ(RLT)を除去し且つトレンチ113内のレジストを除去するために、「デスカム」エッチングを実行できる。デスカムエッチングの一例は、酸素及びアルゴンプラズマを用いて、レジスト材料をエッチングする。処理ステップ130では、金(Au)131は、電子ビーム蒸着等の指向性堆積処理を用いて、トレンチ113内及びナノフィーチャ111の上に堆積できる。金の堆積の前に、Ti等の接着層を堆積してもよい。処理ステップ140では、CICEを用いてトレンチ141を形成でき、金131は、トレンチ141の底部及びナノフィーチャ111の上部に配置される。処理ステップ150では、金(Au)131及びレジスト111を除去でき、プラズマエッチング、若しくは王水、ヨウ化カリウム、及び液状又は蒸気状のピラニア等のケミカルエッチング剤を用いて構造を洗浄できる。図1Bは、技術の一又は複数の実施形態に従い、図1Aで説明したステップを用いてナノワイヤを製造(加工)する場合の断面図を示す。
CICEは、金属支援ケミカルエッチング(MACE)と称される処理の上位セットである。金属とは別に、潜在的に触媒として使用されうるグラフェン又はTiN、TaN、RuO、IrO等のある一定の非金属触媒がある。更に、触媒は通常、エッチング剤や酸化剤の存在下で基板を掘り込むことによってケミカルエッチングを局所的に支援するが、InPの場合のように、エッチングを局所的に阻害することもできる。そのような処理を全て包含するために、各種の実施形態は、触媒促進ケミカルエッチング(CICE)の処理を参照する。
しかしながら、高アスペクト比のエッチングステップで用いられるCICE異方性ウェットエッチング法は、現在、精密なエッチング深さ制御及びウエハスケール製造(加工)を有していない。不連続な触媒フィーチャは、CICE処理中にふらつく傾向があり、欠陥を生じる傾向がある。用いる触媒は、再堆積又はアンダーカット無しにプラズマ又はウェットエッチングでエッチングすることは容易でない。貴金属触媒をパターニングするために現在用いられるリフトオフ処理は、欠陥性が高い。本技術の各種の実施形態は、エッチング剤溶液の化学的性質、電場、ナノ構造の光学/分光特性等の各種のセンサ及びアクチュエータを精密に制御することにより、mmからnmの範囲のフィーチャサイズを有する任意のナノパターンのエッチングを可能にする。
各種の実施形態によれば、CICEを用いて、バルク材料のナノ構造、又は超格子等の材料の交互層を作成できる。バルク材料のCICEは、finFETやナノワイヤセンサ等のデバイスで使用できる。超格子ナノ構造は、3D NANDフラッシュメモリデバイスやナノシートトランジスタ等の用途がある。超格子は、時間変動電場を伴うバルク半導体基板上で、又はドーピング濃度、材料、ドーパントタイプ等が異なる半導体材料の交互層を備えた基板上で、CICEを実行することによって作成できる。基板の材料の少なくとも1つとしてシリコンを用いる実施形態では、超格子を作成するためのCICEの処理は、以下で説明されるシリコン超格子エッチング(SiSE)として説明される。
(シリコン超格子エッチング(SiSE))
SiSEは、バルクシリコンウエハや、ドーピング濃度の異なるシリコンの交互層に使用できる。エッチング剤(フッ化水素酸HF等)、酸化剤(過酸化水素H等)、及び任意的には低表面張力液体(エタノール等)やイオン交換水は、触媒(Ag、Au、Pd、Pt、Cu、W、Ru、TiN、RuO、IrO、グラフェン等)の位置で優先的に半導体基板をエッチングできる。必要に応じて、非水性エッチング剤も使用できる。リソグラフィ技術(フォトリソグラフィ、電子ビームリソグラフィ、ダブルパターニング、クワッドパターニング、ナノインプリントリソグラフィ等)を用いて、触媒フィーチャを規定できる。得られた触媒メッシュを備えた基板は、MSP-CICEツールに配置され、エッチング中の電気及び光学特性に基づいてエッチング深さを決定できる光学イメージングシステム、熱アクチュエータ及び電場によって積極的に制御されるある一定の深さまで精密にエッチングされる。
超格子エッチングでエッチングできるヘテロ接合材料、合金、III-V族、II-VI族、IV族等のその他の半導体は、Ge、SiGe1-x、GaN、InP、GaAs、InAs、GaP、InGaS、InGaP、SiC等である。それらを材料設計空間に含めることもできるが、材料及び堆積のコストが高い、商業的に利用可能な堆積及び特性評価方法がない等の各種の理由から、ここではこれ以上説明しない。シリコンを含有するSiSE処理の各種の実施形態を利用できるSiSE処理を用いた信頼性の高い大面積ウエハスケールエッチングは、現在、従来技術には存在しない。各種の実施形態は、これを可能にするために各種の技術を組み込むものとする。
シリコン超格子エッチング(SiSE)は、触媒を用いて半導体基板をエッチングすると同時に、少なくとも1つの層が多孔質である交互層を備える超格子を作成する。交互層は、電場パラメータ変調と、及び/又は交互のドーピング特性を持つ層を介したエッチングとによって形成される。図2は、本技術の一又は複数の実施形態に係るSiSE処理制御200を示している。図2に示すように、パターニング動作210は、シリコン基板上にパターニングされた触媒を生成できる。各種の実施形態によれば、(212に示すような)バルク基板を用いてよく、又は(214に示すような)交互のドーピング層を備える基板を用いてよい。バルク基板又は交互のドーピング層を備える基板のいずれかは、ロード動作230においてシリコン超格子エッチングツールに搭載されてよい。SiSE処理240は、高アスペクト比のナノ構造250を生成するように精密に制御できる。各種の実施形態によれば、高アスペクト比のナノ構造250は、4:1、5:1、又はそれ以上の、臨界寸法(例えば、ナノワイヤの底部及び上部の直径の平均)に対する高さの比を有してよい。
各種のフィードバックパラメータ260は、直接測定するか、直接測定から推定できる。これらのパラメータは、エッチング剤の性能パラメータ(例えば、濃度、体積、流量、レイノルズ数、屈折率等)、電場パラメータ(電流、電圧、抵抗、静電容量等)、ウエハ全体の光学的変動(例えば、反射率、強度等)、周囲環境パラメータ(例えば、温度、圧力、不活性ガスの流量、蒸気圧等)、及び/又はその他のパラメータを含むが、これらに限定されない。これらを用いて、入力エッチング制御信号とともに使用できるフィードバック信号を生成し、各種のシステムパラメータ(例えば、流量、エッチング剤の乱流、温度、圧力、濃度、照明、及び電流、電圧、抵抗、静電容量、周波数、デューティサイクル、振幅、波形タイプ、電極間の距離等の電場パラメータ)を制御できる。
変調された電場とともにパターニングされた触媒によってエッチングされる(212に示すような)バルクシリコンの場合、交互層は多孔性が異なる。時間の経過に伴う電流密度や照明密度等のパラメータの変調により、多孔質半導体マルチ層を作成できる。電流密度は、図2に示すように、p型シリコン基板では、触媒がシリコンに沈むと正の電流密度が多孔性を生じ、ゼロ又は負の電流密度が触媒エッチングのみの結晶層を生じるように変調できる。これは、触媒の存在無しに変調電場のみが用いられる処理と比較して独特である。なぜなら、そのような場合、交互層のうちの1層の多孔性が非常に低い交互層を生成できないからである。そのようなマルチ層スタックのいくつかの実施形態は、20%未満の多孔性を有する一つのセットの交互層と、30%を超える多孔性を有する層を備える他のセットと、を含むことができる。
高・低ドーピング濃度が交互であるエピタキシャル層が、(例えば、214に示すように)層当たりサブミクロンの厚さで堆積される際には、高堆積速度での堆積処理の制限や、界面全体のドーパントの拡散により、二層の界面全体の濃度勾配は浅くなる。これにより、界面全体の勾配が浅い等、スタックの厚さ全体のドーピングが急激に変化しなくなる。SiSEでは、特定のドーピング濃度で形態が多孔質から非多孔質に確実に変化するようにエッチングが調整され、それにより、浅いドーピング濃度勾配が多孔質/非多孔質界面の急なステップ関数に変化する。
SiSEの進行に伴い、触媒メッシュは半導体材料スタックをエッチングして、3D NANDチャネル及びワードライン分離用のホール及びスリットと、ナノシートFET用のフィン及びトレンチとを備えた高アスペクト比のフィーチャを露出させる。SiSEは、エッチングストップ層及び/又は時限エッチングを用いて停止できる。エッチング剤組成物及び処理中におけるホール生成により、それらの材料及びドーピング濃度に基づいて、形態の異なる交互フィルムが生じる。また、形態が変化する正確な時間は、エピタキシャル層全体の抵抗、電圧、電流、静電容量等の電気的パラメータを測定することによって検出できる。次にこの情報を用いて、スタック全体の電流を精密に変調できる。
図3Aから図3Bは、本技術の一又は複数の実施形態に係る、ドーピング濃度が異なるエピタキシャルシリコンの交互層を備える基板上のSiSE後の多孔質層と非多孔質層との間の急勾配の界面を示す。図3Aでは、多孔質フィルム320の層Aと非多孔質フィルム330の層Bとの間の界面310が示される。図3Bでは、多孔性及び非多孔性セクションを有するいくつかの崩壊壁340が示される。
(CICEの触媒材料のCMOS互換性)
CICE処理の各種の実施形態は、エッチングが進むにつれて基板内に沈み、パターニングされていない領域を高アスペクト比のナノ構造として残すパターニングされた触媒を使用できる。トランジスタやメモリデバイス等の半導体用途では、触媒材料は、業界での採用を可能とし且つシリコンにおける深い準位の欠陥を防ぐために、CMOS互換であるべきである。Au、Ag、Pt、Cu、Pd、W、Ni、Ru、グラフェン、TiN、RuO等の材料は、SiSE触媒として使用できる。AuやCu等の金属を高温で処理すると、深い準位の欠陥が現れる。 SiSEは常温から低温の処理であるため、このような欠陥の影響は最小限になる可能性がある。更に、Pt、Pd、Ru、TiN等のCMOS互換性触媒を使用できる。
図4は、本技術の一又は複数の実施形態に係る、金及び白金触媒で作成されたシリコンナノワイヤのSEM断面を示し、ナノ構造の底部の触媒メッシュの画像を拡大した図である。白金のようなCMOS互換性触媒(図4)の場合、堆積及びパターニングは高収率に違いない。白金は、Clでプラズマエッチングを用いてエッチングでき、PtClを形成する。210℃を超える温度では、PtClは揮発性であるため、堆積及びリソグラフィの後に金属をエッチングする実行可能な方法として使用できる。パラジウムにも同様のエッチング方法を使用できる。他の堆積方法は、リソグラフィ後の電着を介するものであり、金属はレジストで覆われていない基板の領域にのみ堆積される。或いは、金属は、電子ビーム堆積等によって、リソグラフィされた領域及び基板の上に堆積されるが、基板と接触する領域のみが、リフトオフを必要とせずに、MACEによってエッチングされる。
触媒メッシュのふらつきを防ぎ、エッチング剤溶液の信頼性の高い輸送のために、接続リンクを備えた連続パターン及び/又は天井、電場を各種の実施形態で用いることができる。得られる高アスペクト比のフィーチャは、低表面張力勾配、超臨界乾燥及び接続されたフィーチャを用いる等の緩和技術によって、崩壊を防ぐことができる。ふらつきや崩壊は、触媒と基板の両方における所望のフィーチャ間のリンクを接続することからなるパターニング技術を用いたり、SiSE処理後に制御された堆積又はエッチングを用いて高アスペクト比のリンクされたフィーチャを所望のデバイス構造に変換したりすることによっても、防止できる。
切断されたフィーチャの高アスペクト比エッチング中に発生する問題は、崩壊の問題である。これはデバイスの歩留まりに非常に有害である。各種の実施形態は、崩壊を防止し且つエッチング中及びエッチング後の構造に安定性を提供するサブ10nm支援フィーチャを備えた内部接続ナノ構造を作成することにより、この問題を解決する。例えば、図5Aから図5Bでは、3D NANDフラッシュ用の触媒のデザインが示される。SiSEの後、得られる構造は高さが20ミクロンよりも高く、フィーチャサイズが40nm未満であってよい。
図5Aは、本技術の一又は複数の実施形態に係る、触媒材料及び半導体構造内の接続リンク510を用いて、各種の孤立触媒520及び高アスペクト比(HAR)ナノ構造540を同時に接続する方法を示す。図5Bは、本技術の一又は複数の実施形態に係る、SiSE後に残る高アスペクト比構造を規定する接続領域540と、触媒フィーチャ530のジオメトリを表す非接続領域とを示す上面図である。各種の実施形態に従って、孤立触媒部分は、HARナノ構造が確実に立ち続けるようにリンクを用いて孤立フィーチャを接続するために用いられるアルゴリズムから生成できるパターンを用いて接続され、また均一で制御されたエッチング速度を保証するように、触媒メッシュのふらつきを防ぐ支援をし、エッチング剤の反応物及び生成物の拡散経路を作成する(図5A)。触媒フィーチャが切断されているため、ふらつきが発生する可能性があるが、電場を用いるいくつかの実施形態では防止されてよい。自立フィーチャは、高アスペクト比のラインを強化することで崩壊を防ぐように接続される(図5B)。
各種の実施形態によれば、接続されたリンクの生成は、理想的な意図されたデザインで孤立しうる触媒材料又は半導体のノードを規定することによって行うことができる。次に、CICEでエッチングされた構造が確実に機械的に安定であるようにリンクを生成できる。CICE中に触媒が確実にふらつかないように、リンクを最適化することもできる。触媒の設計上のそのような処理エクスカーションの最適化は、グラフ理論に基づく方法や再帰的な分割方法等の標準アルゴリズムを用いて行うことができる。
触媒は、a)ふらつきを防ぐリソグラフィリンク-これらのフィーチャにより、エッチングされた構造にギャップが生じるが、これは、原子層堆積、化学気相成長、電気めっき等の様々な堆積処理を用いて材料で埋めることができる、及び/又はb)エッチングされた構造の崩壊を防ぐためのリソグラフィギャップ-これらのフィーチャは、エッチングされた構造内のリンクを安定させる結果となる、のうちの一又は複数を含むことができる。設計上の要件に基づいて、これらのリンクは、リソグラフィ及びエッチング、選択酸化、選択酸化及びエッチング等を用いて除去されてよい。これは、必要に応じて他の領域に安定化材料を堆積した後に行うことができる。
触媒メッシュがリソグラフィリンクとギャップを両方含む場合、リンクされた構造が生じる。更に小さいリンク接続でサブ30nmフィーチャを製造(加工)することは非常に困難である。電子ビームリソグラフィ等のパターニング方法では、サブ10nmフィーチャを書き込めるが、大きいオーバレイに悩まされている。一方、フォトリソグラフィは、オーバレイは優れるが、解像度は低い。フォトリソグラフィ及びインプリントリソグラフィ(そのテンプレートは電子ビームリソグラフィで作製)を用いて、後にナノインプリントテンプレートとなるリンクされた最終構造を取得してよい。このようなパターンの一例は、3D NAND及びトランジスタデバイスのセクションで説明される。
孤立又はリンクされた構造を触媒に用いる以外に、各種の実施形態で用いられる最大アスペクト比を拡張するための他の方法は、天井を用いることによる。天井を用いた崩壊防止は、プラズマエッチング又はSiSEを用いて短く安定した高さまでフィーチャをエッチングし、天井を堆積し、及びSiSE処理を続行することにより、実行できる。「天井」は、L/2等、短いピラーの長さに沿った高さにもできる。但し、Lは短く安定したピラーの高さである。これにより、フィーチャが更にエッチングされるにつれて更なる支持が付与され、最大アスペクト比が、短いピラーの上部にある天井のアスペクト比よりも大きくなる。これは高アスペクト比のピラーに構造安定性を付与し、崩壊を防ぐ。
天井は、傾斜堆積や、ポリマーの充填、エッチバック及び天井の堆積、又はスピンコーティング等の方法によって堆積できる。天井に使用できる材料は、ポリマー、スパッタ/堆積半導体、CICEエッチング剤と反応しない金属及び酸化物等を含む。Si CICEエッチング剤には、Cr、Cr、炭素、シリコン、Al、ポリマー等の材料を使用できる。いくつかの実施形態では、天井は、更なる低解像度リソグラフィステップによって、又は天井材料に多孔性を誘導する反応によって、多孔質にもできる。一旦基板がエッチングされ、液相又は気相ケミカルエッチングを用いて触媒が除去されると、多孔質天井を除去する前に、原子層堆積等の方法によるメモリフィルム又は誘電体フィラーの堆積を行うことができる。天井材料は、原子層堆積(ALD)に対して非選択的になるように調整して、複数の孔が堆積経路を閉じて遮断するのを防ぐこともできる。フィーチャを埋めた後、天井はエッチング又は研磨される。また、エッチング後に高アスペクト比の形状を閉じて、孤立した触媒を使用せずに深いホールを作成するためにも、ALDを用いることができる。
(エッチングツール)
本技術の各種の実施形態は、1)精密な処理の監視及び制御のためにエッチングが進行するのに伴って、高速(リアルタイム)で、高空間分解能の機能的又は幾何学的な計測学、及び/又は2)リアルタイム計測に基づき、及びエッチング処理を局所的に制御して様々なアレイを有するデバイスの制御された製造(加工)を可能にする独立アドレス指定可能アクチュエータのアレイに基づき、CICE処理のマルチスケール精密制御を可能にするシステム等の、フィーチャを備えた半導体材料において高アスペクト比のナノ構造のウエハスケールのエッチングを実現できる、独自の高忠実度ナノスケール製造システム(マルチスケール精密CICE又はMSP-CICE)を提供する。
図6Aから図6Eは、本技術の一又は複数の実施形態に係る、CICEの処理チャンバ構成を示す図である。図6Aは、インクジェット605、エッチング剤循環システム610、前面電極615、電場供給部620、ポリマー壁625、並びに裏面電極接点及び熱アクチュエータ630を備えたシステムを示す。図6Aに示される実施形態では、シリコンウエハ635は、電場制御を可能にするために、前面電極615と裏面電極630との間に配置されうる。図6Bは、ウエハチャック640を用いたCICEの電場構成のいくつかの実施形態を示す。図6Cは、本技術のいくつかの実施形態において使用される更なる熱制御のためのマイクロミラーアレイ、及び局所電気及び熱アクチュエータの裏面接点を備えたエッチング剤のバルク送出のためのセットアップを示す。図6Dは、本技術のいくつかの実施形態で使用される更なる熱制御のためのマイクロミラーアレイ、局所電気及び熱アクチュエータの裏面接点、及び前側電極針645を備えたエッチング剤のバルク送出のセットアップを示す。図6Eは、基板の後方に熱チャック及び埋込電極及び熱アクチュエータ650を備えたセットアップを示す。
図6A及び図6Bに示す実施形態は、局所上部電極615及び裏面電極接点630に連結されたインクジェット605を用いて、エッチング剤濃度及び電場の局所的な制御を提供する。エッチング用の異なる領域は、低解像度リソグラフィを用いテーパターニングされるポリマー壁625を用いて、ウエハの上部で互いに孤立できる。一の実施形態では、これらの壁は、窒化シリコン、酸化アルミニウム、無定形炭素、シリコン又はクロム等の異なる耐エッチング剤材料で作ることができる。図6Aでは、裏面電極630は、電気アクチュエータと熱アクチュエータを両方含み、電気接点は、導電性を向上させるためにドープされてもされなくてもよい金属、シリコン、シリコンカーバイド等の導電性物質を用いて作られる。図6Bでは、裏面電気接点630は、ウエハ635とチャック640との間に局所的に含まれる電解質を用いて作られる。電解質は、エッチング剤と同じでもよく、若しくはCMOS互換のある希酸、塩基又は塩類等の異なる導電性液体でもよい。図6A及び図6Bでは、裏面電極630は、電極自体(図6A)又はチャック内(図6B)に統合された温度制御を含むこともできる。
図6Cに示す実施形態では、裏面電極接点630及びチャック640は、図6Bの構成と同様である。一方、エッチング剤は、注入口を用いてウエハ上に全体的に分配され、フロー制御用の排出口を用いて循環されてよい。ウエハ全体にエッチング剤を確実に均一に分散させるために、任意のディフューザ(図示せず)を用いてもよい。エッチング剤の異なる成分は、別の混合チャンバで混合してよく、又は注入口及びディフューザを通過することにより動的に混合してよい。電極615は、金属メッシュ、ドープされたシリコンウエハ、ITO(インジウムスズ酸化物)又はその他のそのような材料で作ることができ、ポリマー、PTFE、酸化アルミニウム等の耐エッチング剤材料でコーティングできる。コーティングされた材料は、導電性を向上させるためにドープできる。局所的な加熱は、ウエハの上側のマイクロミラーアレイによって、又はチャック640の埋込熱アクチュエータによって、ウエハのどちら側でも実施できる。
図6Dに示す実施形態では、ウエハ635は、セットアップの上部又は底部のいずれかに面することができる。チャック640は、電極及び電解質を用いて電場を作成するために用いることができる。電解質は非常に薄い膜であってよく、それにより、チャック640の埋込アクチュエータを介した局所的な温度制御を可能にする。或いは、マイクロミラーを用いることができる。任意のディフューザ(図6Dには図示せず)は、エッチング剤の均一な分配と、埋込光ファイバを用いた光学計測との両方に使用できる。局所的な電場制御は、鋭い電極チップ645を介して、又はチャック640の埋込電極によって作成することができる。
図6Eは、ウエハ635がセットアップのベースに面する実施形態を示す。ベースは、低温で電極及びエッチング剤を含む。ウエハは、電気及び熱アクチュエータを備えるヘッドチャックを用いて上下逆に保持できる。電解質の薄膜は、電気接点を良好にするためにヘッドチャックに存在してもよい。ウエハはヘッドチャックを用いて回転でき、ウエハを回転させながら過剰なエッチング剤を搬送するためにオーバーフローチャンバを使用できる。任意のディフューザ(図示せず)は、エッチング剤を均一に分散可能とするためにベースで用いることができる。ディフューザは、計測用光ケーブルも含めることができる。
CICEは、電気化学エッチング、無電解化学エッチング、触媒促進気相エッチング、触媒促進プラズマエッチング、「デジタル」層の電気化学/無電解化学エッチング(例えば、H蒸気及びHF蒸気の交互のパルシングや、H液及びHF液の交互のパルシングや、H蒸気及びHF液の交互のパルシングや、H蒸気及びHF液の交互のパルシングや、多孔度を交互にするために互い違いにされたH、プラズマ及びフッ化物イオンの流れ/圧力や、多孔質層に強い酸化剤を使い、非多孔質層に弱い酸化剤を使う等)、磁場電気化学/無電解化学エッチング、(例えば、厚い高分子物質を追加して、局所的な熱制御と電場制御のためにウエハの上部/底部に局所接触させる、又は温度とともにゲル濃度(ゲルコンシステンシー)を変更することによる)ゲルベースエッチング等の、異なる用途で、触媒や電気、磁気、温度アクチュエータ等とともに、様々なエッチング剤送出方法を用いて実行できる。
いくつかの実施形態では、CICE処理の前に、触媒パターニング基板上のエッチング剤の化学物質の湿潤特性を変更して、より疎水性又は親水性にすることができる。これは、エッチングの開始が基板の全ての場所で同時に始まることを保証することによって、エッチング処理の均一性を向上させるのに役立つ。基板を蒸気HF、ピラニア(異なる比率の硫酸及び過酸化水素)、緩衝酸化物エッチング、フッ化水素酸等にさらし、イオン交換水、イソプロピルアルコール、アセトン等でリンスし、乾燥させて水の汚れを防ぐことは、基板上のエッチング剤の濡れを改善できる。
CICE処理が完了した後、基板をイオン交換水、イソプロピルアルコール、アセトン等でリンスして、エッチング剤が基板から完全に除去されるようにでき、それにより、局所的な過剰なエッチングを回避する。リンスステーションは、エッチング剤の除去後にウエハがイオン交換水で洗い流される処理チャンバと同じにすることができる。それは、リンス後にウエハを乾燥させるためのスピニングシステムも備えることができる。或いは、ウエハは、自動的な取り扱いを用いてCICE処理後に他のリンス及び乾燥ステーションに移動することもできる。
図7Aは、Zモーションアクチュエータ710を用いた自動的な取り扱いを備えたMSP-CICE処理チャンバ700の実施形態の断面図を示す。Zモーションアクチュエータは、漏れチェック用センサ720を用いて漏れを防ぐための良好なシールを確実に作成するために、ヘッドアセンブリ内のボイスコイル、ベースアセンブリ内のベアリング715、及びアクチュエータシステム内のコンプライアンスを含んでよい。このZモーションアクチュエータは、ヘッドアセンブリ725をベースアセンブリ730に向かって下げるために用いられる。Zモーションアクチュエータは、ヘッドアセンブリ、ウエハ、及びベースアセンブリを組み立ててヘッドアセンブリ内の電解質及びベースアセンブリ内のエッチング剤に必要な適切なシールを確実に形成できるように、モーションセンサ、力センサ、又はそれらの組み合わせを用いて制御されてよい。この実施形態では、Siウエハ基板735はベースに面している。
ベースは、ベース電極740と、ベース電極への電源745と、電極とSiウエハからエッチング剤をふさぐために用いられるフルオロポリマー、Al、SiC、テフロン(登録商標)コーティング材料等の耐エッチング剤材料で作られた長方形断面リング又はOリング(円形断面ポリマーリング)であるシールリング750とを備える。ベースはまた、エッチング剤の流通及び循環のための注入口755及び排出口760と、エッチング処理をその場(インサイチュ)で光学的に感知するための光ファイバーを含みうるディフューザ765とを備える。ベースはまた、Siウエハを搭載する前にエッチング剤を確実に縁まで満たす(埋める)ように、オーバーフローチャンバ(図示せず)を備えてもよい。ヘッドアセンブリは、ピンチャックゾーン770と、電解質ゾーン785と、電源795とを備える。ピンチャックゾーンは、一又は複数の真空ポート775に接続される。
熱アクチュエータ780は、ピンチャックゾーンの後ろに埋め込むことができる。サーミスタやヒートシンク等の比例積分制御された熱電加熱/冷却素子を備えた熱アクチュエータを用いる実施形態は、参照により本明細書に組み込まれる(Ajay,P.et al.,2016.インプリントリソグラフィにおけるマルチフィールドサブ5nmオーバレイ.Journal of vacuum science and technology.B,Nanotechnology & microelectronics:materials, processing, measurement,& phenomerna:JVST B,34(6),p061605)。注入口及び排出口の両方の電解質ポート790は、一又は複数の電解質ゾーンに電解質を送り込み、エッチング中にそれをふさぐために用いられる。これにより、ベースが静止したままで、Siウエハとともにヘッドアセンブリが回転できる構成が可能になる。電解質はエッチング剤とは異なってよく、例えば、ベース電極を用いてSiウエハ全体に電場を作成するのに十分な導電率を備えた希酸、塩基、塩類等であってよい。典型的な電解質は希硫酸を含む。
図7Bは、ヘッドチャックの実施形態の断面図及び上面図を示す。ピンチャックゾーン770はSiウエハ735を保持するために用いられ、電解質ゾーン785はSiウエハと電解質との間の接点を作成するために用いられる。この実施形態では、液体電解質を用いて、Siウエハとの信頼性の高いオーム接点を作成する。他の実施形態では、「電解質ゾーン」内の液体電解質の代わりに、金属又はSiCパッドを用いてよい。ピンチャック及び電解質ゾーンは、チャックへと機械加工されたシール要素771を用いて互いに分けられる。電解質ゾーン端部での局所的な電場境界は、Siウエハの後方で離散的である。しかしながら、Siウエハの厚さとその電子特性により、異なる電解質ゾーン間の電場線がSiウエハの前方で合流する場合がある。
電磁シミュレーションを実行して、効果的な局所的及び全体的電場制御と端部の均一性とのために電解質ゾーン及びピンチャックゾーンの最適な配置を決定できる。一の実施形態では、シール要素は1mm幅であり、ピンチャック及び電解質ゾーンは同心円状であって、夫々9mmの幅であり、図7Bに示すように中央の円形領域で終わる。真空ポート775は、ピンチャックゾーンが真空下にあり、ウエハがピン772に対して保持されることを確実にするために、空気圧式素子を用いてよい。電解質フローポート790は、Siウエハがチャックによって保持された後に電解質を流すために用いられる。離散熱アクチュエータ780は、Siウエハのピンチャック領域の背後で統合して、局所的な温度制御を容易にできる。一の実施形態では、ヘッドアセンブリは、酸化アルミニウム材料で作られたピンチャック要素を備える。
図7では、ヘッドとベースの間の分離から開始することにより、自動的な取り扱いを実現できる。ベースは静止しており、エッチング剤で縁まで満たされる(埋められる)。これは、オーバーフローチャンバとエッチング剤レベルモニタを用いて確実にできる。ベースのエッチング剤は、注入口バルブ及び排出口バルブを用いて再循環できる。ロボットアームは、エッチングする面をベースに向けた状態でヘッドチャックにSiウエハを搭載するために用いられる。一の実施形態では、ロボットアームは、端部(機能デバイスが製造(加工)されていない、ウエハの端部における約1から2mmのゾーンである排除ゾーンのみ)でSiウエハの前面に接触し、ウエハの裏面をヘッドチャックの外側のシールリングにアライメントさせる。これが次にピンチャックゾーンで真空を用いてSiウエハを保持する。代替の実施形態では、ヘッドチャックは、ベースに面するウエハを保持するロボットアームが、ウエハをヘッドチャックの下に持ってきた後に突出してウエハの端部をつかむ「フィンガ(指)」を、ウエハの端部の周りに含んでよい。フィンガはウエハの端部をつかんでから、ヘッドチャックのピンに向かってウエハを引っ張る。次に真空ゾーンは、ウエハをつかむ。これは、チャック真空ラインの真空センサを用いて検出できる。次にフィンガは、ウエハの端部から離れて、ヘッド内に引き込む。
一旦シールが作成されると、電解質は、一又は複数の電解質ゾーンにポンプで送り込まれる。この部分は、少量の電解質をポンプで送り込む必要性を確実にするために、薄くてもよい。次にSiウエハとともにヘッドアセンブリは、Zモーションアクチュエータを用いてベースに向かって下げられる。ベース内のエッチング剤とのスムーズな接触を確保し、エッチング剤-ウエハ界面での気泡の形成を最小限に抑えるために、ヘッドアセンブリは、ボイスコイル等のZモーションアクチュエータの要素を用いて下げられるのに伴い、僅かに傾けられる。一端がエッチング剤と接触すると、ヘッドアセンブリは傾斜して水平構成に戻る。これにより、ウエハとベースの界面に気泡が溜まらないようにできる。次に、ベース内の任意のベアリングは、アセンブリを締付け、力センサを用いてベースとSiウエハの間に適切なシールが作成されたかどうかを確認する。
或いは、過剰なエッチング剤は、ウエハの端部付近のオーバーフローチャンバに流れ込んでよい。次に漏れ試験用センサは、ウエハを処理する準備ができていることを確認する。CICEは、ウエハ全体に電場を開始することにより行われてよい。H等の酸化剤を必要とする処理では、いずれの初期接触によってもエッチングが時期尚早に開始されないように、ウエハがクランプされた後に酸化剤をベースのエッチング剤にポンプで送り込んでよい。代替の実施形態では、ベース内のエッチング剤の体積は、Siウエハの前面に接触するのに必要な量よりも僅かに少ない。一旦ヘッドアセンブリがベースに向かうz運動を完了すると、エッチング剤をSiウエハに接触させるために、少量のエッチング剤がベースチャンバに追加される。気泡がエッチングに悪影響を与えることを防ぐために、ヘッドを僅かに傾けて気泡を逃がしてから水平位置に戻してよく、これにより、CICEの均一なエッチング剤-ウエハ界面を作成される。
図7のCICE処理後のウエハの取り外しも、自動的に取り扱われてよい。一旦CICE処理が完了すると、ウエハを含むヘッドアセンブリはベースから分離される。次に、ウエハのエッチングされた側をリンスして、表面上のエッチング剤を除去する。これは、ヘッドを回転させてイオン交換水を吹き付けることによって実行できる。ここで、リンスシステムは、ヘッドの下にありベースの上にある領域に移動される。リンスシステムは、ドレイン、イオン交換水用スプレー、及びエッチングされた表面を乾燥させるための加熱空気又は窒素ガスの供給源を含む。一旦Siウエハの前面が綺麗になると、ヘッド内の電解質を排出し、ウエハを下向きにしてリンスステーションの端部接点に配置する。次にウエハの裏面を同様の方法でリンスし、乾燥させる。次に、ロボットアームがSiウエハを取り外し、リンスシステムは、ベース及びヘッドの中央から離される。代替の実施形態では、ヘッドは横方向に移動し、Siウエハを別のリンスステーションに配置してよい。
CICEを用いた半導体バルク又は超格子ナノ構造のウエハスケールエッチングでは、エッチング深さのばらつき、交互層の多孔性、高アスペクト比ナノ構造の安定性、エッチングの異方性、ウエハエッジ効果、電場均一性、照明均一性等の様々なパラメータの監視と制御を用いることができる。これにより、SiSE中に層毎にエッチングパラメータを監視できる。これは、エッチングされた層の数等をウエハ全体で高レベルの精度で決定するために、パターンジオメトリの局所的な制御と、スタック全体の電流及び電圧の測定とを用いることができる。
更に、周辺回路及び非3D NANDアレイ回路に用いられるウエハの領域は、SiSE処理から保護されなければならない。これは、非配列領域をマスキングすることで実行できる。これらのフィーチャの端部付近のエッチング変動は、アクチュエータを用いて調節できる。
10ミクロンを超える(A.R>250)深さまでエッチングされる際にサブ40nmのホールを通るエッチング剤の流通は、電場と、交互の多孔質層の作成とによって可能になる。多孔質層は、横方向のエッチング剤の流れを高め、エッチングの均一性を調節する。各種の実施形態に係る、エッチング剤の流れを増加する別の方法は、接続されたリンクパターンを用いて複数のホールを接続することによる。
アスペクト比の増加によりエッチングが進むにつれて、エッチング剤の拡散が遅くなるためエッチング速度が低下する場合がある。超格子のエッチングされた各層は、電極全体の電流又は電圧や、又はスタックの抵抗等の電気特性の段階的変化をもたらすことができるので、そのような変化は、スタック全体の電気特性の変化を通じて検出できる。この拡散の減少を防ぐために、いくつかの実施形態は、交互の多孔質層を利用して、エッチング剤がエッチフロント、即ち触媒位置に到達するための複数の経路があることを確実にする。いくつかの実施形態では、CICE処理中の基板の回転を最適化速度で実行し、ウエハの中心から端部までのエッチング剤濃度の均一性を向上できる。
触媒のふらつきの主な原因は、触媒の下にあるホールの密度の不均衡である。触媒のふらつきを防止し、エッチングの垂直方向の異方性を確保するために、電場を印加して、ホールの拡散をウエハの底部に向けることができる。エッチングされる交互ドープ層の数の変化に伴う電極間の抵抗率の変化により、SiSEが進行するにつれて必要な電場は変化する。電流制御電源回路を用いることにより、電圧の変化を補償できる。
SiSEツールシステムの各種の実施形態は、リアルタイム計測に基づき、及びエッチング処理を局所的に制御して様々な形状とマルチ層を備えるデバイスの制御された製造(加工)を可能にする独立アドレス指定可能アクチュエータのアレイに基づき、SiSE処理のマルチスケール精密制御を可能にする。基板材料の抵抗率とドーピング、必要なジオメトリとアスペクト比、エッチング剤比、電場、温度、処理チャンバの照明等のパラメータは、エッチングを制御するために変更できる。一旦インライン計測によってSiSE処理が完了すると、機械内の溶液を洗い流し、触媒用のウェットエッチング剤と交換しなければならない。次に、デバイスが乾燥されるにつれて毛細管力により高アスペクト比のナノ構造が崩壊しうるため、高度な乾燥技術と新規なメッシュアーキテクチャ及び/又は天井を組み合わせた効率的で高度に制御された流体交換を用いテーパターンの崩壊を防ぐことについて説明する。
インライン電気計測及び電気化学エッチング停止は、各種の実施形態で用いてよい。例えば、半導体基板に印加される際の電気バイアスは、エッチングプロファイルをリアルタイムで制御できる。触媒下に発生した余剰ホールの移動による過剰なエッチングは、外部電場により制御できる。ウエハの裏側での負のバイアスは、余剰ホールを引き寄せ、Siの不要な孔を防ぐ。高速パルスや周期的な逆波形を含む、幅広い範囲の電流、バイアス及び極性の設定により、ウエハ全体の電場をリアルタイムで制御する。電流、電圧、抵抗、静電容量、波形周波数、デューティサイクル、振幅、電極間の距離等の電場パラメータは、触媒のふらつきを防ぎながら交互層の多孔度を制御するためにだけではなく、エッチング状態の変化を検出するために用いられる。
エッチングの進行に伴う基板全体の電流と電圧の測定は、3D NANDフラッシュ処理でエッチングされる交互層の数を決定するために用いることができる。また、形態が変化する正確な時間は、エピタキシャル層全体の抵抗、電圧、電流、静電容量等の電気的パラメータを測定することによって検出できる。次にこの情報を用いて、スタック全体の電流を精密に変調できる。
電場は、CICE処理中の様々な機能に使用できる。例えば、交互の多孔質/非多孔質層の作製、エッチング中の触媒のふらつきの防止、ウエハ全体の均一性の維持、ダイ内のエッチング深さのばらつき、ダイ-ダイ間のばらつき、及び中心-端部間のばらつきの検出等である。局所的に及び全体的に電場を基板全体に印加するには、前面及び裏面接点、端部幅接点、電気裏面接点材料等の制約や異なるCMOS処理装置との互換性を確保するためのツール及び処理の設計が必要である。この設計のいくつかの実施形態は、図6Aから図6Eに示される。
複数の機能を実行するために、複数の電場をウエハ全体に印加できる。例えば、1)触媒のふらつきを防止するためにウエハ全体にDC電圧、2)交互の多孔質/非多孔質層を作成するための、ある一定の波形、周波数、波長、デューティサイクルを有する交互電場、3)エッチングされる基板の多孔性に影響を与えない周波数及び電圧でのパルス電場を介した中心から端部までのエッチングの局所的な変化の検出。及び/又は4)各局所電極の電流、電圧、抵抗、静電容量等の測定によるエッチング深さの監視等である。
電場とは別に、温度もCICEのエッチング速度に影響を与えうる。例えば、CICEのエッチング速度はエッチング剤の温度に依存し、0℃付近で指数関数的に低下することが文献に示されている。(参照:Backers,A.et al.,2016.シリコンの金属支援ケミカルエッチングにおける温度依存細孔形成.ECS JOURNAL of Solid State Science and Technology,5(12),pp.653-656は、あらゆる目的でその全体が参照により本明細書に組み込まれる)。各種の実施形態は、液体窒素及びドライアイス等の冷却剤を用いて局所的なエッチング剤温度をゼロ度付近に維持することによりエッチング温度を局所的に制御し、基板の温度を局所的に変更することにより、この特性を利用する。これは、局所的に溶液を加熱できるウエハ付近の熱チャック、マイクロミラー又は電極を用いて行うことができる。或いは、エッチング剤の温度は、各ダイの個々のウェルを用いることにより、局所的に制御できる。個々のウェルは、有限で温度制御されたエッチング剤容量で満たされて(埋められて)おり、ポンプでくみ出され又は循環される。いくつかの実施形態では、温度は、サーマルカメラ、熱電対等を用いて、ウエハ全体にわたって精密にマッピングすることができる。
光学イメージングシステムは、広いサンプル領域の反射率をリアルタイムで測定するために用いられる。サンプルは、既知のスペクトル成分の光で照射される。光は、白色光、着色光、単一波長、狭い又は広いスペクトル帯域等である。次にカメラは、この光を反射するサンプルを画像化できる。カメラは、モノクロ、カラー(RGB)、マルチスペクトル、ハイ経路ペクトル等であってよい。現代のカメラに見られるマルチメガピクセルの解像度により、サンプル上の何百万も個所を同時に観察できる。ビデオフレームレートにより、その場(インサイチュ)リアルタイム測定が可能になる。各画像は、サンプルの反射率画像を計算するために参照の画像で分割するか、又はそのまま使用できる。画像処理アルゴリズムは、処理の完了を決定し、サンプル内及びサンプル間でのMSP-CICEの均一性に関するデータを収集する。CICEを用いてSiナノワイヤ(NW)を作成する実施形態では、可変形状のSi NWの光学特性は、白色光照明下で広域スペクトルの色彩をもたらす。CICEを使用した予備実験では、サンプルは、CICEエッチング中に色相に大きな変化を示している。ナノワイヤのピッチと直径は比較的固定されたままなので、サンプルの色相の変化を観察することは、ナノワイヤの高さ、故にエッチングの深さの有用な指標である。色相の変化は、存在する光のスペクトル成分の関数としてサンプルの反射率を測定することによって特性評価できる。
交互層のスペクトル特性も、エッチング処理中の層の数及び多孔性の検出を可能にするために用いることができる。赤外線(IR)分光法を用いて、その場(インサイチュ)でエッチング層を決定できる。これは、文献においてブラッグ反射器とルーゲートフィルタを特性評価するために用いられるものと同様の計測を用いる。一の実施形態では、エッチングチャンバ内のディフューザプレート内の光ケーブルを、そのような計測要素を組み込むために用いることができる。
ウエハの裏側からの可視光波長は、CICE中にエッチングの深さを検出できない。代わりに、赤外線(IR)分光法を使用できる。なぜなら、エッチング状態を検出する迅速で非破壊的なその場(インサイチュ)方法だからである。シリコンはIR波長では透明であるが、PtやPd等の触媒はそうではない。この区別は、特定の場合のCICE処理においてエッチング速度とエッチング深さとの両方を決定するために用いることができる。
エッチング剤の濃度は、様々な技術を用いて測定できる。例えば、いくつかの実施形態では、HFが濃度と導電率との間に線形依存性を有するので、導電率測定を用いてよい。いくつかの実施形態では、屈折率測定を用いてよい。例えば、溶液と接触する光学窓を用いて濁度、回折及び吸収を回避する反射タイプのジオメトリを介して屈折率(RI)を測定するために光学計測システムを用いることができる。
ウエハ全体のエッチング剤濃度の均一性を確保するために、ウエハチャックを用いてウエハを回転できる。ここで、局所的な電場は、チャック上の局所電極のスピニングアレイをパターニングされた固定導体ディスクに接続することによって提供できる。局所電極は、スリップリングを用いテーパターニングされた固定導体ディスクに接続できる。エッチング剤の化学物質との親和性はテフロンコーティングを用いることで確保できる。
いくつかの実施形態では、「先遣」ウエハを用いてエッチングを最適化すでき、先遣ウエハは、各種のその場(インサイチュ)(オンライン)及びその場外(エクスサイチュ)(オフライン)方法を用いて検査できる。オフライン計測は、スキャトロメトリ、エリプソメトリ、光学特性サイズ測定、レーザースキャン、走査型電子顕微鏡(SEM)、原子間力顕微鏡(AFM)、透過型電子顕微鏡(TEM)、X線回折(XRD)等の各種の破壊的及び非破壊的検査方法で構成される。収集されたデータは、画像処理アルゴリズムを用いて解析され、欠陥の原因と処理エクスカーションを特定する。
磁場、圧力変動、電磁場、均一性を向上させて気泡の付着を防ぐための溶剤、ウエハの回転、エッジ効果、エッチング剤の吹き付け、エッチング剤の噴霧も、必要に応じてCICEツールのいくつかの実施形態に含めることができる。
(全体的なツール設計と制御スキーム)
いくつかの実施形態は、半導体基板の高アスペクト比エッチングのためのウエハスケールシステムを提供する。いくつかの実施形態で用いられるマルチスケール精度(MSP)CICEシステムは、大規模アレイ電極及びリアルタイム光学イメージングシステム等のセンサ及びアクチュエータの設置を可能にするモジュール式アーキテクチャを有することができる。図8Aから図8Cは、MSP_CICEツールセットアップの一例、詳細な処理チャンバレイアウトの一例、及び一又は複数の実施形態で使用される処理フローの一例を示す。いくつかの実施形態では、独立制御される電極の大規模アレイに基づいて、制御されたウエハスケールのナノ製造を達成するために、非線形最適プロセス制御スキームを用いることができる。
図8Aは、自動化された基板、電極及びエッチングセル搭載を備えた、完全エッチングツールの断面図を示す。図8Bは、処理チャンバ815のいくつかの実施形態の詳細な断面図を示す。図8Bに示されるように、エッチングツールは、ローディングドック805、ロボットアーム810、処理チャンバ815、上部電極820、チューナブル光源825、ウエハチャック830、ウエハチャックホルダ835、スターラ840、電源845、複数のセンサ850、排水管855、光学計測システム860、高解像度カメラ865、下部電極870、循環セットアップ880、排気部885、及び入口流890を含むことができる。図示された実施形態では、処理チャンバ815は、ウエハをウエハチャック830上に配置するロボットアーム810を含むことができる。ウエハチャック830は、ウエハチャックホルダ835上に位置できる。ウエハチャックホルダ及びウエハチャックアセンブリは、下部電極870及び上部電極820と接触している電解質を分離する。これにより、確実に電場がウエハ全体に適用される。処理チャンバ815は、高解像度カメラ865及びチューナブル光源825を含みうるインライン光学計測システム860を含むこともできる。各種の実施形態に係る処理チャンバ815は、下部電解質及び上部電解質/エッチング剤用の入口流890、排出管855、及び循環セットアップ880を備えたエッチングフローシステムも含むことができる。エッチングフローシステムは、スターラ840(例えば、磁気スターラ)も含んでよい。電源845を備えた第1電極820及び第2電極870を用いて、ウエハ全体に電場を印加できる。インライン計測は、埋め込まれた複数のセンサ850(例えば、温度、電場特性、流体濃度特性等)を用いて行うことができる。排気部885は、煙霧の排出に使用できる。プロセッサ890は、一又は複数のアルゴリズムを用いて処理を制御できる。
図8Aから図8Bに示す実施形態では、パターニングされた触媒を有するウエハがローディングドック805に搭載される。ロボットアーム810を用いて、ウエハを処理チャンバ815に移送できる。次に、透明上部電極820は、ウエハホルダの上のレール上に配置できる。処理が完了すると、上部電極アレイ820を取り外すことができ、ウエハは取り外されてローディングドック805に戻される。このツールを構築する上での重要な課題は、システムの全要素がHF(フッ化水素酸)と親和性があることである。各種の実施形態は、HFと接触する全ての機器を、Hとも親和性があるテフロンPTFE、エポキシ、TPX(又はPMP)、ポリプロピレン(PP)及びPVDF等のポリマーで被覆することによりこれを行うことを提案する。TPXとエポキシは透明で処理しやすい。
用途の要件に基づいて、ウエハチャックは、ウエハとの裏面接点の無いベルヌーイチャックであってよく、又はウエハの前面にウェットエッチング剤を入れるOリングを有することもできる。チャンバ内のエッチング剤成分(HF、H、エタノール、イソプロピルアルコール、イオン交換水等)の相対比を制御するために、フローバルブとアクチュエータを使用できる。エッチング剤は、インクジェットによって局所的に、又はフローバルブによってウエハ全体に分配できる。エッチング及び触媒メッシュの除去後、エッチング剤はイオン交換水で洗い流され、低表面張力の液体で置換されてよい。ドレン弁は、流体を安全に廃棄するか、又は流体をその後のエッチングで用いるために保管する。
図8Cは、エッチングツールにおいてウエハが経る各種処理の一例を示す。ウエハは、ウエハのFOUP(フロントオープニングユニバーサルポッド)を含みうるローディングドック812を用いて、ツールに搭載できる。ロボットアーム(又は他の輸送機構)は、ローディングドック805から処理チャンバ815にウエハを輸送できる。処理チャンバ815は、前処理816、エッチング818、後処理828、及びリンスステップ830用の一又は複数のチャンバを備えてよい。
前処理ステップ816は、リフトオフ処理であってよく、又はピラニア(硫酸及び過酸化水素)、蒸気HF、希釈HF、緩衝酸化膜エッチング、エタノール、アセトン、イソプロピルアルコール、イオン交換水の分配等の表面改質ステップであってよい。前処理ステップは、酸素、二酸化炭素プラズマ等の酸化プラズマや、又は水素、アンモニアプラズマ等の水素化プラズマを用いるプラズマ活性化を介してもよい。ヘリウム又はアルゴンプラズマも使用できる。
次に、エッチング処理818は、その場(インサイチュ)での監視及び制御のためのセンサ及びアクチュエータを用いてウエハ上で行うことができる。例えば以下の通り。
●フロー制御824は、エッチング剤濃度測定を含むことができる。各種の実施形態によれば、エッチング剤の濃度は、2つの技術を用いて測定される。即ち、a)導電率測定-HFは、濃度と導電率の間に線形依存性を有する、並びにb)屈折率測定-光学計測システムは、溶液と接触する光学窓を用いて濁度、回折及び吸収を回避する反射タイプのジオメトリを介して屈折率(RI)を測定する。
●局所的な温度制御822:エッチング速度は、局所的な温度とメッシュプロファイルに依存する。温度アクチュエータウエハチャックを用いて、各種の実施形態は、処理制御のために局所的な温度変動を制御できる。
●処理チャンバの環境制御(図8Cには図示せず):ツールは取り囲まれており、不活性ガスの流れがある。圧力と全体的な温度は監視及び制御される。コンピュータインタフェースは、オペレータの安全を促進し、画像処理を用いてエッチングを監視するために用いられ、温度と電場を制御するために用いられる。
●電場826:半導体基板に印加される際の電気バイアスは、エッチングプロファイルをリアルタイムで制御できる。触媒下に発生した余剰ホールの移動による過剰なエッチングは、外部電場により制御できる。ウエハの裏側での負のバイアスは、余剰ホールを引き寄せ、Siの不要な孔を防ぐ。電気バイアスの増加に伴いエッチング速度は低下するが、高スループット向けにエッチング速度を十分高く保つためにより高い温度を用いることができる。MSP-CICEはウエハの異なる領域の多様なパターン密度及び形状に用いられるので、電極アレイを用いて、異なるパターン上の電場を局所的に制御及び減衰させてエッチングの均一性を確保する。高速パルスや周期的な逆波形を含む広範囲の電流、バイアス、極性の設定により、ウエハ全体の電場をリアルタイムで制御する。ガラス又はサファイアウエハ上のITOフィルム、ドープSiウェハ(IRに対して透明)、白金メッシュ又は光ファイバ等の透明な上部電極をウエハの上方又は下方で用いて、光学測定を行うことができる。下部電極は、局所的な制御用のアレイにすることができ、様々な下部電極アレイの容易な設置と調査を可能にするためにモジュールデザインが選択される。上部電極及び下部電極と電解質とは、ウエハチャックとウエハチャックホルダセンブリを用いて互いに孤立している。クロストークはシミュレーションを用いて最小化される。エッチングの進行に伴う基板全体の電流と電圧の測定は、3D NANDフラッシュ処理でエッチングされた交互層の数を決定するために、又は例えば基板に埋め込みエピ層がある場合にナノ構造エッチングのエッチング停止インジケーターとして用いることができる。
●インライン光学計測820:RGBカメラ、光ファイバ、スペクトルイメージングセットアップを含む光学イメージングシステムを用いて、広いサンプル領域の反射率をリアルタイムで測定する。画像処理アルゴリズムは、処理の完了を決定し、サンプル内及びサンプル間でのMSP-CICEの均一性に関するデータを収集する。
後処理828は、触媒金属のエッチング及び基板のリンス及び乾燥を含んでよい。高アスペクト比のエッチングされたナノ構造の崩壊を防ぐために、流体移送を用いて、表面張力勾配(マランゴニ効果)、低表面張力の流体移送、又は臨界点乾燥ツールへの移送のためのウエハの準備を可能にする。
一の実施形態では、シリコンの抵抗率及びドーピングと、必要とされるジオメトリ及びアスペクト比とに基づいて、エッチング比を調整して所望の結果を得ることができる。処理チャンバの電場、温度、照度等の要因も、エッチングを制御するために変更できる。一旦インライン計測によって検出されたCICE処理が完了したら、機械の溶液を洗い流し、触媒用のウェットエッチング剤と置換しなければならない。次に、デバイスの乾燥時には毛管力によって高アスペクト比のナノワイヤが崩壊する可能性があるため、高度な乾燥技術と新規なメッシュアーキテクチャ及び/又は天井を組み合わせた効率的で高度に制御された流体交換を用いテーパターンの崩壊を防止する。
一旦MSP-CICEシステムの設計及び製造(加工)(光学イメージングシステム及び電気的パラメータ測定を含む)が完了すると、MSP-CICEシステムを操作してウエハスケールのデバイス固有のVA-NSを製造(加工)するための最適な制御技術を開発する必要がある。先に説明したように、DRAM用の成形ナノワイヤ又は3D NANDフラッシュスタックにおける複数の異なる層でのエッチングの進行を監視する能力を持つことが重要です。これは、ウエハ全体にわたって高レベルの精度でエッチングされた層の数等を決定するために、パターンジオメトリの局所的な制御とスタック全体の電流と電圧の測定とが必要とする。
重要な課題は、ウエハ全体の検出出力と制御変数との関係を提供するシステム全体のフォワードモデルは、高非線形であることが予想され、MSP-CICEシステムの複雑さのために実験的に検証された包括的モデルの取得を困難にすることである。しかしながら、この処理のある側面は、確立された物理モデルを通じてモデル化できる。例えば、各種の実施形態において、制御変数は、温度、化学組成、及び電場を含むことができ、化学組成の変動は、輸送を支配する方程式の助けを借りて解析的にモデル化できる。電場及び温度の制御は、数百から数千ものアクチュエータで構成されるアクチュエータの大規模アレイに分散でき、エッチング処理の局所的な制御を提供する。また、それらの分布も、物
理モデルを通じてモデル化できる。
しかしながら、エッチング処理への影響を確立するモデルはあまり明確ではない。光学イメージングシステムは、1mm以上の高空間分解能及び1nm以上の高波長分解能を有するスペクトル情報を提供することが期待される。システムの光学的、熱的、及び電気的出力は、前述の制御変数を用いてシステムの処理を自動制御するために使用できる大容量の感知情報を提供する。MSP-CICEシステムの自動処理制御は、2つの異なるカテゴリに分類できる。即ち、(i)目標出力を得るためのオフラインの最適化及び処理パラメータの調整、及び(ii)欠陥の最小化及び歩留まりの最大化のための処理パラメータのリアルタイム調整である。後者は、十分に確立されて大容量データを提供する処理に依存する。前者は、大容量データがない場合の処理パラメータの最適化に依存する。次の段落では、その場(インサイチュ)測定及びオフライン測定の助けを借りて所定のパターンジオメトリのベースライン処理を確立するための処理パラメータを最適化できるスキームについて、説明する。
図9は、大容量データがない場合に自動処理制御の第1カテゴリを実行するために使用できる学習アルゴリズムベースのコントローラ900を示す。即ち、遺伝的アルゴリズム、ニューラルネットワーク等の進化的アルゴリズムが含む学習アルゴリズムの助けを借りて、目標出力の最適処理パラメータを決定することである。このスキームは、その場(インサイチュ)での電気的及び光学的フィードバックの両方に加えて、先遣ウエハ上でのエリプソメトリやCD-SEM等のオフライン測定にも依存する。このオフライン構成要素の存在により、先遣ウエハを用いた各実験のサイクルタイムは高くなりすぎる可能性があり、そのため、個々の実験というよりはむしろ、実験数を少なくするか、又は組み合わせセットを表す各先遣ウエハが必要である。この実施形態は、遺伝的アルゴリズムの文脈で更に説明する。このスキームの第1ステップ910は、最適化のための目標出力及び対応する目的関数を規定することである。次に初期「母集団」920が生成される。遺伝的アルゴリズムは、母集団内の個体間の相互作用に依存する。ここで、各個体は、一つのセットの制御変数又はモデルパラメータ925である。一の実施形態では、各母集団は、実験計画であってよく、単一ウエハに限定されてよい。
例えば、各ウエハが電気的及び光学的フィードバックを提供できる10×10平方mmのゾーンで構成される場合、各ウエハ上にそのようなゾーンがO(700)個存在する可能性があるため、各実験で最大700の母集団サイズを提供できる。他の実施形態では、母集団サイズは、20等のより低い数に維持されてよく、各個々の実験は、ウエハ全体で35のコピーを有する。次にこの母集団は、CICE処理930を実行するために用いられる。次にステップ940に示すように、センサを用いて、CICEの前、最中、後での基板に関する情報を抽出する。このスキームで感知された情報は、イメージングシステム等のインライン計測センサと、ウエハ上のオフライン測定(CD-SEM、光学的、電気的等)との両方の出力を含みうる(945)。その後、感知情報は、所望の出力又は目的関数に対して適合される(950)。
所望の出力パラメータには、エッチングされた構造のスペクトルシグネチャ、エッチング処理中のウエハ全体の抵抗や静電容量等の電気的パラメータ、ウエハの一又は複数部分の光学画像及びCD-SEM等が含まれる。感知情報を用いて計算された目的関数に基づき、母集団相互作用パラメータを用いて、制御変数の新たなバッチが生成される(965)。次に新たなバッチを用いてCICEが実行され、その結果はセンサを用いて評価される。感知情報が所望の結果の範囲内であれば、制御変数の調整は完了する。そうでなければ、制御変数最適化処理はウエハの最終の数に到達するまで繰り返される(960)。一の実施形態では、遺伝的アルゴリズムコントローラは、実際の処理実行のための所望の最適な処理パラメータに近づくように意図的に設計されており、所望の処理性能を最終的に達成させることは、次に説明するリアルタイムのその場(インサイチュ)処理制御スキーム935によって引き継がれる。
自動処理制御の第2カテゴリは、所望の処理パフォーマンスを実現するために、処理パラメータのリアルタイム調整のためのデータ解析に依存する。半導体製造等の現在の高度な製造工場は、高レベルの自動化で製造歩留まりを最大化するために、これらの概念に大きく依存している。このカテゴリの自動処理制御には、実行間制御から予測メンテナンスに至るまで、いくつかの概念が存在する。このスキームを支える重要な概念は、その場(インサイチュ)光出力等の大容量の感覚情報を用いて、ヒューリスティック(例えば、制御及び感知変数間のマッピングを決定するニューラルネットワーク)、統計(例えば、統計的処理制御)、及び最適な処理パラメータに到達するための物理モデル又はヒューリスティックモデルに基づいてリアルタイム解析を実行することである。そのようなモデルから利益を得る状況の一例は、制御変数の変化から、感知された出力での対応する変化までの時間遅延を正確に予測する能力である。更に、このような手法を用いて、仮想MSP-CICEツール、即ち、第1カテゴリによりオフラインプロセスの調整に使用できる、物理フォワードモデルのプロキシである実際のツールの絶えず適応するシミュレーションも構築できる。このような仮想ツールモデルは、ツール固有であり、エッチングされるリソグラフパターンに固有である。また、電気及び熱コントローラ等での製造の許容誤差が異なったツールにおいて異なる処理シグネチャを引き起こす可能性があるため、同じ設計であったとしても、ツールによって異なる場合がある。
CICEシステムの各種の実施形態は、Si、Ge、SiGe1-x、GaN、InP、GaAs、InAs、GaP、InGaS、InGaP、SiC等であるがこれらに限定されない各種の基板や半導体のマルチ層を支持してよい。更に、Ag、Au、Pd、Pt、Cu、Ni、Ti、Al、W、TiN、TaN、RuO、IrO、グラフェン等であるがこれらに限定されない各種の触媒を用いてよい。MSP-CICEシステムのいくつかの実施形態は、プラズマエッチング、化学気相エッチング、電着(選択的)等であるがこれらに限定されない各種のパターニング技術を用いてよい。いくつかの実施形態で用いてよい除去技術は、化学気相エッチング、電解エッチング、及び/又はウェットケミカルエッチングが含むが、これらに限定されない。いくつかの実施形態は、各種のエッチング剤(例えば、HF、HSO、HCl、HO等)、酸化剤(例えば、H、V、KMnO、O、HNO、電場等)、溶媒、添加物(例えば、HO、エタノール、IPA、DMSO、ポリマー(PVA、PLA等)、HSO等))、エッチング剤の状態(例えば、液体、蒸気、固体ゲル、プラズマ)、及び/又は触媒支援エッチング処理(例えば、電気化学エッチング、無電界化学エッチング、気相エッチング、プラズマエッチング、「デジタル」層の電気化学/無電解化学エッチング、磁場電気化学/無電解化学エッチング、ゲルベースのエッチング)を用いてよいが、これらに限定されない。更に、様々な局所的及び全体的なエッチング監視技術を用いてよい。例として、電場(例えば、電流、電圧、静電容量、インダクタンス、インピーダンス、コンダクタンス等)、光学計測(例えば、カメラ、分光光度計、画像処理等を使用)、濃度測定(例えば、屈折率、溶液のコンダクタンス)、圧力(例えば、蒸気圧)、温度(例えば、熱電対、IRカメラ等を使用)を含むが、これらに限定されない。いくつかの実施形態は、電場(例えば、電流、電圧、波形、波長、周波数、デューティサイクル、パルス電場等)、光学計測(例えば、照明)、濃度(例えば、エッチング剤濃度、混合や拡散)、及び/又は温度(例えば、熱チャック、マイクロミラー等を使用)に基づく局所的及び全体的なエッチング制御を用いてよい。セットアップの各種の実施形態は、業界標準のウエハ、又は標準CMOS処理を経るウエハをエッチングできる。そのようないくつかの実施形態は、エッチング剤と親和性があってよい。いくつかの実施形態は、全ての基板及びエッチング成分及び化学物質の自動的な取り扱いを提供してよい。
一の実施形態では、エッチング剤は蒸気形態であることができる。蒸気ベースのCICE用の装置は、熱チャックを用いた局所的温度制御と、各成分の蒸気圧の監視と、及び/又はプラズマ形態で電場を印加することとを備える。蒸気を用いて、次の方法で「デジタル」層の電気化学/無電解化学エッチングを容易に行える。即ち、1)H蒸気及びHF蒸気の交互のパルシングや、2)H液及びHF液の交互のパルシングや、3)H蒸気及びHF液の交互のパルシングや、4)H蒸気及びHF液の交互のパルシングや、5)多孔度を交互にするために互い違いにされたH、プラズマ及びフッ化物イオンの流れ/圧力や、6)多孔質層に強い酸化剤を使い、非多孔質層に弱い酸化剤を使うことである。
(3D NANDフラッシュ)
現在のパターン転写技術によって作られた高度なメモリアーキテクチャのスケーラビリティは、高アスペクト比プラズマエッチングによるエッチングマスクの劣化、側壁の損傷及びゼロ以外のテーパによって制限される。 3D NANDフラッシュ等の不揮発性メモリアーキテクチャでは、単位面積当たりの記憶容量を増やすために、64層を超える交互材料の非常に高いアスペクト比のエッチングが必要である。層の増加に伴い、(1)多層堆積、(2)異方性で高アスペクト比のチャネル及びトレンチエッチング、及び(3)各層への接点を規定するための階段エッチングのコストと信頼性が、スケーリングの主な制限要因になる。各種の実施形態は、異方性且つ高度に選択的なエッチング技術を用いて、垂直3Dメモリアーキテクチャ及び半導体処理統合を提供する。
本技術の各種の実施形態は、メモリ性能及びスケーラビリティの向上を得るために各種の学際的技術を組み込んだ新規のリソグラフィパターン、材料スタック及び処理フローを規定する。3D NANDフラッシュ処理フローには、リソグラフィ及び高アスペクト比のエッチングステップの数を削減しながら、金属又は結晶シリコンゲート、傾斜階段エッチング、結晶シリコンチャネル及び低k多孔質誘電体を可能にする半導体材料スタックが組み込まれている。いくつかの実施形態では、この目的のためのウエハスケールマルチスケール精密シリコン超格子エッチング(MSP-SiSE)製造(加工)ツールも開示される。このエッチング技術の高い選択性と異方性により、不特定多数の3D NANDフラッシュ層を可能となる。
3D NANDフラッシュのITRSロードマップでは、メモリ層の数が、2016年の48層から2030年には512に着実に増加し、80nmハーフピッチになると予測している。これには、交互材料層において異方性が高く(-90°)高アスペクト比のエッチングを著しく発展させる必要がある。現在のプラズマエッチング法は、この異方性と選択性の維持を確実にするために、高価で時間のかかる交互の堆積及びエッチングステップを伴う。ゼロ以外のプラズマエッチングテーパ角度は、確実に達成できる層スタックの最大数を制限する。また、ゼロ以外のテーパにより、プラズマエッチングによってエッチングされるチャネルは、最下層がリソグラフィで規定された最上層よりもはるかに小さい臨界寸法を有しているため、確実にスケーリングできる層の数を制限する。夫々64層のメモリ層を備える複数のウエハを積み重ねることによりこの制限を克服する回避策は、非効率的で高価であり、デバイスの容積を増加させる。アスペクト比依存エッチング(ARDE)によりプラズマエッチングでは異なる形状を同時に且つ確実にエッチングできないため、円形チャネルと長方形スリットには、個別のリソグラフィ及びエッチングステップが必要である。個々の層への接点のための「階段」の製造(加工)には、エッチングマスクを保存しようとしながら、リソグラフィ及びエッチングステップが複数必要である。本技術の各種の実施形態は、3D NANDフラッシュの将来の需要に拡張できる、高い選択性と異方性を備えた安価な高アスペクト比のエッチングを可能にすることにより、それを解決することを目的とする。
業界で最も人気のあるアーキテクチャのうちの2つは、BiCSとTCATである。どちらのアーキテクチャも、スタック(プレート及び誘電体のマルチ層)、パンチ(多層スタック全体のエッチングホール)、プラグ(エッチングされたホールにメモリフィルムとピラー電極を堆積)の基本概念を用いる。次に各プレートへの接点を作成するために、階段エッチングが行われる。BiCSは酸化シリコン/ポリ-Siスタックを用いるが、TCATは酸化シリコン/窒化シリコンスタックを用いる。この場合、窒化シリコンは後にタングステン等の導線用の抵抗率の低い材料に置換される。P-BiCSは、BiCSの変形であり、ソース・ゲート性能がより良好で低い。
垂直チャネル及び垂直ゲートアーキテクチャの両方に対して、SiSEを用いてエッチングできる新規な材料スタックと処理フローが提案されている。触媒パターンは、円形チャネルと長方形スリットの両方を高アスペクト比の異方性エッチングで同時にエッチングできるように、リソグラフィで規定される。材料スタックは、バルクSi、又は異なるドーパントタイプ及び/又はドーピング濃度のSi、Geのような半導体材料の交互層で作られる。CICEエッチングは、層選択処理のための、異なるエッチング速度及び酸化速度を有する層を生じる。これにより、層数の増加とハーフピッチの減少が可能になり、その結果、ダイ当たりの記憶容量が何倍にも増加する。また、チャネル及びスリット両方のリソグラフィステップと高アスペクト比のエッチングステップとを組み合わせることにより、ウエハ当たりのコストの著しく増加される。任意のアルカリ結晶面依存エッチングは、プラズマエッチングで階段に変換できるテーパに対しても実行できる。
一部の実施形態は、チャージトラップ(CT)及びフローティングゲート(FG)NANDフラッシュメモリの両方に使用できる。下部選択ゲート(LSG)は、交互の材料スタックの堆積の前又は後に製造(加工)できる。メモリ材料はCT又はFGのいずれかでありうる。時限エッチングを用いて、3D FG NANDの酸化多孔質層にリセス(陥凹部)を作成できる。チャネル内のポリシリコン及びコアフィラーの堆積は、CICEエッチングの前(チャネルの最後の処理)又は後(誘電体の最後の処理)に実行できる。ゲートラストアプローチの一の実施形態では、Si及びGe層をエッチングすることができ、低k誘電体で埋める前にGeを除去できる。
よって、最終デバイスは、導電性(又はドープされた半導体)及び絶縁性材料の交互層が20層を超える3D NANDフラッシュメモリアレイである。ここで、垂直ゲート又は垂直チャネルは非常に垂直であり、角度は89.5°を超える。この角度は、走査型電子顕微鏡(SEM)を用いて断面画像を取得し、その後ImageJ等の画像解析ソフトウェアを用いることで測定される。平均テーパ角度は、臨界フィーチャの上部及び下部のフィーチャサイズの差の間で線形適合アルゴリズムを用いたほぼ等角(コンフォーマル)の直線を用いて測定される。垂直ゲートアーキテクチャの臨界寸法は、チャネルの幅又はチャネル間のトレンチの幅にできる。垂直チャネルの実施形態の場合、臨界寸法は、チャネルの直径又はメモリのブロック間のトレンチの幅である。CICE処理では垂直側壁角が89.5°より大きいため、円形チャネルや長方形スリット等の重要なフィーチャ間の中心間距離は、サブ20nmにできる。重要なフィーチャの寸法は、SEM、CD-SEM、透過型電子顕微鏡(TEM)、原子間力顕微鏡(AFM)等の計測技術を用いて測定できる。円形チャネルの配置を六角形にして、より小型の3D NANDセルを作成できる。
SiSEを用いて垂直チャネル及び垂直ゲートアーキテクチャ用3D NANDアレイを作成するための全製造(加工)処理を図13に示す。3D NANDデバイスは、導線と絶縁線の交互層を必要とするため、SiSE処理は、酸化速度やエッチング速度等の処理パラメータが異なる材料の交互層を取得して、層材料の置換又は変更を介した更なる処理を可能とするように設計されている。表1に示すように、導電構造及び絶縁構造の交互層を得るために、様々な経路をとることができる。経路I及びIIは、超格子を得るために必要な初期基板について説明している。経路Iは多層堆積のないバルクシリコンウエハを用いるが、経路IIはドーピング濃度を交互に変えたシリコン層のスタックを用いる。経路AからGは、経路I及びIIの両方、即ち、バルクシリコン、又は異なるドーピング濃度のSiの交互層と合わせて使用できる。経路Aは、結晶学的エッチング又は傾斜エッチングを用いてテーパを作成することにより、階段エッチングを含む選択肢を提供する。破線は、このステップが処理フローで実行できる選択肢の一部を表す。経路BからGは、SiSE処理によって生成される超格子を変更又は置換して最終3D NANDアレイを取得する方法のいくつかを説明している。
表1:図13に基づく、SiSEを用いた3D NANDアレイ製造(加工)の経路I及びII
Figure 2023145718000003

この交互スタックエッチングの主な目的は、異なる層(二層スタックでは層A及び層B)間でのエッチング速度又は熱処理(酸化や窒化等)速度に大きな差をつけることであり、この差を用いてスタックを変更し、最終的に絶縁/導電多層構造を得る。
層の多孔性は、エッチング剤濃度、シリコン基板のドーピング、及びSiSE中のウエハ全体の電流密度の関数である。SiSEで作られた多孔質及び非多孔質シリコンのマルチ層の実施形態は、30%から75%の多孔性を有する多孔質層からなり、一方、非多孔質層は10%未満の多孔性を有する。多孔性は断面SEM及びTEM画像で測定され、ImageJ等の画像処理ソフトウェアを用いて処理される。単層の多孔度は、ブルナウア・エメット・テラー(BET)理論の使用等のガス吸着実験を用いて測定されてもよい。ここで、CICEは、パターニングされた触媒を備えたバルク基板上で実行され、電流密度にさらされて、交互層の多孔質セットに対応する多孔性パラメータを有する多孔質シリコンの厚い層を作成する。
図10Aから図10Eは、本技術の一又は複数の実施形態に係る触媒メッシュの一例を示す。図10Aでは、孤立した触媒ナノドット1010及びトレンチ1020が示される。図10Bでは、触媒ナノドット1010及びトレンチ/スリット1020は、ライン1030(上図)によって接続でき、又はドット及びトレンチの直径とアライメントを制御して、図10Bの下図に示すように確実に接続されるように接続できる。図10Cでは、千鳥状(食い違い状)に接続された触媒ナノドット1010及びトレンチ/スリット1020が示される。図10Dでは、接続リンク1040が、疎なワードライントレンチ/スリット1020を有するBiCSタイプのレイアウトのための触媒フィーチャにパターニングされることを示す。図10Eでは、接続リンク1040が、チャネルの2列ごとにワードライントレンチ/スリットを有するP-BiCSタイプのレイアウトのための触媒機構にパターニングされることを示す。
[0154]
他の実施形態では、VC 3D NAND用ホール又はVC 3D NAND用ライン等のフィーチャは、プラズマエッチングを用いてバルクシリコンにエッチングされる。次に、層間に十分なエッチング又は熱処理の選択性を備えた高多孔性及び低多孔性の多孔質層を備えるシリコンの交互層を作成するために、触媒のない電気化学エッチングが、エッチングされた基板上で実行される。これにより、アスペクト比の高いフィーチャの多層スタックが生じる。ここで、複数の層のうちのが酸化されて、又は選択的に置換されて、3D NANDデバイスが作成される。
経路I-触媒及び電気化学エッチングを備えたSiSE
バルクシリコンウエハ等の基板は、触媒でパターニングされ、フッ化物種と(任意に)酸化剤種を含む溶液でエッチングされる。SiSE処理中、電流密度等の電場パラメータが変調され、多孔性の異なる交互層が作成される。一の実施形態では、電流密度は、1つのゼロと、1つの非ゼロ値を有する方形波関数を用いて変調される。これにより、「ゼロ値」の電流密度エッチングは、触媒エッチングのみで進行するが、非ゼロ値は、触媒エッチングと電場エッチングの組み合わせを用いて層に多孔性を作成する。よって、得られる超格子は、触媒パターンの逆に対応する高アスペクト比のエッチングされたフィーチャとともに、ゼロ及びゼロ以外の多孔度の交互層を備える。他の実施形態では、電流密度は、負及び正の値を有する方形波関数を用いて変調できる。これにより、「負の値」の電流密度エッチングは触媒のふらつきを防止し、「正の値」の電流密度エッチングは、層に多孔性を作成する。この経路は、材料の複数の交互層の堆積及びエッチング等の高価な処理を必要としない。
経路II-触媒エッチングを備えたSiSE
経路IIは、材料タイプ、ドーピング濃度及びドーパント材料の特性の少なくとも1つが変化する半導体材料の交互層を必要とする。これらの層は、エピタキシ、化学気相成長(CVD)、物理気相成長(PVD)等を介して堆積され、SiSE中に超格子を作成できる。表1は、シリコンを中心に、上述した処理フローで使用できる半導体交互マルチ層の様々な組み合わせの一例を示している。表1では、シリコンのドナー及びアクセプタのドーピングはp-及びn-Siで示され、「++」はドーピング濃度を示す。例えば、p++Siは、ホウ素濃度が1e18cm-3以上である高ドープシリコンを意味する。2層以上の交互層は、ドーピングのばらつきと拡散をより高度に制御するために使用できる(例えば、ABCABC)。この実施形態は、ドープされたSi層間で原子的に薄いGe層を用いて、堆積中のドーパントの移動を減少させる。この交互スタックエッチングの主な特徴は、異なる層(二層スタックでは層A及び層B)間でのエッチング速度又は酸化速度等の処理パラメータに大きな差をつけることであり、この差を用いてスタックを変更し、最終的に絶縁線/導線を得る。
SiSE処理の結果として互い違いの形態を有する交互材料のエッチングされたスタックは、エッチングされたチャネル及びスリットの所望の安定した構成を得るために、熱酸化及び/又はALDで後処理される。図11は、高ドープ及び未ドープ(又は低ドープ)シリコンの交互スタックの処理フロー1100の実施形態を示す。高度にpドープされたシリコンは多孔質になり、この多孔性はエッチング剤濃度とシリコン層のドーピングとに基づいて制御できる。低ドープシリコンは、エッチング後に形態を変化させない。次に多孔質シリコンは、非多孔質シリコンよりもはるかに速い速度で酸化されうる。次に、酸化された多孔質シリコン(OPS)からドーパントを移送させてより多くのドーパントガスを流す熱ステップは、低ドープシリコンを垂直チャネルレジームのワードラインに変更する。短時間異方性酸化物エッチングとそれに続く金属のALDによって、ワードラインはエッチングされたチャネルの両側で連続的になり、アニーリングによって低抵抗率のシリサイドWLが形成される。この処理は、SiSE処理の前に堆積(又はエピタキシャル成長)した材料スタックが最終的にエッチング及び熱処理されたスタックにあるので、「誘電体/ゲートファースト」と称される。
図11は、図10に示したものと同様の触媒メッシュパターンを有するチャネル及びスリットの高アスペクト比(HAR)エッチングのための処理フロー1100を示す。 SiSE処理の結果として互い違いの形態を有する交互の材料のエッチングされたスタックは、エッチングされたチャネル及びトレンチ/スリットの所望の安定した構成を得るために、犠牲層の除去や原子層堆積(ALD)で後処理される。図11に示すように、処理ステップ110の間、交互マルチ層の堆積が行われる。このステップは、システムがバルクシリコン基板で開始する場合には必要ない。処理ステップ1120の間、触媒メッシュはパターニングされ、次に多孔性及び非多孔性材料の交互層を得るために、SiSEが実行される。処理ステップ1130の間、多孔質層の酸化が行われる。酸化処理は、除去する必要のある非多孔質層の薄い端部も酸化する可能性がある。プロセスステップ1140は、エッチングすべきではない材料のブロックに対するリソグラフィの後に、原子層エッチングやプラズマエッチング等の異方性エッチングを用いて酸化物を除去するために用いられる。処理ステップ1150から1160は、3D NANDフラッシュメモリアレイを作成するために必要な複数のリソグラフィ、堆積及びエッチング処理で構成される。いくつかの実施形態では、処理ステップ1150は、非多孔質層上の金属の選択的堆積と、それに続くシリサイド形成とを含む。処理ステップ1160は、例えば電荷トラップ(CT)3D NANDによる3層の酸化シリコン、窒化シリコン、酸化シリコン(ONO)、ポリSiであるメモリ膜のリソグラフィ及び堆積と、ALD及びCVDを用いたコアフィラー及び低k誘電体の堆積とを含む。
図12は、本技術の一又は複数の実施形態に係る、垂直チャネル3D NANDの犠牲処理フロー1200を示す。この処理は図11の処理に類似するが、大きな違いは、CICE後の後処理ステップである。一つのセットの交互層を変更する代わりに、それらをエッチング除去してから、タングステン、コバルト、窒化チタン、窒化タンタル等の導電性材料で置換する。先ず、CICEは、動作1210中に、高ドープ及び未ドープ(又は低ドープ)シリコンの交互スタック上で実行される。高ドープシリコンは多孔質になり、この多孔性は、エッチング剤濃度とシリコン層のドーピングとに基づいて制御できる。低ドープシリコンは、エッチング後に形態を変化させない。つまり、結晶性で非多孔質のままである。時間変動電場を持つバルクSiを用いて互い違いの多孔度を有する層を作成する場合は、交互スタックを必要としない。ポリシリコン及びコアフィラーは、動作1220で円筒状チャネルに堆積され、交互層のうちの1層の犠牲エッチング1230中に支持部を提供する。ステップ1240でのCVD、ALD又は電気めっきを用いた、その後の金属(例えば、タングステン、コバルト、窒化チタン、窒化タンタル)の堆積により、ワードラインが作られる。この処理は、「誘電体/ゲートラスト」と称される。なぜなら、CICE処理の前に堆積(又はエピタキシャル成長)した材料スタックが、処理1240中に、部分的に(一つのセットの交互層が金属で置換)又は完全に(第2のセットがエッチング除去され、低k誘電体で置換)、置換されるためである。
表2は、図13で概説される層変更のいくつかの例を示す。1つの層が選択的に「エッチング除去」される変更例は、ゲート及び/又は誘電体膜が置換される犠牲処理フローである。これは、3D NAND製造(加工)のTCAT処理フローに類似する。このいくつかの実施形態は、経路C、D、E、F、G、並びに図12に示される処理である。このような処理フローでは、一つのセットの交互層がエッチング除去されるときに、構造を支持するために垂直チャネルを材料で埋める必要がある。SiSE処理の結果として互い違いの形態を有する交互材料のエッチングされたスタックは、エッチングされたチャネル及びスリットの所望の安定した構成を得るために、犠牲層の除去及び/又はALDで後処理される。一の実施形態では、高アスペクト比のラインは、ライン間にリソグラフィリンクを作成した後にそれらを除去することによって安定化される。ポリシリコン及びコアフィラー材料は、円筒状のチャネルに堆積され、交互層のうちの1層を犠牲エッチングする間に支持部を提供する。その後の金属の堆積(例えば、 タングステン、コバルト、ニッケル、窒化タンタル、窒化チタン、銅)により、ワードラインが作られる。この処理は、「誘電体/ゲートラスト」と称される。なぜなら、SiSE処理の前に堆積(又はエピタキシャル成長)した材料スタックが、部分的に(一つのセットの交互層が金属で置換)又は完全に(第2のセットがエッチング除去され、低k誘電体で置換)、置換されるためである。
表2:図13に基づく、SiSEで作られた超格子内の材料を変更することよって3D NANDアレイ製造(加工)の経路B-G
Figure 2023145718000004

図14から図16は、垂直チャネル3D NANDアレイを作成するためにSiSEによって作成された多孔質シリコン層及び非多孔質シリコン層の交互層を処理する処理フローの一部を示す。図14は、1つの置換ステップを含み、図13の経路Cを表す。図15から図16は、2つの置換ステップを含む。ここで、図15は、図13に示すような経路Dを表し、図16は、経路Gを表す。
図14には、以下を含む複数のステップがある。即ち、1)非多孔質及び多孔質Siの交互層を備える高アスペクト比のチャネル及びスリットを作成するSiSEと、2)非多孔質層の薄い端部や接続リンクも酸化される多孔質層の酸化と、3)ポリマー等の材料を堆積させ、スリットの周辺領域にある材料をエッチング除去することによってスリットを遮断するリソグラフィと、4)ALD及びCVDを用いて、例えば酸化物-窒化物-酸化物層、ポリSi及び酸化物コア等のメモリコアを形成する膜の堆積と、5)チャネルを保護するための、スリットからの材料除去及びリソグラフィ(ポリマーや酸化接続リンク等の、スリットからの材料の選択的除去は、ポリマーを除去するための酸素プラズマや、酸化物リンクを除去するため原子層エッチング等の選択的エッチングを用いて行われる)と、6)酸化多孔質シリコン層に影響を与えずにTMAH等のエッチング剤を用いて行うシリコン層の選択的除去と、7)化学気相成長(CVD)、原子層堆積(ALD)、スパッタリング又は物理気相成長(PVD)等を用いた導電性材料(例えば、W、Co、TiN)の堆積と、その後の導線を孤立させるためのエッチバックと、8)(画像には表示せず)露出領域を絶縁材料で埋めることである。
図15は以下のステップを含む。即ち、1)非多孔質及び多孔質Siの交互層を備える高アスペクト比のチャネル及びスリットを作成するSiSEと、2)非多孔質層の薄い端部や接続リンクも酸化される多孔質層の酸化と、3)ポリマー等の材料を堆積させ、スリットの周辺領域にある材料をエッチング除去することによってスリットを遮断するリソグラフィと、4)ALD及びCVDを用いて、例えば酸化物-窒化物-酸化物層、ポリSi及び酸化物コア等のメモリコアを形成する膜の堆積と、5)チャネルを保護するための、スリットからの材料除去及びリソグラフィ(ポリマーや酸化接続リンク等の、スリットからの材料の選択的除去は、ポリマーを除去するための酸素プラズマや、酸化物リンクを除去するため原子層エッチング等の選択的エッチングを用いて行われる)と、6)シリコン層に影響を与えずにHF等のエッチング剤を用いた酸化層の選択的除去と、7)ALDを用いた薄い酸化層の堆積、及び化学気相成長、原子層堆積、スパッタリング等を用いた導電性材料(例えば、W、Co、TiN)の堆積と、その後の導線を孤立させるためのエッチバックと、8)堆積された導電性材料に影響を与えずにTMAH等のエッチング剤を用いて行うシリコン層の選択的除去と、9)ALDを用いた酸化シリコン等の絶縁材料の堆積である。
図16は以下のステップを含む。即ち、1)非多孔質及び多孔質Siの交互層を備える高アスペクト比のチャネル及びスリットを作成するSiSEと、2)ポリマー等の材料を堆積させ、スリットの周辺領域にある材料をエッチング除去することによってスリットを遮断するリソグラフィと、3)例えば酸化物-窒化物-酸化物層、ポリSi及び酸化物コア等のメモリコアを形成する膜の堆積と、4)チャネルを保護するための、スリットからの材料除去及びリソグラフィ(ポリマーやシリコン接続リンク等の、スリットからの材料の選択的除去は、ポリマーを除去するための酸素プラズマや、シリコンリンクを除去するため原子層エッチング等の選択的エッチングを用いて行われる)と、5)非多孔質シリコン層に影響を与えずにHF又はHF+H等のエッチング剤を用いた多孔質シリコン層の選択的除去と、6)化学気相成長(CVD)、原子層堆積(ALD)、スパッタリング等を用いた導電性材料(例えば、W、Co、TiN)の堆積と、その後の導線を孤立させるためのエッチバックと、7)堆積された導電性材料に影響を与えずにTMAH等のエッチング剤を用いて行うシリコン層の選択的除去と、8)ALDを使用した酸化シリコン等の絶縁材料の堆積である。
図17は、垂直ゲート及び水平シリコンチャネルを備える3D NANDアーキテクチャの実施形態を示す。図13の経路Fを表す図17に示すように、ステップは以下を含む。即ち、1)非多孔質及び多孔質Siの交互層を備える高アスペクト比のチャネル及びスリットを作成するSiSEと、2)非多孔質層の薄い端部や接続リンクも酸化される多孔質層の酸化と、3)CVD、ALD等を用いて、例えば酸化物-窒化物-酸化物層、ポリSi及び酸化物コア等のメモリコアを形成する膜の堆積と、4)その後のメモリ材料エッチング用のマスクを作成するためのリソグラフィと、5)原子層エッチング、プラズマエッチング等を用いたパターニングされていない領域からのメモリ材料のエッチングと、6)W、ポリSi、Co、TiN等のゲート材料の堆積(他の実施形態では、ゲート材料は、リソグラフィされたマスクを除去し、構造全体にゲート材料を堆積させ、リソグラフィを実行し、パターニングされていない領域のゲート材料をエッチング除去することにより、パターニングできる)と、7)プラズマエッチング又はケミカルエッチングを用いた過剰のゲート材料及びリソグラフィされたマスクの除去と、8)(画像には表示せず)露出領域を酸化シリコン等の絶縁材料で埋めることである。
非犠牲経路は、BiCS 3D NAND製造(加工)処理フローに類似しており、経路B等の任意の層のエッチング除去は伴っていない。SiSE処理の結果として互い違いの形態を有する交互材料のエッチングされたスタックは、エッチングされたチャネル及びスリットの所望の安定した構成を得るために、酸化(熱、陽極等)及び/又はALDで後処理される。例えば、高度にpドープされたシリコンは多孔質になり、この多孔性はエッチング剤濃度、電場及びシリコン層のドーピングに基づいて制御できる。低ドープシリコンは、エッチング後に形態を変化させない。次に多孔質シリコンは、非多孔質シリコンよりもはるかに速い速度で酸化されうる。次に、酸化された多孔質シリコン(OPS)からドーパントを移送させてより多くのドーパントガスを流す熱ステップは、低ドープシリコンを垂直チャネルレジーム(領域)のワードラインに変更する。任意の短時間異方性酸化物エッチングとそれに続く金属のALDによって、ワードラインはエッチングされたチャネルの両側で連続的になり、アニーリングによって低抵抗率のシリサイドWLが形成される。この処理は、SiSE処理の前に堆積(又はエピタキシャル成長)した材料スタックが最終的にエッチング及び熱処理されたスタックにあるので、「誘電体/ゲートファースト」と称される。
各種の実施形態で用いられる超格子は、表2で始まる(多孔質Si/非多孔質Si)が、経路I又はIIを用いて製造(加工)できることに留意されたい。また、階段エッチングを含むと説明する経路Aは、複数の経路のうちのいずれかの処理フローに追加できる。超格子のその他の実施形態は、Ge、SiGe1-x、GaN、InP、GaAs、InAs、GaP、InGaS、InGaP、SiC等の異なる材料及び/又は異なる多孔度を有する交互層を含むことができる。超格子の全ての層は非多孔性でもあり、交互層は依然として酸化、ケミカルエッチング等の異なる処理速度を有する。一例として、pドープSi/nドープSi超格子が挙げられ、ここで、TMAH又はKOH等のアルカリエッチング剤は、電気バイアス下でp型Siのみをエッチングする。経路Bも、結晶質の水平シリコンチャネルを備えた、垂直ゲートベースの3D NANDフラッシュアーキテクチャの作るために使用できる。
CICE処理の各種の実施形態は、エッチングが進むにつれて基板内に沈み、パターニングされていない領域を高アスペクト比のフィーチャとして残すパターニングされた触媒を用いる。触媒メッシュは、1つのリソグラフィステップでチャネルとワードラインスリット(トレンチ)の両方をエッチングするようにパターニングでき、CICE処理では、これらの両方のフィーチャを同時にエッチングできる。触媒メッシュのいくつかの例を、図18Aから図18Cに示す。触媒メッシュのふらつきを防ぎ、エッチング剤溶液を確実に輸送するために、電場、天井、及び/又はリンクされた連続パターンを使用できる。得られる高アスペクト比のフィーチャは、表面張力勾配薬剤(エタノール、イソプロピルアルコール等)、超臨界乾燥及びリソグラフィで接続されたフィーチャを用いる等の緩和技術により、崩壊を防ぐことができる。これら2つの制約は、リンクで接続されたフィーチャを用いたパターニング手法を用いたり、CICE処理の後に制御された堆積又はエッチングを用いて高アスペクト比のリンクされた構造を所望の3D NAND構成に変換したりすることによっても満たすことができる。
SiSEの触媒パターンの製造(加工)には、複数のリソグラフィ及びエッチングステップが必要である。垂直チャネル(VC)アーキテクチャと垂直ゲート(VG)アーキテクチャとの両方に基づく3D NANフラッシュアレイの様々なレイアウトスキームについて、臨界寸法とオーバレイの要件を図18Aから図18Cに示す。図18Aから図18Cは、エッチングされたフィーチャを示す。図18Aから図18Cに示すように、「a」はメモリチャネルのブロックの幅を表し、「b」はリソグラフィリンクの幅を表し、「c」は幅aのブロック間の距離であり、「d」は円形チャネルの直径を表し、「e」は横方向のホール間のピッチであり、「f」は六角形に配置されたホールの最短ピッチであり、「g」は幅「a」のブロックと円形チャネルとの間の最短距離である。幅「b」の細い接続線はリソグラフィリンクと称され、孤立した半導体フィーチャを接続して、その後にエッチングされる内部接続された高アスペクト比のマルチ層半導体構造の安定性を向上させる。触媒メッシュの意図されたデザインは、3D NANDフラッシュアレイのレイアウトに依存しており、リソグラフィリンクを組み込んで、エッチングされた構造を安定化し、任意にはエッチング剤の拡散を向上し、並びに触媒フィーチャのふらつきを防ぐ。
図18Aから図18Cは、3D NANDアレイの実施形態のレイアウト及び寸法を示す。寸法の2つのセットを以下に説明する。1つはリソグラフィの制約によって制限される積極的なスケーリングであり、もう1つはVCベースのデバイスの最小チャネル直径を50nmと仮定することによるものである。リソグラフィパターンが主にラインやスペース(L/S)を必要とし、ホールやピラーを必要としないため、VCベースのデバイスはより積極的なスケーリングの可能性があり、L/Sは複数のパターニングによって小さ
くできる。
図18のフィーチャの寸法のいくつかの実施形態は、以下の通りである。 図18Aは、ブロック当たり2列の千鳥状のホールがあるVC 3D NAND構成を示す。ハーフピッチが20nm(X方向)、Y方向のドットが25nm、ブロックが35nmの場合、a=60nm、b=10nm、c=10nm、d=25nm、e=40nm、f=35nm及びg=10nmである。ハーフピッチが35nm(X方向)、Y方向のドットが42nm、ブロックが85nmの場合、a=110nm、b=10nm、c=20nm、d=50nm、e=70nm、f=60nm及びg=10nmである。図18Bは、ブロック当たり4列の千鳥状のホールがあるVC 3D NAND構成を示す。ハーフピッチが20nm(X方向)、Y方向のドットが25nm、ブロックが65nmの場合、a=120nm、b=10nm、c=10nm、d=25nm、e=40nm、f=35nm及びg=10nmである。ハーフピッチが35nm(X方向)、Y方向のドットが42nm、ブロックが120nmの場合、a=220nm、b=10nm、c=20nm、d=50nm、e=70nm、f=60nm及びg=10nmである。図18Cは、垂直ゲート3D NAND形状を示す。ここで、幅「a」の線は、シリコンチャネル寸法を示し、一の実施形態では、a=20nm、b=10nm及びc=20nmである。
図18に記載された寸法の例は、メモリアレイに必要なリソグラフィ及び電子特性によって制限される。VC 3D NANDチャネルホールは、例えば酸化物-窒化物-酸化物(ONO)及びポリシリコンチャネル材料等のメモリ層で埋めなければならない。ストリング読出電流と許容可能な電場の増強とによって制約されるポリSiチャネルの最小直径は、約20nmである。デバイス性能と信頼性とによって制約される最小ONO厚は、約15nmである。よって、最小ホール径は約50nmである。ある一定の処理フローでは、酸化ステップはSiSE後に実行され、多孔質酸化シリコン及び非多孔質シリコンの交互層が作成される。この酸化ステップでは、端部にある非多孔質シリコンの薄層(5nm未満)も酸化できる。この薄い層は、メモリ層として保持することも、除去することもできる。除去される場合には、例えば酸化とその後の5nmの材料除去では酸化物の寸法の2倍の変化を伴うパターンの有効寸法、即ちチャネルの直径は10nm増加し、ワードラインの幅は10nm減少し、次にライン間の間隔の幅は、10nm増加する。従って、最終的な所望パラメータに基づいて、初期寸法を適宜調整すべきである。
電子ビームリソグラフィは、サブ10nmフィーチャを書込めるがオーバレイが大きいのに対し、フォトリソグラフィは、オーバレイが優れているが解像度が低いため、構造安定性のために接点が更に小さいサブ30nmフィーチャを製造(加工)することは非常に困難である。いくつかの実施形態は、フィルムをパターニングするためにフォトリソグラフィ及びインプリントリソグラフィを用いることができる。
図18Aから図18Cに示す3D NANDフィーチャのデザインは、複数のパターニングを伴うフォトリソグラフィ、インプリントリソグラフィ、電子ビームリソグラフィ、有向自己組織化、レーザー干渉リソグラフィ等のリソグラフィ技術を用いてパターニングできる。これらの各種のリソグラフィ技術のためのマスクを作成する処理を以下に説明する。
(インプリントリソグラフィによるパターン形成)
図19Dは、さまよいを防止し、拡散を向上するためにリンクされた構造を有する触媒のデザインを示す。一の実施形態では、リンクパターンの幅は10nmであり、ピッチは25nmであり、ラインは規則的な配置ではない。このようなパターンを製造(加工)するために、電子ビームリソグラフィを用いてグリッドパターンが作られる。次に電子ビームリソグラフィを用いて、リンクされた構造をパターニングし、グリッドの選択された領域をエッチング除去することにより、グリッドの要素が除去される。次に、得られるパターンをテンプレート基板にエッチングして、インプリントリソグラフィ用のマスターテンプレートを作成できる。
インプリントリソグラフィは、高解像度でピッチが狭い非周期的な不規則パターンをパターニングするために使用できる。インプリントリソグラフィの場合、テンプレートを作って、図18Aから図18Cに示す触媒パターンを印刷できる。テンプレートの製造(加工)を図19Aから図19Cに示す。図19A及び図19Bに示すマスターテンプレート1910及び1920は、電子ビームリソグラフィを用いて作ることができる。一の実施形態では、図19Aのフィーチャは、互いに垂直な2セットのL/Sを用いて作ることができ、100nmのピッチで20nm×20nmのブロックを作成し、図19Bのフィーチャは、ピッチ40nmの直径20nmのホールとピッチ80nmの20nmのラインを用いて作ることができる。
図19Cに示す最終マスターテンプレート1930は、図19Aに示すマスターテンプレート1910でインプリントすることによって作ることができる。インプリントされたフィーチャは、ハードマスクにエッチングされ、次に図19に示すマスターテンプレート1920は、マスターテンプレート19Aによってインプリントされたフィーチャとアライメントした後にパターニングできる。各種の実施形態によれば、テンプレートのアライメントは、アライメント方法を用いて実行できる。ここで、リソグラフィフィールド内で、図19に示すテンプレート1920は、一のサブフィールドから次のサブフィールドへの方向及び大きさを変化させて意図的にオフセットされるフィーチャを有する。インプリント後、理想的なアライメント要件を持つサブフィールドが選択及び使用され、ステップアンドリピート法を用いて、図19Cに示す最終マスターテンプレート1930を作成する。オーバレイアライメント要件に基づいて、図19Cに示す最終マスターテンプレート1930は、フォトリソグラフィを用いて作ることもできる。この場合、図19Bに示す第2のテンプレート1920は、フォトリソグラフィの解像度を考慮に入れるためのより大きな寸法のフォトリソグラフィマスクである。次にこれらのより大きな寸法は、プラズマエッチング技術を用いて減少できる。図19Dは、ラインがインプリントリソグラフィ(そのテンプレートは電子ビームリソグラフィで作製)で作られ、ドットがインプリント又はフォトリソグラフィを用いてアライメント及び印刷される、又はその逆も然りの、リソグラフィでリンクされたパターンの一例である。
他の実施形態では、図19Dのようなパターンの製造(加工)は、フォトリソグラフィと複数のパターニングを用いて行われる。次に、選択した領域のホールをパターニング及び縮小し、グリッド線をエッチング除去することによって、グリッド要素を除去し、それによってリンクパターンを作成する。フォトリソグラフィの最小ピッチの制限により、ホールのパターニングは複数のステップを踏んでもよい。
フォトリソグラフィの現行方式は液浸を伴う193nmの波長であるが、3層レジストを用いており、ライン及びスペースについて約38nmのハーフピッチに制限されている。自己整合ダブル(SADP)/クワッド(SAQP)パターニングやLELE(Litho-Etch-Litho-Etch)等の方法は、寸法をより小さくし、ピッチをより狭くするために必要とされる。これには、複数の堆積及びエッチングステップが必要であり、本質的に周期的パターンに適する。しかしながら、円の最小解像度とピッチは大きい。円のないVG 3D NANDアーキテクチャでは、互いに垂直なライン及びスペースを作成するために、フォトリソグラフィ及びトリムエッチングを使用できる。VG 3D NANDのパターンを作る処理は、より複雑である。
図20aから図20jは、フォトリソグラフィを用いてそのようなパターンを作る方法を示す。図20では、フォトリソグラフィ処理後に触媒が堆積されており、露出した領域(シリコン)は、現在触媒材料で覆われている。パターニングされたフィーチャの上に堆積された触媒は、任意にリフトオフできる。フォトリソグラフィが触媒フィルム上で行われ、次いで触媒が露出領域でエッチング除去される他の実施形態を用いることができる。その場合、パターンは図18に示すものの逆になる。
図20aから図20eは、リソグラフィステップの断面図(上面)及び上面図の両方を示す。図20fから図20jは上面図のみを示す。図20aでは、リソグラフィリンクを、第1ハードマスク、窒化シリコン(青)層上のポリシリコン(ピンク)でパターニングされた一辺が40nmでピッチが80nm(y方向)及び40nm(x方向)の正方形を用いて作る。図20bでは、トリムエッチングを、一辺が10nmの正方形を得るように行う。図20cでは、フィーチャをスピンオングラスで平坦化し、幅40nm、ピッチ80nmのラインをアライメント且つパターニングする。図20dでは、スペーサー材料を堆積して線幅を70nmに増大する。図20eでは、ライン及び正方形を、窒化シリコン等のハードマスク層にエッチングする。図20fでは、LELEを実行する。即ち、ピッチ(x方向)80nm及びピッチ(y方向)80nmで50nmのホールをアライメント且つパターニングし、トリムエッチングにより直径を25nmに減らして下層窒化シリコンにエッチングする。図20g、図20h、及び図20iでは、シフトされたアライメントでステップ20Fを繰り返す。図20jでは、触媒材料を堆積できる。
フォトリソグラフィにおける複数のLELEステップの代わりに直接自己組織化を用いて、密度増倍を使用できる。図21は、自己組織化及びリソグラフィを用いて実質的に接続された触媒フィーチャを有する触媒パターンを作るための処理フローを示す。図21aでは、ドットを、フォトリソグラフィを用いてパターニングする。図21bでは、有向自己組織化を用いて密度を倍増するために、これらのドットを用いてブロックコポリマーを方向付ける。次に3D NANDフラッシュ触媒のデザインに従って、ラインをパターニングして領域を遮断する(図21c)。その後のエッチングにより、ラインで遮断されていないドットを、窒化シリコン又は炭素等のハードマスクに移送する(図21d)。ラインをパターニングするために他のリソグラフィステップを行い(図21e)、ハードマスクにエッチングする(図21f)。次にレジストを除去して、ハードマスクの最終フィーチャを露出させる(図21g)。図21hでは、触媒材料を堆積する。この処理はリソグラフィリンクを示していないが、図20に類似する処理フローに組み込むことができる。また、自己組織化を伴うパターニングを用いて、インプリントリソグラフィテンプレートを作成することもできる。
3D NANDフラッシュのデザインでは、円形チャネルと長方形スリットの同時エッチングは、側壁を正確に制御するプラズマエッチングで確実には達成できません。
同様に、接続リンクを備えたフィーチャの場合、ピラー間のサブ10nm接続は、高アスペクト比では保持できない。高度に制御されたナノパターンを異方性エッチングするために半導体業界で用いられるドライプラズマエッチング処理は、高価な真空装置を必要とし、高アスペクト比(>50:1)をパターニングする際に断面形状を容易に保持できない。これらは、アスペクト比依存エッチング(ARDE)やエッチングテーパ等のエッチングの課題に悩まされている。
一方、SiSE処理では、必要なパターンを異方性エッチングでき、解像度を失うことなく超格子を作成できる。しかしながら、SiSEを商業化に向けて実行可能な技術とするために対処する必要のある様々な課題がある。このセクションでは、半導体材料での高アスペクト比のナノ構造スタックのウエハスケールエッチングを実現するためのこれらの課題と解決策について説明する。
この新しい製造(加工)方法に用いられうる各種処理の段階的な説明を規定する。最終デバイスの良好な電気的性能、中間ステップでの機械的安定性、CMOS互換性、コスト及びスループットに対する各ステップで最適化する必要があるパラメータについても、次のセクションで挙げる。
従来の3D NAND処理では、SiO/SiN又はSiO/ポリSiの交互層を用いる。これらの層はエッチングされ、任意にはW等の導電性材料で置換され、3D NANDセルのワードライン及び誘電体を形成する互い違いの導線と絶縁線のスタックが得られる。各種の実施形態では、半導体材料の交互層は、SiO/SiN又はSiO/ポリSiの代わりに用いられてよい。SiSEは、ドーピング濃度やドーパントタイプ等の調整可能な材料特性に応じて形態を変化させながら同時に半導体材料をエッチングできるため、交互層は、最終導線及び誘電体線を得るための選択的除去又は酸化を確実にするように設計される。
これは、バルクシリコン基板がSiSEに用いられており、交互層の堆積を考慮する必要のない経路I(例えば、図13を参照)では問題ではない。
交互層又は「超格子」の堆積に採用される方法は、商業的入手性、コスト、スループット、成長速度、サーマルバジェット、層の数、層の厚さ、エッチング前後の層の可動性及び抵抗率、結晶学的エッチングのエンプロイアビリティ(使用可能性)等に依存する。例えば、ポリSi層は、結晶粒界の問題を克服し、且つエッチングされたワードラインの良好な導電性を得るために、エピタキシャルシリコンよりも厚い必要がある。ドーパントの拡散は結晶SiよりもポリSiの方が多いため、ポリSi層は、層間のドーパントの拡散を抑えるために、交互にドープされた複数層の間に薄い拡散遮断層を必要としてよい。エピタキシャルシリコンの場合、階段接点を作成するテーパエッチングは、KOH、TMAH、及びEDP等のアルカリ性エッチング剤を用いることによって、結晶層上で行うこともできる。
現在の生産におけるシリコンのエピタキシャル(エピ)成長は、化学反応によって気相から薄い固体膜が合成される処理である化学気相成長(CVD)に基づいている。1000℃を超えるシリコンの高温エピ成長は、高スループット、その場(インサイチュ)ドーピングを提供し、汚染を防ぐ。一方、分子線エピタキシ(MBE)は、ドーピングプロファイルの急激なステップを可能にするが、成長率が非常に低い。約650から850℃でCVDを用いる低温エピタキシは、表1に記載されるシリコン超格子の成長に妥協点を提供する。温度、圧力、ガス流量、基板準備、表面処理、及び酸化防止は、エピ超格子の品質を決定する主要なパラメータである。B又はPH等のドーピングに用いられるガスの分圧は、エピ層のドーピング濃度を決定する。成長中に全圧が低いと、前層のガスからの汚染が減少するため、より良好な接合が可能になる。これらのパラメータは、全てエピ成長に重要な役割を果たすが、以下で更に説明するように、温度、ドーパント濃度、エピ層の厚さは、次の処理ステップの結果がどうなるかを決定するので、最も重要である。
●温度:エピ成長の温度は様々な要因に依存する。エピフィルムの結晶化度は、500℃以上の範囲の温度で達成できる。低温では、ドーパントの拡散が減少し、いくつかの実施形態では急峻なプロファイルを得ることができるが、成長速度は低い。シリコン中のドーパントのタイプ及びその拡散率に応じて、いくつかの実施形態では、高ドープ/低ドープ界面全体の拡散率を計算できる。
●ドーパント濃度:電場効果、濃度値、勾配等を適切に変更したフィックの法則のシミュレーションを用いて、必要な最終拡散プロファイルを得るために、各交互層のドーピング材料及び濃度が何であるかを決定する。これは、反応チャンバの温度、その後の処理ステップに必要なサーマルバジェット、層全体の濃度勾配、エピ成長中の欠陥の有無に依存する。シリコン中の共通ドーパントの拡散係数は、温度に指数関数的に依存する(D=D.exp(-E/kT))。遅いディフューザ(As及びSb)は、速いディフューザ(P、B及びIn)よりも優先され、ドーパントの選択は、シリコンの固溶限にも依存する。
●層の厚さ:最終ワードラインの幅に応じて、抵抗率を最小限に抑えるために導電層の厚さを調整しなければならない一方で、寄生容量を減らして抵抗率を最大化するために誘電体層の厚さを調整する必要がある。ワードライン層が多結晶シリコンで構成される場合は、粒界による抵抗の増加を考慮しなければならない。
一方の層が1E18のホウ素濃度を有し、他方の層が1E15のホウ素濃度を有するP++/P超格子の例を考えよう。超清浄環境において650℃及び圧力10Pa混合でのエピタキシャル成長の場合、堆積速度は約100nm/minである。この温度では、Bの拡散定数は7.7E-20cm/sである。拡散プロファイルを決定するためには、いくつかの実施形態では、各層の厚さと、ウエハがチャンバ内にある時間の長さ、即ち、成長の必要がある層の数とを知る必要がある。ホウ素濃度が1E18では、ワードラインの抵抗率は0.04ohm-cmである。これは、金属を組み込んでシリサイドを形成することによって、又はその後のSiSE処理の後にアニーリングして、全ドーパントを酸化多孔質層から結晶シリコン層に移送させることによって、更に減少できる。層の厚さが100nmの場合、256層の総成長時間は約5時間です。次に最大拡散は、成長した最初の層で発生し、拡散長は、X=2sqrt(Dt)によって与えられる。これにより、0.8nmの最大拡散長が得られる。よって、拡散率は650℃で非常に低いため、拡散長に対する温度の影響は最小である。シミュレーションを用いる際には、マルチレベルの濃度勾配や電場等の更なるの要因を考慮することができる。 100n/min等の遅い堆積速度は、コストの観点からは正当化できない1000℃では、堆積速度は10ミクロン/minであり、256層を3分未満で堆積できる。
しかしながら、この温度でのホウ素の拡散定数は1.39E-14cm/sであり、拡散長は31nmである。これら2つのパラメータ間の妥協点を見つけるために、いくつかの実施形態では、1ミクロン/minの堆積速度が得られる800℃又はその付近の温度を選ぶ。これにより、256層の堆積処理には約30分かかり、100層の堆積には10分かかる。次にBの拡散長は、256層の場合、最下層(最悪の場合)で約6nmであり、100層の場合は3nmである。厚さ100nmの層の場合、サブ5nmの接合で十分である。しかしながら、上述した接合長は、濃度が1/eによって変化した界面からの距離を示す。これは信頼できる処理を作るには十分ではない。従って、SiSE処理は、形態が固体から多孔質に変わるドーパント濃度が確実に急峻になるように調整される。これは、エッチング剤濃度を調整することによって実行できる。
いくつかの実施形態では、プラズマ強化ALDを用いて、多孔質層の孔をふさぐことができる。SiOのALDは、SiSEを用いてエッチングされたホール及びスリットを埋めるために用いられる。次に基板を平坦化して、リソグラフィ及びプラズマエッチングを含む次の処理ステップを可能にする。各種の実施形態によれば、リソグラフィを実行して、チャネルを開き、スリット内へのフィルムの堆積を防ぐことができる。次に、メモリ層(酸化物-窒化物-酸化物等)、ポリSiチャネル、及びコアフィラー材料を、これらのチャネル(垂直ホール)に堆積できる。
シリコンのエピタキシャル成長結晶層の場合、KOHやTMAH等のアルカリ性エッチング剤を用いて、<100>結晶面を異方性エッチングできる。これにより、階段エッチングに必要なエッチング及びリソグラフィステップの数が削減し、垂直チャネル構造内で各ワードライン層への接点を作成する。このアルカリ性ウェットエッチングは、CICEの前又は後に、成長したままの(アズグロウン)エピタキシャル材料スタック上で実行できる。交互層のうちの1層が高濃度にpドープされる場合、結晶面に沿った相対的なエッチング速度に応じて、また異なるドーパント濃度で、KOHの代わりにTMAHを使用できる。
図22は、本技術の一又は複数の実施形態に係る3D NAND階段エッチングの一例を示す。ドープ/未ドープSiエピタキシャル成長後に、アルカリエッチング剤を用いてテーパエッチング処理を行い、ワードラインのコンタクト領域を作成する。コンタクト領域の突起の長さは、絶縁層の厚さに依存する。この処理の他の実施形態は、電気化学エッチングを使用して、CICEを用いずに、個々の層のドーピングに基づいて異なる多孔度を有するシリコンの交互層を作成することを伴う。次にこのスタックをプラズマエッチングでエッチングし、階段を作成するために電気化学エッチングの前に傾斜エッチングする。
図23は図22に類似するが、主な違いは、テーパエッチングが交互半導体層の代わりにバルクSi上で行われることである。次にSiSEは、テーパエッチングされたバルクSi上で実行され、その後3D NANDメモリの製造(加工)ステップの後に、選択的なプラズマエッチングが行われて、導線上のコンタクト領域を露出させる。
経路Iではバルクシリコンがエッチングされ、経路IIではシリコンのエピタキシャル成長結晶層がエッチングされる。テーパを作成するために、KOH、EDP、TMAH等の結晶学的エッチング剤を使用できる。例えば、温度60℃で30%KOH又は10%TMAHを使用できる。
いくつかの実施形態は、アルカリ性エッチング剤を用いたバルクシリコン上の階段エッチング又は傾斜プラズマエッチングの処理を用いて、ワードラインのコンタクト領域を作成する。結晶学的エッチングは54.74°のテーパを作成するので、接触パッドの突起の長さは絶縁層の厚さに依存する。これにより、階段エッチングに必要なエッチング及びリソグラフィステップの数が削減し、垂直チャネル構造内で各ワードライン層への接点を作成する。しかしながら、テーパは階段の垂直側壁を作成せず、これは、ワードラインへの金属接点の配置の信頼性に影響を与える可能性がある。これは、階段踏面フィーチャによって消費される面積に応じて誘電体層の厚さ又はワードラインの幅を増やすことによって修正できる。或いは、テーパを作成ために、ファラデーケージを備えた傾斜プラズマエッチングも用いることができる。
SiSEの進行に伴い、触媒メッシュは半導体材料スタックをエッチングして、3D NANDチャネル及びワードライン分離用のホール及びスリットを備えた高アスペクト比のフィーチャを露出させる。SiSEは、エッチングストップ層、時限エッチングを用いることによって、又は電場パラメータを監視及び制御することによって停止できる。エッチング剤組成物及び処理中における電子ホール生成により、それらの材料とドーピング濃度に基づいて、形態の異なる交互フィルムが生じる。SiSE後、複数の層のうちの1層を選択的に除去又は変更(例えば、酸化)して、3D NAND層を作ることができる。多孔質シリコンの酸化中の体積変化は、多孔質シリコン層の多孔性及び孔の密度を制御することにより抑制でき、それにより構造への機械的ストレスを低減する。多孔質層の酸化速度は単結晶シリコンの酸化速度よりもはるかに大きく、選択性を高めるためにより低温で行うことができる。例えば、700℃では、多孔質シリコン層(1ミクロンより薄い個々の層の場合)の表面及びバルクは3分で酸化されるが、結晶シリコンの表面は乾燥Oで3nmしか酸化されない。
多孔質シリコン層と結晶質シリコン層の間の酸化速度の差、及び多孔質酸化物とシリコンの間又は多孔質シリコンと結晶質シリコンの間のエッチング速度の差は、非常に高くなければならない。これは、アンダーカットがないことを確実にし、SiSEプロセスで作ることができるメモリ層の数を増やすためである。表3は、様々な超格子変更のために超格子から1層を選択的に除去して、絶縁膜及び導電膜の交互層を備えた3D NANDフラッシュアレイを得るために使用できるエッチング剤を挙げる。界面活性剤及びその他のそのような化学物質をエッチング剤に添加して、適用可能な全ての結晶方位で層Aから層Bへのエッチング選択性を向上できる。エッチング剤は液体又は蒸気形態であってもよい。
表3:選択性をもって超格子から1層を除去するために使用できるエッチング剤のリスト
Figure 2023145718000005


表4は、交互層のうちの1層を確実に選択的に除去又は酸化して最終金属線及び誘電体層を得るのに必要な半導体交互マルチ層と処理ステップとの様々な組み合わせの例を示す。シリコンのドナー及びアクセプタのドーピングは、p-及びn-Siで示され、「++」はドーピング濃度を示す。例えば、p++Siは、ホウ素濃度が1e18cm-3以上である高ドープシリコンを意味する。2層以上の交互層は、ドーピングのばらつきをより高度に制御するために使用できる(例えば、ABCABC)。この実施形態は、ドープされたSi層間で原子的に薄いGe層を用いて、エピタキシャル成長中のドーパントの移動を防ぐ。KOH、TMAH、EDP等のアルカリ性エッチング剤を用いてミクロンスケールの範囲で選択的に<100>面をエッチングすることにより、層がエピタキシャル成長して結晶形態が得られる場合は、階段エッチングも実行できる。この交互スタックエッチングの主な特徴は、異なる層(二層スタックでは層A及び層B)間でのエッチング速度又は酸化速度に大きな差をつけることであり、この差を用いてスタックを変更し、最終的に絶縁線/導線を得る。
表4:交互層のうちの1層を確実に選択的に除去又は酸化して最終金属線及び誘電体層を得るのに必要な半導体交互マルチ層と処理ステップの様々な組み合わせの例
Figure 2023145718000006


本技術の各種の実施形態を用いて、BiCS処理と同様に、置換ステップ無しで3D NAND VCを作成してよい。例えば、いくつかの実施形態では、基板が提供されてよい。次に、半導体材料(例えば、ドープ又は未ドープSi)の交互層が堆積されてよい。次に、結晶学的異方性エッチング剤を用いたリソグラフィ及びテーパエッチングが行われてよい。次に、触媒をパターニングできる。例えば、いくつかの実施形態は、不連続触媒の堆積-Pt、Pd、Ru、触媒のCMP/リフトオフ、若しくはPt、Pd又はRuの選択的電着を用いてよい。次に、SiSE処理を行い、ウェットエッチング(例えば王水)を用いて触媒を除去するか、絶縁体で孤立させてよい。複数の層は選択的に処理(例えば、多孔質層及び接続リンクを酸化)可能であり、複数の孔は原子層堆積(ALD)でふさがれる。リソグラフィを用いて、ポリSiコア及び/又は酸化物コアフィラーとともに酸化物-窒化物-酸化物(ONO)等のメモリ材料を堆積する前に、ワードライン間の領域を遮断できる。次にワードラインスリットから材料を除去でき、低k誘電体をスリットに堆積できる。エッチングされたテーパに沿って階段を作成するために、一つのセットの交互層に対して選択的なプラズマエッチングを用いてテーパをエッチングできる。
いくつかの実施形態では、TCAT処理と同様に、酸化及び置換を伴う3D NAND VCの処理を利用できる。例えば、いくつかの実施形態では、基板が提供されてよい。次に、半導体材料(例えば、ドープ又は未ドープSi)の交互層が堆積されてよい。次に、結晶学的異方性エッチング剤を用いたリソグラフィ及びテーパエッチングが行われてよい。次に、触媒をパターニングできる。例えば、いくつかの実施形態は、不連続触媒の堆積-Pt、Pd、Ru、触媒のCMP/リフトオフ、若しくはPt、Pd又はRuの選択的電着を用いてよい。次にSiSE処理を行い、ウェットエッチング(例えば王水)を用いて触媒を除去するか、絶縁体で孤立させてよい。複数の層は選択的に処理(例えば、多孔質層及び接続リンクを酸化)可能であり、複数の孔はALDでふさぐことができる。リソグラフィを用いてワードライン間の領域を遮断でき、安定化コア(例えば、ポリSiコア及び酸化物コアフィラー)を堆積できる。
ワードラインスリットから材料を除去できる。原子層エッチングは、多孔質酸化物/結晶Si構造を囲む薄い酸化層を除去するために用いられる。3D NANDフラッシュアレイを作る処理の次のセットには、1つの置換ステップ(例えば、多孔質酸化物に対して選択的な結晶Siを選択的にエッチング、ALDで孔をシーリング、メモリ材料ONOを堆積、Wを堆積しエッチバックしてワードラインを孤立等)、若しくは2つの置換ステップ(例えば、結晶Siに対して選択的な多孔質酸化物をエッチング、メモリ材料ONOを堆積、Wを堆積しエッチバックしてワードラインを分離、Wに対して選択的なSiをエッチング、SiOを堆積等)又は(例えば、結晶Siに対して選択的な多孔質酸化物をエッチング、SiOを堆積しエッチバックして孤立、堆積したSiOに対して選択的な結晶Siをエッチング、メモリ材料ONOを堆積、Wを堆積しエッチバックしてワードラインを孤立等)等を含んでよい。次に、低k誘電体をスリットに堆積できる。エッチングされたテーパに沿って階段を作成するために、一つのセットの交互層に対して選択的なプラズマエッチングを用いてテーパをエッチングできる。
いくつかの実施形態では、TCAT処理と同様に、置換を伴う3D NAND VCの処理を利用できる。例えば、いくつかの実施形態では、基板が提供されてよい。次に、半導体材料(例えば、ドープ又は未ドープSi、Si/SiGe、Si/Ge等)の交互層が堆積されてもよい。次に、結晶学的異方性エッチング剤を用いたリソグラフィ及びテーパエッチングが行われてよい。次に、触媒をパターニングできる。例えば、いくつかの実施形態は、不連続触媒の堆積-Pt、Pd、Ru、CMP/触媒のリフトオフ、若しくはPt、Pd又はRuの選択的電着を用いてよい。次に、SiSE処理を行い、ウェットエッチング(例えば王水)を用いて触媒を除去するか、絶縁体で孤立させてよい。複数の孔はALDでふさぐことができる。リソグラフィを用いてワードライン間の領域を遮断でき、安定化コア(例えば、ポリSiコア及び酸化物コアフィラー)を堆積できる。ワードラインスリットから材料を除去できる。3D NANDフラッシュアレイを作る処理の次のセットには、1つの置換ステップ(例えば、結晶Siに対して選択的な多孔質シリコンをエッチング、メモリ材料ONOを堆積、Wを堆積しエッチバックしてワードラインを孤立、Wに対して選択的なSiをエッチング、SiOを堆積等)、若しくは2つの置換ステップ(例えば、結晶Siに対して選択的な多孔質シリコンをエッチング、結晶Siを酸化、メモリ材料ONOを堆積、Wを堆積しエッチバックしてワードラインを孤立等)又は(例えば、結晶Si対して選択的な多孔質シリコンをエッチング、SiOを堆積しエッチバックして孤立、SiOに対して選択的な結晶Siをエッチング、メモリ材料ONOを堆積、Wを堆積しエッチバックしてワードラインを孤立等)等を含んでよい。次に、低k誘電体をスリットに堆積できる。エッチングされたテーパに沿って階段を作成するために、一つのセットの交互層に対して選択的なプラズマエッチングを用いてテーパをエッチングできる。
いくつかの実施形態では、垂直ゲートを備える3D NANDの処理を利用できる。例えば、いくつかの実施形態では、基板が提供されてよい。次に、半導体材料(例えば、ドープ又は未ドープSi、Si/SiGe、Si/Ge等)の交互層が堆積されてよい。次に、結晶学的異方性エッチング剤を用いたリソグラフィ及びテーパエッチングが行われてよい。次に、触媒をパターニングできる。例えば、いくつかの実施形態は、不連続触媒の堆積-Pt、Pd、Ru、CMP/触媒のリフトオフ、若しくはPt、Pd又はRuの選択的電着を用いてよい。次に、SiSE処理を行い、ウェットエッチング(例えば王水)を用いて触媒を除去するか、絶縁体で孤立させてよい。複数の層は選択的に処理(例えば、多孔質層及び接続リンクを酸化)可能である。複数の孔はALDでふさぐことができる。リソグラフィを用いて層間の領域を遮断し、ONOメモリ材料を堆積できる。ワードラインは、水平チャネルラインに垂直に配置することもできる。次に、低k誘電体をワードライン間のスリットに堆積できる。エッチングされたテーパに沿って階段を作成するために、一つのセットの交互層に対して選択的なプラズマエッチングを用いてテーパをエッチングできる。
一の実施形態では、3D NANDは、シリコンナノワイヤをチャネル材料として使用し、その後、導電性材料及び絶縁性材料の交互層を堆積させて製造(加工)できる。MSP-CICE及び新規な接続リンク又は天井ベースの崩壊軽減技術は、ディープリアクティブイオンエッチング処理の代わりにNWのアレイをパターニングするために用いられる。DRIEはボッシュ処理等の処理を用いる。この処理では、表面に損傷があり、FET性能を低下させるスカラップ状側壁が作成される。ここで提案されるCICE処理の各種の実施形態は、損傷が著しく少なく、滑らかな側壁とより洗練された断面形状とを提供しているので、優れた性能を発揮する。CICEを用いて作成された高アスペクト比の垂直NWは、垂直3D NANDフラッシュメモリに使用できる。これは、垂直NW上のコンフォーマルALDによる電荷蓄積のために、トラップ密度の高い高k誘電体を含むメモリ材料の堆積を伴う。次に、絶縁材料によって分離された一連の導電性材料が堆積されて、ワードラインを形成し、多層超高密度3D NANDフラッシュメモリをもたらすNANDストリングを作成する。
2D構造ではDRAMコンデンサ及びトランジスタのスケーリングが非常に難しいため、DRAM製品は基本的な限界に近づいている。現在の回避策は、スタック又はトレンチコンデンサを用いて、(基板)面積に妥協することなくセル当たりの静電容量を増やすことである。しかしながら、この方法には、トレンチコンデンサの高アスペクト比トレンチエッチング、及びスタックコンデンサの安定性における制限がある。また、フィーチャのサイズを小さくすると、プレーナ及びリセスチャネル又はフィンベースのDRAMトランジスタの信頼性に影響を与える。ある一定のDRAMセル構成も、理想的な4Fセルの代わりに、5-6Fのセルサイズ係数を用いる。フィーチャサイズが、現在の20nmのハーフピッチから2025年までにサブ10nmに減少していくにつれて、高アスペクト比のコンデンサを備えた垂直セルアクセストランジスタを自己整合的に組み込む必要がある。
図24は、本技術の一又は複数の実施形態に係る、CICEによってエッチングされたナノワイヤ上にトランジスタ、コンデンサ及び配線材を堆積させた例示的なDRAMのデザインを示す。図24の上部は、コンデンサ領域の断面図を示す。ビット線は図面に垂直に走り、上部のN+ドープシリコン領域を接続する。各種の実施形態は、4Fセルサイズファクタを生成するためにゲートオールアラウンドトランジスタ及び自己整合コンデンサの両方を組み込む垂直ナノワイヤベースのDRAMアーキテクチャを用いる。これにより、DRAMをサブ10nmのハーフピッチにスケーリングできる。CICE処理では垂直側壁角が89.5°より大きいため、ピラー間の中心間距離は、サブ20nm、サブ15nm、サブ10nm等にできる。ピラーの配置を六角形にして、より小型のDRAMセルを作成できる。エッチングされたピラーは、基板に垂直に、又はCICEエッチング剤濃度に基づいた角度でアライメントできる。ピラーの断面は、許容可能な欠陥レベルで従来のフォトリソグラフィ及びナノインプリントリソグラフィによって製造(加工)できるかどうかに応じて、最大表面積を与えるように最適化できる。
各DRAMセルのナノワイヤを孤立させるには、SOI(シリコンオンインシュレータ)基板を使用できる。この場合、絶縁体はCICEのエッチングストップとして機能し、個々のナノワイヤを孤立させる。或いは、ナノワイヤのベースは、電場を用いて多孔質にできる。次に、多孔質ベースの選択的酸化を行って、ナノワイヤを電気的に絶縁できる。
図25Aから図25Bは、本技術の一又は複数の実施形態に係る、崩壊することなく高アスペクト比のピラーを作成するためのCICEウェット異方性エッチングの2つの処理フローを示す。図25Aは、使用される最大アスペクト比を拡張するために天井を用いる崩壊防止の方法を示す。天井を用いる崩壊防止は、プラズマエッチング又はSiSEを用いて短く安定した高さまでフィーチャをエッチングし、天井を堆積し、SiSE処理を続行することにより実行できる。「天井」は、L/2等の短いピラーの長さに沿った高さでもよく、ここでLは短く安定したピラーの高さである。これにより、フィーチャが更にエッチングされるにつれて更なる支持が付与され、最大アスペクト比が、短いピラーの上部にある天井のアスペクト比よりも大きくなる。これは高アスペクト比のピラーに構造安定性を付与し、崩壊を防ぐ。天井は、傾斜電子ビーム蒸着、ポリマーの充填、エッチバック及び天井の堆積、又はスピンコーティング等の方法によって堆積できる。天井に使用できる材料には、ポリマー、スパッタ/堆積半導体、Cr、Cr、炭素、シリコン、Al等のCICEエッチング剤と反応しない金属や酸化物を含む。いくつかの実施形態では、天井は、更なる低解像度リソグラフィステップによって、又は天井材料に多孔性を誘導する反応によって、多孔質にもでき、例えば、天井材料は、CICEにおいて多孔性になるアモルファス又はポリSiでありうる。一旦基板がエッチングされ触媒が除去されると、多孔質天井を除去する前に、原子層堆積等の方法によるメモリフィルム又は誘電体フィラーの堆積を行うことができる。天井材料は、ある一定の領域で除去するか、又は原子層堆積(ALD)に対して非選択的になるように調整して、複数の孔が堆積経路を閉じて遮断するのを防ぐこともできる。フィーチャを埋めた後、天井はエッチング又は研磨される。また、エッチング後に高アスペクト比の形状を閉じて、孤立した触媒を使用せずに深いホール(例えば、リソグラフィパターンで規定された構造ボイド、開口部等)を作成するためにも、ALDを用いることができる。
図25Bは、ダイヤモンド形断面を持つエッチングされたナノ構造の安定性を保証するリンクベースの方法を示している。触媒メッシュがリソグラフリンク及びギャップの両方を備える場合、リンクされた構造はエッチングによって作成される。図26は、本技術の一又は複数の実施形態に係る、シリコン上におけるCICE後の未支持フィーチャvs支持フィーチャの崩壊を示すSEM画像を示す。電子ビームリソグラフィは、サブ10nmフィーチャを書込めるがオーバレイが大きいのに対し、フォトリソグラフィは、オーバレイが優れているが解像度が低いため、リンク接点が更に小さいサブ30nmフィーチャを製造(加工)することは非常に困難である。フォトリソグラフィ及びインプリントリソグラフィ(そのマスク及びテンプレートは電子ビームリソグラフィで作製)を用いて、後にナノインプリントテンプレートとなるリンクされた最終構造を取得してよい。
代替の実施形態では、CICEでホールをエッチングして、トレンチコンデンサDRAMセルを作成できる。このアーキテクチャは、DRAMセルが占める面積を最小限にするために、4Fレイアウトとしても設計できる。CICE処理では垂直側壁角が89.5°より大きいため、ホール間の中心間距離は、サブ20nm、サブ15nm、サブ10nm等にできる。ホールの配置を六角形にして、より小型のDRAMセルを作成できる。ホール内のエッチング剤の拡散を高めるために、電場を用いて、上部領域を除いて、エッチングされたホールの長さに沿って多孔性の一又は複数の層を作成できる。非多孔質上部領域を用いて、DRAMセル用のシリコントランジスタを作成できる。一又は複数の多孔質層を選択的に酸化して、トレンチコンデンサを電気的に孤立させることができる。多孔性の層に作成された孔は、CICE処理後に、SiO、SiN、SiON等の絶縁材料の原子層堆積を用いてふさぐことができる。トレンチコンデンサは、電極(ポリSi、W、TiN、Co、TaN)や高k誘電体(HFO、ZrO、Al)等のコンデンサ材料を堆積してMOS(金属-酸化物-半導体)、MIM(金属-絶縁体-金属)、又はMIMIM等の構成のコンデンサを作成することによって、高アスペクト比のホール内に作成できる。
(トランジスタ)
半導体業界では、典型的にはトランジスタ密度を高めることによって、チップ性能を向上させ、消費電力を削減させ、機能性を高めるために、CMOSスケーリングが採用されている。このスケーリングは、18か月か2年ごとに新しいテクノロジノードをリリースすることによって行われる。トランジスタ密度は、ゲート長、ゲート酸化物の厚さ、スペーサーの厚さ等の、トランジスタの寸法を小さくすることで増加する。フィーチャサイズの減少に伴い、高k誘電体、金属ゲート、ひずみエンジニアリング、低kスペーサー誘電体等の新しいテクノロジーが、プレーナ又はリセストランジスタで採用されてきた。しかしながら、トランジスタ当たりの面積を減らすにもかかわらず静電特性を改善するために、FinFET形態の3Dスケーリングが導入された。寸法がサブ20nmに減少したため、側壁の損傷が最小限で崩壊のない、高さのある薄いフィンを作成する処理は困難であった。サブ10nmノードでは、水平ナノシート及びナノワイヤを用いて静電特性を改善する革新的な方法が提案されている。
しかしながら、これら全ての3Dジオメトリでは、構造の安定性と製造(加工)の課題が相まって、テクノロジーの可能性が制限されている。フィンがより高くなる及び/又は積層ナノシート及びナノワイヤの数が増えると、チップのパフォーマンスは向上し、多くのテクノロジーノードのスケーリングが可能になる。しかしながら、フィンの製造(加工)のためのプラズマエッチングは、デバイスの性能に影響を与えるエッチングテーパ及び側壁の損傷に悩まされている。CICEでは、エッチングテーパをなくし、トランジスタごとに必要なフィンの数を減らすことによって、サブ10nmの臨界寸法を有する側壁の損傷が少ない高アスペクト比のフィンを実現できる。長方形フィンから円形及び成形NWに及ぶ最適断面のトランジタの第1レベルのウエハスケール製造(加工)に、大面積制御とインライン計測が組み込まれる。各種の実施形態は、新規のエッチング技術、触媒促進ケミカルエッチング(CICE)及びリソグラフィ要件を含み、プラズマ損傷のない垂直側壁を備えた高アスペクト比のフィンを作製可能とする。
[0217]
CICEは、Si、Ge、SiGe1-x、GaN、InP、GaAs、InAs、GaP、InGas、InGaP、SiC等の半導体、及び半導体のマルチ層で使用できる触媒ベースのエッチング方法である。電場は、エッチング用触媒と共に使用されてもされなくてもよい。エッチング剤(フッ化水素酸HF等)、酸化剤(過酸化水素H等)、及び任意には低表面張力液体(エタノール等)やイオン交換水は、触媒(Ag、Au、Pd、Pt、Ru、Cu、W、TiN、TaN、RuO、IrO、グラフェン等)の位置で優先的に半導体基板をエッチングできる。必要に応じて、非水性エッチング剤も使用できる。リソグラフィ技術(フォトリソグラフィ、電子ビームリソグラフィ、ダブルパターニング、クワッドパターニング、ナノインプリントリソグラフィ等)を用いて、触媒フィーチャを規定できる。得られた触媒メッシュを備えた基板は、エッチング剤溶液内に配置され、エッチング中の電気及び光学特性に基づいてエッチング深さを決定できる光学イメージングシステム及び電場によって積極的に制御されるある一定の深さまで精密にエッチングされる。
よって、最終デバイスは、アスペクト比が5:1を超える高アスペクト比のフィンを備えたfinFETである。ここで、フィン構造は非常に垂直であり、角度は89.5°を超える。この角度は、走査型電子顕微鏡(SEM)、TEM、AFM等を用い、その後ImageJ等の画像解析ソフトウェアを用いることで測定される。平均テーパ角度は、臨界フィーチャの上部及び下部のフィーチャサイズの差の間で線形適合アルゴリズムを用いたほぼ等角(コンフォーマル)の直線を用いて測定される。臨界寸法は、フィンの幅又はフィン間のトレンチの幅にできる。CICE処理では垂直側壁角が89.5°より大きいため、フィン間隔やフィン幅等の重要なフィーチャ間の中心間距離は、サブ20nm、サブ15nm、サブ10nm等にできる。
横方向ナノワイヤ及びナノシートFETの場合、フィンは材料の交互層で構成される。ここで、複数の層のうちの1層が選択的に除去され、誘電体及びゲート電極がコンフォーマル(等角)に堆積されてサスペンデッド横方向ナノワイヤ又はナノシートを囲む。ナノシートのテーパ及び横方向ナノワイヤFETも、finFETと同様に測定される。
フィンの製造(加工)のためのプラズマエッチングは、精密エッチング、エッチングテーパ、崩壊、侵食及び構造保全、側壁の損傷等、様々な処理の課題を抱えている。これはトランジスタのデバイス性能に影響を与えます。CICEでは、臨界寸法がサブ10nmであるフィンの高アスペクト比及び低い側壁損傷を実現できる。エッチングテーパ角度は、ある一定のフィン幅でフィンの最大高さを制限するため、更なる課題を引き起こす。フィンの高さを増大するには、フィンの幅を大きくする必要があり、これはトランジスタの実装密度を低下させる。
図27Aは、テーパ角度が約85°の14nmFinFETと、業界で用いられる24nmの物理的ハーフピッチ(HP)を示す。このようなテーパ角度で達成できる最大フィン高さは、最大フィン高さ=0.5×ハーフピッチ×tan(テーパ角度)で算出される。テーパ角度を向上することにより、異なるフィン幅とハーフピッチ(HP)に対して最大フィン高さを増大できる。この関係は図27Bにプロットされており、所与のHPに対してエッチングできるフィンの最大高さvsエッチングテーパ角度を示している。これは、CICE等のテーパのないエッチング処理のスケーリングの可能性を示す。これは、後に検討するフィンの構造安定性を考慮していない。100nmのフィン高さがシャロートレンチアイソレーション(STI)に用いられるので、このフィン高さはアクティブfinFETの一部ではない。
高アスペクト比のフィンは、崩壊しやすい。一旦トランジスタが作られてフィンが絶縁体等の安定化材料に埋め込まれると変更又は除去できる接続リンクを用いて、フィンの崩壊を緩和できる。
図28は、支持/支援機能なしで、フィンの長さ(この場合は50nm)に沿って横方向に崩壊する前にテーパの無いフィンの最大高さを示すプロットである。図28に、50nmの長さの場合の、接続リンクで支持されていないフィンの臨界高さを、そのハーフピッチに対して示す。これは、崩壊によるフィンの曲げエネルギーを、フィンを分離するのに必要な表面エネルギーと同等にすることによって算出される。
Figure 2023145718000007
Figure 2023145718000008
ここで、Eはフィンの弾性係数、Iは曲げ軸の周りの慣性モーメント、wはフィンのたわみ、即ち、崩壊フィン間の距離の半分、γsvはフィン材料の表面エネルギー、a及びbは、崩壊方向に垂直なフィンの寸法である(長さ方向vs幅方向)。
崩壊は、最も短い高さでフィンの長さに沿って発生するので、グラフに表示される高さになる。フィンは特定の回路設計に基づいてはるかに長くなる可能性があるが、フィンの最短の長さは、finFETのコンタクトゲートピッチ(CGP)によって決定される。最小スペーサー厚さ(t)が約5nm、ソース/ドレイン(S/D)接触長(L)が約15nmの場合、コンタクトゲートピッチCGP=L+2t+Lである。これは、トランジスタのスケーリングが、10から25nmの間で変化するゲート長Lに依存することを示している。50nmの事例は、フィンが別のフィン上に横方向に崩壊する前に幅の異なるフィンの最大高さを決定するために考慮される。いくつかの実施形態では、エッチングテーパがないためにフィンピッチを縮小できるので、フィン幅の2倍のフィンピッチが採用される。
より小さなフィン幅へのスケーリングの主な制限は、構造の不安定性である。バルクシリコンで製造されたFinFETでは、その長さの大部分はシャロートレンチアイソレーション(STI)に利用される。STIに必要な最小高さが100nmであるとすると、幅10nm以上のフィンのみが使用できる。更に、フィンのアクティブ部分は、初期のフィン高さよりもはるかに短い。これは、SOIウエハを用いることによって部分的に軽減できる。しかしながら、SOI finFETの場合でも、フィンで達成できる最大高さには制限がある。エッチングテーパは、フィンの構造安定性をある程度向上させるのに役立つが、図27で説明したように、可能な最大高さを最終的に制限する。
(FinFET処理フロー)
各種の実施形態は、フィンの間の接続リンクを用いてエッチング中及びエッチング後にフィンを安定させることによって、フィンの構造安定性を向上する。デバイスを更に処理した後、安定化構造が除去又は変更される。一の実施形態では、接続リンクを回路設計段階で用いて、隣接するfinFETのソース及びドレインを、エピタキシャルS/D接点形成に沿ってリンクすることもできる。finFETの処理フローの一例を図29に示す。
CICE finFET処理フローの実施形態が図29に示されており、処理ステップは、a)接続されたフィンのCICE及びその後の触媒材料の除去と、b)STI材料の原子層堆積(ALD)、及び蒸気HF、原子層エッチング(ALE)又は反応性イオンエッチング(RIE)を用いたSTI材料のエッチバックを伴う、STI(シャロートレンチアイソレーション)充填及びエッチバックと、c)ポリシリコンがダミーゲートとして用いられ、窒化シリコンがダミーゲートの両側のスペーサーとして用いられる、ダミーゲート及びスペーサーのパターニング及び堆積と、d)ALDを用いた酸化物の充填及び化学機械研磨(CMP)を用いた平坦化、接続フィーチャ(又はリンク)のカット/エッチング除去。ここでフィン間の接続フィーチャは、RIE、ALE、選択酸化物及び蒸気HFエッチング等を用いてエッチング除去され、接続フィーチャをエッチング除去するためのリソグラフィマスクは、トランジスタ当たりのフィンの数及びトランジスタ回路設計に基づいてある一定の接続を保持するように設計できる。e)酸化物充填及びエッチバックと、f)その場(インサイチュ)ドーピングでSi及びGe、又はSiのエピタキシャル成長を用いたソース/ドレイン堆積と、g)ダミーゲートがスペーサー間の高k誘電体及び金属ゲートで置換され、最終的な高アスペクト比のフィンFETを形成できる、金属ゲートの置換及び高k誘電体の堆積と、である。
他の実施形態では、フィンの領域に触媒接続リンクを用いてよく、シリコンのエピタキシャル成長を用いて欠落部分を接合できる。接続リンクが除去された領域では、位置及び回路設計に応じて、TiN、W、SiO、SiN、炭素、Si、Ge等の材料は、材料の必要な電気特性、例えば、接続が導電性、絶縁性、又は半導電性の必要があるかどうかに基づいて堆積されてよい。
CICEで製造(加工)された高アスペクト比のFinFETは、崩壊を防ぐためにフィン間に接続リンクを有する。これらのリンクは、必要なフィン設計を得るために、製造(加工)処理(図29のステップ(d))中に除去しなければならない。一の実施形態では、finFETリンクは、CICE後の最初のパターニングとダミーゲート及びスペーサの堆積、その後の全露出領域における誘電体の堆積によって除去される。次に、フォトリソグラフィが行われ、除去する必要のあるフィンリンクの領域を孤立させる。フィンリンクは、原子層エッチング、プラズマエッチング等を用いて除去される。エッチング中に作成されるプラズマエッチングテーパは、ダミーゲート及びスペーサーによって保護されているフィン構造に影響を与えない。その後のS/Dエピタキシステップは、プラズマエッチングテーパによって失われたフィン材料を補充してよい。或いは、露出したフィンリンクの選択的な酸化及び除去を実行でき、酸化されたフィンリンクは、蒸気HF、プラズマエッチング、ウェットエッチングを用いて除去されて、シリコンの代わりに酸化シリコンを除去でき、これにより、酸化シリコンエッチングの選択的性質によりシリコンフィンを保護する。
この方法には、オーバレイが非常に正確で2nm未満であるという利点があり、それによって過剰な材料がフィンから除去されないことが保証される。スペーサーパターニングを用いて、幅及びピッチを、35から40nmのライン/スペースのフォトリソグラフィ解像度から20から25nmのライン/スペースのそれに減らすことができる。フィンに平行で且つフィンに垂直なカットを作成するために、ライン/スペースを互いに90度にして、2つのフォトリソグラフィステップを用いることができる。EUVリソグラフィは、更なるスペーサーパターニングなしで同じフィーチャを作成するために使用できる。ロジックデバイスのfinFET設計に基づいて、フィン及びその接続リンクをエッチングするための触媒パターンが設計される。例えば、図32では、開始CICE触媒パターンと接続リンクを除去した後のパターンとが示される。
図30Aから図30Eは、技術の一又は複数の実施形態に係る、CICE後のFinFET処理の一例を示す。より詳細には、図30Aから図30Eは、接続されたフィン構造の上面図を示し、その設計は、SRAM及び論理回路等のfinFETの用途に依存する。図30Aでは、CICEでエッチングされた構造は、崩壊を防ぐために接続されている。図30Bは、回路設計による必要に応じて複数のフィンを接続するように設計されるダミーゲート及びスペーサーパターンを示す。図30Cでは、リソグラフィを用いて、エッチング除去される部分(接続リンク)を露出させる。図30Dでは、次に、接続リンクが、原子層エッチング又はプラズマエッチングを用いてエッチング除去される。次に、ソース/ドレインエピタキシャル堆積、ゲート置換等の更なるfinFET処理ステップ(図30Aから図30Eに図示せず)を実行して、最終デバイス回路を得ることができる。図30Eは、全てのfinFET処理ステップの後に残る、パーツDの下にあるフィンの概略図を示す。
ナノシートFETは、半導体材料の交互層を備えるフィンをエッチングし、その後交互層のうちの1層を除去することで作成され、その結果、サスペンデッドナノシートが生じる。ナノシートFETは、finFETのトライゲート構造とは対照的に、ゲートオールアラウンド構成によりfinFETよりも優れた静電気学(静電特性)を持っている。前のセクションで説明したフィンの高さ制限と同様に、ナノシートフィン内の半導体の交互層の臨界高さは、プラズマエッチングを用いてエッチングできる層の数を制限する。この制限は、バルクSiの代わりに交互層を備えるナノ構造を生成するCICEのサブセットである、SiSE処理には存在しない。ナノシート層の実施形態は、Si及びSiGe1-xから構成され、ここで、新しい臨界高さは、マルチ層が積層したフィンの変更された弾性係数に依存する。各ナノシートの厚さが5nmであり、STIで覆われるフィンの下部領域がSiであると考えると、有効弾性係数は、複合材料の混合物の逆則を用いた「スラブ」モデルで算出できる。
Figure 2023145718000009
ここで、Eは弾性係数であり、Vは体積分率であり、下付きのfは、例えばSiGe1-x又は多孔質Si等の犠牲ナノシート材料を示し、mは、例えばSi等の残りのナノシート材料である。
Siの体積分率が約75%から95%の場合、得られる有効弾性係数は約100から150GPaであり、ナノシートフィンの臨界高さはfinFETフィンの臨界高さに類似する。表面エネルギーの変化は、接触しているフィンの上部にある表面の材料に依存する。一の実施形態では、その材料はシリコンであり、ナノシートの材料の影響は、シリコンfinFETの影響と同じである。
或いは、フィンの幅を小さくすることにより、同様の方法で横方向ナノワイヤFETを作成できる。SiSE処理では、リソグラフィリンクを用いてfinFETに形成された接続されたフィンは、半導体の交互層のスタック上で用いることもできる。
ナノシートFETは、バルクシリコンの代わりに材料の交互層を備えたフィンを有するfinFETに類似する。一の実施形態は、Si及びSiGe1-xの交互層を備えており、SiGe1-x層が除去されてシリコンナノシートを与える。他の実施形態は、異なるようにドープされたSiの交互層からなり、これは犠牲多孔質Si層及び結晶質Siナノシートを生成する。更なる実施形態では、交互層間の保護層を用いて、シリコンナノシートが、低ドープSi/SiGe1-x/高ドープSi/SiGe1-xの交互スタック、又は低ドープSi/Ge/高ドープSi/Geの交互スタックを用いること等により、犠牲ナノシートエッチングの影響を受けないことを確実にする。ここで、高ドープSiは多孔質Siに変換され、低ドープSiは結晶性のままである。SiSE処理は、特定のドーピング濃度で形態が多孔質から非多孔質に確実に変化するように調整され、多孔質及び非多孔質のSiのマルチ層スタックを作成する。多孔質Siを選択的に除去して、Siのサスペンデッドナノシートを得ることができる。エッチング剤組成物及び処理中におけるホール生成により、それらの材料及びドーピング濃度に基づいて、形態の異なる交互フィルムが生じる。他の実施形態では、SiSE処理は、バルクSi上の時間変動電場とともに用いられ、多孔質及び非多孔質Siの交互層を備えるナノシートフィンを作成する。典型的な処理フローが図31に記載される。
図31は、本技術の一又は複数の実施形態に係る、SiSEでナノシートFET及び横方向ナノワイヤFETを作るための処理フローの一例を示す。ステップは、a)接続されたフィンのSiSE及び触媒材料の除去と、b)原子層堆積(ALD)を用いたSTI(シャロートレンチアイソレーション)を作成するための誘電体充填と、c)接続フィーチャをカット/エッチング除去。ここでフィン間の接続フィーチャは、RIE又はALEを用いてエッチング除去され、接続フィーチャをエッチング除去するためのリソグラフィマスクは、トランジスタ当たりのフィンの数及びトランジスタ回路設計に基づいてある一定の接続を保持するように設計できる。d)ALDを用いた切取領域に窒化シリコン等の応力ライナの堆積と、e)サスペンデッドナノシート/ナノワイヤを得るための誘電体(STI)エッチバック及び交互層の選択的除去と、f)ダミーゲート及びスペーサーのパターニング及び堆積。ここでポリシリコンはダミーゲートとして用いられ、窒化シリコンはダミーゲートの両側のスペーサーとして用いられる。ダミーゲートの周囲にスペーサーを堆積でき、余分なスペーサー材料をパターニング/エッチングし、酸化物の充填及び平坦化を実行できる。g)その場(インサイチュ)ドーピングでSi及びGe、又はSiのエピタキシャル成長を用いてソース及びドレイン領域が堆積されるS/D堆積と、h)金属ゲートの置換及び高k誘電体の堆積と、を含む。
ナノシートFETのSiSEの他の実施形態では、触媒エッチングと共に時間変動電場を用いて、出発基板として材料の交互エピタキシャル層の代わりにバルクSiを用いることにより、多孔質Si及び非多孔質Siの交互層を作成できる。
ナノシートの交互層の選択的除去は、選択的エッチング処理を用いて行われる。例えば、Si/SiGe1-xのスタックでは、HClを用いて、SiGe1-xを選択的に除去してよい。Si/多孔質Siのスタックでは、HF、TMAH、蒸気HF、HF等のエッチング剤と、過酸化水素等の弱い酸化剤とを使用できる。低ドープSi/SiGe1-x/高ドープ(CICE後に多孔質)Si/SiGe1-x等の複数の交互材料のスタックでは、HFを用いて多孔質Siを除去し、次にHClを用いてSiGe1-xを除去できる。
触媒は、全てのフィンがリソグラフィリンクを用いて接続されるように、崩壊を防ぐように設計される。SiSE及び/又は電場を用いてふらつきを抑制できない場合に触媒のふらつきを更に防ぐためには、全てのフィンが崩壊を防ぐために接続されることを確実にしながら、全ての触媒領域を接続するリソグラフィリンクで接続リンクを作る。
崩壊前のフィンの臨界高さは、S/D領域付近のフィンの端部にある接続リンクを用いることで増加できる。これらのリンクは、ゲート、スペーサー及び絶縁材でフィンを安定させた後に除去できる。図32は、フィン構造の長さ及び幅に沿った異なる数のフィンについて、横方向に崩壊する前の臨界高さをプロットしている。50nmのコンタクトゲートピッチ(CGP)の場合、安定性を向上させるために、フィンの両側に10nm幅の接続リンクを用いる。図32に示すように、全てのフィンが正方形メッシュにおいて相互に接続されている場合のフィンの最大高さは、3つの固定面を持つ薄くて長いプレートとしてシミュレーションできる。
図32Aは、フィンの数が1より大きい場合の接続リンクの図である。N=1では、長さbはfinFETのCGPに等しいが、N>1では、長さb=CGP+2(リンク幅)である。図32Bでは、接続されたフィン構造の長さに沿って崩壊する前の臨界高さが、異なるフィン幅f及び1から10の範囲のフィンの数について示される。図32Cでは、接続されたフィン構造の幅に沿って崩壊する前の臨界高さが、異なるフィン幅f及び1から10の範囲のフィンの数について示される。接続されたフィンのより大きなブロックでの触媒のふらつきを防ぐために、6×4フィンのブロックについて以下に示すようにリンクされたメッシュを利用できる。複数のブロックは、完全に分離、又は半導体のリンクを介して接続できる。図32Dは、異なるフィン幅f及び1から10の範囲のフィンの数について、接続されたフィン構造の幅に沿って崩壊する前の臨界高さを示すプロットである。
CICEを用いてエッチングされた接続されたフィンからデバイスを作成するために重要なステップの1つは、フィンのベースにシャロートレンチアイソレーション(STI)領域を作成する誘電体エッチバックである。このステップは、SOI(シリコンオンインシュレータ)ウエハ以外のこの処理の全ての実施形態に対して必要である。SiOx等の誘電体は、原子層堆積等のコンフォーマル堆積法を用いて、幅15nm未満の高アスペクト比のフィン上に堆積できる。誘電体の時限エッチバックは、理想的にはフィン自体に影響を与えずに、フィンのベースに約100nmの厚さのSTIを作成する。通常、この処理にはプラズマエッチングが用いられる。しかしながら、プラズマエッチング処理の物理的要素は、フィンを損傷させる可能性がある。純粋な化学処理に蒸気HFを用いると、フィンを損傷することなく誘電体をエッチバックできる。一の実施形態では、酸化物誘電体堆積の前に、ALDを用いてフィンの周りに別個の材料(窒化シリコン等)を堆積できる。これにより、フィン上にエッチングストップが作成され、選択的なケミカルエッチングにおいて損傷が防止される。
ウエハの中心から端部までの、そのようなエッチバック処理の均一性を制御する必要がある。これは、蒸気HFセットアップにおいて複数の温度ゾーンを用いて実行できる。「先遣」ウエハを用いて、時限エッチングを最適化でき、エッチング速度及び深さに不連続性がある様々な領域をマッピングできる。不連続性は、局所的な高温及び低温ゾーンを作成して局所エッチング速度を変更し、均一なエッチング深さを作ることによって、滑らかにできる。
或いは、シリコン含有ポリマーは、ウエハの端部等の、エッチング速度の高い場所でインクジェットを用いて精密に分配できる。分配される量は、先遣ウエハからのデータを用いて決定できる。次に、基板は最適化された温度でベークされ、基板上に意図的に不均一な高さの材料が作成される。これにより、蒸気HFによる不均一なエッチングがキャンセルされ、よって、フィンにSTIを作成するための最終的なエッチング均一性が、確実に仕様の範囲内に収まるようになる。
他の実施形態では、finFETのバルクSiフィン及びナノシートFETのナノシートフィンは、電場、エッチング剤濃度、及び/又はエッチング後に層が多孔性になるようにエッチングされる層のドーピング濃度を用いてSiSE処理中に多孔質最下層を作成することによって、互いから電気的に孤立できる。多孔質最下層は、100nmの厚さであってよい。次に、多孔質層を選択的に酸化して、フィンの底部に酸化多孔質Siを作成する。これにより、酸化多孔質Siはシャロートレンチアイソレーション(STI)として機能し、フィンを電気的に孤立する。ナノシートFETの場合、多孔質最下層が酸化される場合に交互多孔質層も酸化されてよい。
本技術の各種の実施形態は、FinFETを製造するための技術を提供する。例えば、いくつかの実施形態では、触媒は、リソグラフィを使用し、Pt、Pd、Ru、Au等の不連続触媒を堆積させ、化学機械研磨又はリフトオフを実行することによって、パターニングできる。或いは、触媒の選択的電着は、リソグラフィ後に実行できる。SiSE処理後、触媒材料はウェットエッチング(例えば、王水)を用いて除去するか、若しくは絶縁体を用いてデバイス層から孤立できる。STI層を作成するには、絶縁体は堆積及び平坦化できる。次に、リソグラフィ及び過剰なフィン接続構造のエッチングを実行できる。次に、応力ライナ材料のリソグラフィ及び堆積を実行できる。必要に応じて、時限蒸気HFエッチング等の方法を用いて、シャロートレンチアイソレーション(STI)を得るためのエッチバックを実行できる。ナノシートトランジスタの場合、サスペンデッドナノシートを得るために交互層を選択的に除去する。例えば、いくつかの実施形態は、Siに対して選択的なSiGe1-x、及び/又はSiに対して選択的な多孔性Siをエッチングしてよい。次に、ダミーゲートをパターニングできる。例えば、いくつかの実施形態では、ダミーゲートパターンのリソグラフィが実行され、ダミーゲート材料(酸化物、ポリSi)が堆積されてもよい。ダミーゲートの周りにスペーサーを堆積させ、余分なスペーサー材料をパターニング/エッチングできる。酸化物の充填及びエッチバックが行われてよい。いくつかの実施形態では、リソグラフィを用いて、S/D領域を露出できる。次に、S/D堆積(例えば、ドープされたエピ成長)が行われてよい。ポリSiダミーゲートをエッチング除去し、高k誘電体及び金属ゲートで置換できる。次に、酸化シリコン等の絶縁体を堆積及び平坦化し、トランジスタ層の製造(加工)を完了できる。その後、金属層接点を作成するために更なる処理が行われ、それにより、作動するトランジスタデバイスが作成され、金属層の酸化物の充填及び平坦化を完了できる。
(結論)
文脈上明らかに他に要求されない限り、説明及び特許請求の範囲を通じて、「備える」、「備えている」等の語句は、排他的又は網羅的な意味ではなく、包括的意味で解釈されるべきである。つまり、「含むがこれに限定されない」という意味である。ここで用いられるように、「接続され」、「連結され」、又はその変形の文言は、2つ以上の要素間の直接的又は間接的な接続又は連結を意味する。要素間の連結又は接続は、物理的、論理的、又はそれらの組み合わせでありうる。更に、「ここに」、「上に」、「下に」、及び類似の語句は、本明細書で用いられる場合、全体として本明細書を参照し、本明細書の特定の部分を参照するものではない。文脈が許す場合、上述した詳細な説明において単数又は複数を用いた語句は、夫々、複数又は単数を含んでもよい。「又は」という語句は、2つ以上の項目のリストに関連して、語句の次の解釈の全てを包含する。即ち、リスト内の項目のいずれか、リスト内の全ての項目、及びリスト内の項目の任意の組み合わせである。
本技術の例の上述した詳細な説明は、網羅的であること、又は上記に開示した精密な形態に本技術を限定することを意図しない。本技術の特定の例は例示の目的で上記に説明しているが、当業者が認識するように、本技術の範囲内で各種の同等の変更が可能である。例えば、処理又はブロックが所定の順序で提示されているが、別の実施では、異なる順序で、ステップを含むルーチンを実行、又はブロックを含むシステムを使用してよく、いくつかの処理又はブロックは、削除、移動、追加、再分割、組合せ、及び/又は代替物や部分的組合せを提供するように変更されてよい。これらの処理又はブロックの各々は、様々な方法で実施できる。また、処理又はブロックは、時々、連続して実施されるものとして示されているが、これらの処理又はブロックは、代わりに同時に実行又は実施されてもよく、又は異なる時間に実行されてもよい。更に、本明細書に記載されている特定の数値は単なる例であり、代替実施では異なる値又は範囲を採用してよい。
本明細書に提供された技術の教示は、必ずしも上述したシステムではなく、他のシステムに適用することができる。上述した各種の例の要素及び動作を組み合わせて、本技術の更なる実施を提供できる。本技術のいくつかの代替実施には、上述した実施に対して更なる要素が含まれるだけでなく、より少ない要素が含まれてもよい。
上述した詳細な説明に照らして、これらの変更及びその他の変更を本技術に加えることができる。上述した説明は、技術のある一定の例を説明し、想定される最良の形態を説明するが、上記が文中においてどれほど詳細に見えても、本技術は多くの方法で実践できる。システムの詳細は、ここに開示される技術に包含されながらも、特定の実施においてかなり変化してよい。上述したように、本技術のある特性又は態様を説明する際に用いられる特定の用語は、その用語が関連する技術における特定の特徴、特性、又は態様に限定されるように本明細書で用語が再規定されていると示唆するものと解釈されるべきではない。一般に、上述した詳細な説明のセクションでそのような文言が明確に規定されていない限り、特許請求の範囲で用いられる文言は、本技術を明細書に開示された特定の例に限定するものと解釈されるべきではない。従って、本技術の実際の範囲は、開示された例だけでなく、請求項に基づいて本技術を実践又は実施する全ての同等の方法も包含する。
請求項の数を減らすために、本技術のある一定の態様を、ある一定の請求形態で以下に提示するが、出願人は、本技術の様々な態様を任意の数の請求形態で熟考する。例えば、本技術の1つの態様のみがコンピュータ読取可能媒体の請求項として記載されているが、他の態様も同様にコンピュータ読取可能媒体の請求項として、又はミーンズプラスファンクションクレームで具現化される等の他の形式で具現化してよい。35U.S.C§112(f)で取扱われるように意図された請求項は、「する手段(means for)」という語句で始まるが、他の文脈での「する(for)」という文言の使用は、35U.S.C§112(f)の規定に基づく取扱いを意図したものではない。従って、出願人は、本出願又は継続出願のいずれかにおいて、このような追加の請求形態を追求するために、本出願を提出後に追加の請求形態を追求する権利を留保する。

Claims (6)

  1. 触媒促進ケミカルエッチングの信頼性を向上する方法であって、
    半導体材料の表面上に触媒層をパターニングし、ここで、前記触媒層は、複数のフィーチャと、前記複数のフィーチャの2以上の間の複数のリソグラフィリンクとを含み、
    前記半導体材料の前記表面上の前記パターニングされた触媒層を、エッチング剤にさらし、ここで、前記複数のフィーチャに対応する複数の成形構造と前記複数のリンクに対応する複数のギャップとを形成するために、前記パターニングされた触媒層は、前記半導体材料のエッチングを引き起こし、
    前記複数のギャップを埋めるのに十分な材料を堆積する
    ことを特徴とする方法。
  2. 前記複数のギャップを埋めるのに十分な材料は、SiO2、SiN、Si、W、TiN及び炭素の一以上であることを特徴とする請求項1に記載の方法。
  3. 前記半導体材料は、単結晶バルクシリコンウエハ、基板上に堆積されたポリシリコン層、基板上に堆積されたアモルファスシリコン層、SOI(Silicon on Insulator)ウエハ、及び、基板上に成長したエピタキシャルシリコン層の一つであることを特徴とする請求項1に記載の方法。
  4. 時間変動電場は、エッチングされたナノ構造の複数の交互層を製作するために用いられ、ここで、前記複数の交互層の少なくとも一つが多孔質となることを特徴とする請求項1に記載の方法。
  5. 触媒促進ケミカルエッチングにより、複数の高アスペクト比の半導体構造の実質的な崩壊を防ぐ方法であって、
    半導体材料の表面上に触媒層をパターニングし、ここで、前記触媒層は、複数のフィーチャと、前記複数のフィーチャの2以上の間の複数のリソグラフィギャップとを含み、
    前記半導体材料の前記表面上の前記パターニングされた触媒層をエッチング剤にさらし、ここで、前記複数のフィーチャに対応する複数の成形構造と前記複数のギャップに対応する複数のリンクとを形成するために、前記パターニングされた触媒層は、前記半導体材料のエッチングを引き起こし、
    前記複数のリンクの1以上を変更する
    ことを特徴とする方法。
  6. 前記複数のリソグラフィギャップに対応する前記複数のリンクは、酸化、窒化、選択的エッチング、精密アライメントを伴うリソグラフィ及び前記複数のリンクの一定部分のプラズマエッチングの1以上の方法により選択的に変更されることを特徴とする請求項5に記載の方法。
JP2023127219A 2017-11-28 2023-08-03 触媒促進パターン転写技術 Pending JP2023145718A (ja)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US201762591326P 2017-11-28 2017-11-28
US62/591,326 2017-11-28
US201862665084P 2018-05-01 2018-05-01
US62/665,084 2018-05-01
US201862701049P 2018-07-20 2018-07-20
US62/701,049 2018-07-20
US201862729361P 2018-09-10 2018-09-10
US62/729,361 2018-09-10
PCT/US2018/060176 WO2019108366A1 (en) 2017-11-28 2018-11-09 Catalyst influenced pattern transfer technology
JP2020529365A JP7328220B2 (ja) 2017-11-28 2018-11-09 触媒促進パターン転写技術

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020529365A Division JP7328220B2 (ja) 2017-11-28 2018-11-09 触媒促進パターン転写技術

Publications (1)

Publication Number Publication Date
JP2023145718A true JP2023145718A (ja) 2023-10-11

Family

ID=66665736

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020529365A Active JP7328220B2 (ja) 2017-11-28 2018-11-09 触媒促進パターン転写技術
JP2023127219A Pending JP2023145718A (ja) 2017-11-28 2023-08-03 触媒促進パターン転写技術

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020529365A Active JP7328220B2 (ja) 2017-11-28 2018-11-09 触媒促進パターン転写技術

Country Status (7)

Country Link
EP (1) EP3718133A4 (ja)
JP (2) JP7328220B2 (ja)
KR (1) KR20200090237A (ja)
CN (1) CN111670493A (ja)
SG (1) SG11202005030XA (ja)
TW (1) TW201926460A (ja)
WO (1) WO2019108366A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020176425A1 (en) * 2019-02-25 2020-09-03 Board Of Regents, The University Of Texas System Large area metrology and process control for anisotropic chemical etching
US11355397B2 (en) 2019-05-13 2022-06-07 Board Of Regents, The University Of Texas System Catalyst influenced chemical etching for fabricating three-dimensional SRAM architectures
WO2021102773A1 (en) * 2019-11-28 2021-06-03 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
EP3836194A1 (en) * 2019-12-13 2021-06-16 Imec VZW Metal assisted chemical etch for channel and bit-line scaling in a 3d memory device
WO2021154141A1 (en) * 2020-01-27 2021-08-05 Smena Tech Ab Patterning of multilayer transition metal dichalcogenides
WO2021153169A1 (ja) * 2020-01-27 2021-08-05 株式会社ソシオネクスト 半導体記憶装置
KR20220161474A (ko) * 2020-04-01 2022-12-06 램 리써치 코포레이션 반도체 재료의 선택적인 정밀 에칭
KR20230005380A (ko) * 2020-05-05 2023-01-09 더 보드 오브 리젠츠 오브 더 유니버시티 오브 텍사스 시스템 무 붕괴 고 종횡비 나노구조체의 나노제작
US11257758B2 (en) * 2020-06-24 2022-02-22 Taiwan Semiconductor Manufacturing Company Limited Backside connection structures for nanostructures and methods of forming the same
WO2022094350A1 (en) * 2020-10-29 2022-05-05 Board Of Regents, The University Of Texas System Equipment and process technologies for catalyst influenced chemical etching
CN112621779B (zh) * 2020-12-18 2022-04-08 南京鼓楼医院 一种近红外驱动的可视化Janus结构色软体机器人及其制备方法
GB202020822D0 (en) * 2020-12-31 2021-02-17 Spts Technologies Ltd Method and apparatus
KR20230129292A (ko) * 2021-01-21 2023-09-08 램 리써치 코포레이션 에칭 프론트 금속 촉매를 사용하는 고 종횡비 메모리를 위한 프로파일 최적화
CN113134971B (zh) * 2021-04-26 2022-07-19 长春理工大学 仿生鲨鱼皮结构的制造系统和制造方法
CN113824826B (zh) * 2021-09-18 2023-05-30 广东阿特斯科技有限公司 一种3d打印手机背板生产工艺
EP4152394A1 (de) * 2021-09-20 2023-03-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum erzeugen von vertikalen kanalstrukturen in dreidimensional integrierten halbleiterspeichern
WO2023166608A1 (ja) * 2022-03-02 2023-09-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体素子を用いたメモリ装置
CN117153785B (zh) * 2023-10-27 2024-03-01 合肥晶合集成电路股份有限公司 一种半导体结构的制作方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9095639B2 (en) * 2006-06-30 2015-08-04 The University Of Akron Aligned carbon nanotube-polymer materials, systems and methods
WO2010027962A2 (en) * 2008-09-04 2010-03-11 The Board Of Trustees Of The University Of Illinois Method of forming a nanoscale three-demensional pattern in a porous semiconductor
WO2010114887A1 (en) * 2009-03-31 2010-10-07 Georgia Tech Research Corporation Metal-assisted chemical etching of substrates
US8193095B2 (en) * 2010-05-28 2012-06-05 National Taiwan University Method for forming silicon trench
US9281206B2 (en) * 2011-10-12 2016-03-08 The Regents Of The University Of California Semiconductor processing by magnetic field guided etching
GB201122315D0 (en) * 2011-12-23 2012-02-01 Nexeon Ltd Etched silicon structures, method of forming etched silicon structures and uses thereof
GB201205178D0 (en) * 2012-03-23 2012-05-09 Nexeon Ltd Etched silicon structures, method of forming etched silicon structures and uses thereof
US8951430B2 (en) * 2012-04-18 2015-02-10 The Board Of Trustees Of The University Of Illinois Metal assisted chemical etching to produce III-V semiconductor nanostructures
US10134634B2 (en) * 2014-11-04 2018-11-20 Georgia Tech Research Corporation Metal-assisted chemical etching of a semiconductive substrate with high aspect ratio, high geometic uniformity, and controlled 3D profiles
KR101680070B1 (ko) * 2015-04-21 2016-11-30 연세대학교 산학협력단 반도체 구조 제조 방법 및 기판 식각 방법
JP6444805B2 (ja) * 2015-05-12 2018-12-26 株式会社東芝 半導体チップの製造方法
US10134599B2 (en) * 2016-02-24 2018-11-20 The Board Of Trustees Of The University Of Illinois Self-anchored catalyst metal-assisted chemical etching
CN109072451B (zh) * 2016-03-18 2021-08-03 麻省理工学院 纳米多孔半导体材料及其制造
JP2017201660A (ja) * 2016-05-04 2017-11-09 株式会社ザイキューブ 半導体基板への孔の形成方法及びそれに用いるマスク構造
JP6081647B1 (ja) * 2016-07-28 2017-02-15 株式会社東芝 エッチング方法、半導体チップの製造方法及び物品の製造方法

Also Published As

Publication number Publication date
TW201926460A (zh) 2019-07-01
CN111670493A (zh) 2020-09-15
KR20200090237A (ko) 2020-07-28
WO2019108366A1 (en) 2019-06-06
EP3718133A4 (en) 2021-11-24
SG11202005030XA (en) 2020-06-29
EP3718133A1 (en) 2020-10-07
JP7328220B2 (ja) 2023-08-16
JP2021504961A (ja) 2021-02-15

Similar Documents

Publication Publication Date Title
JP7328220B2 (ja) 触媒促進パターン転写技術
TWI759693B (zh) 用於異向性化學蝕刻之大面積計量和製程控制
US11594451B2 (en) Platform and method of operating for integrated end-to-end fully self-aligned interconnect process
US11015258B2 (en) Feedback control of dimensions in nanopore and nanofluidic devices
TWI721303B (zh) 記憶體裝置的階梯結構
US10896844B2 (en) Staircase formation in three-dimensional memory device
WO2020186423A1 (en) High-k dielectric layer in three-dimensional memory devices and methods for forming the same
US11056440B2 (en) Methods of manufacturing semiconductor device and semiconductor device
TW201523734A (zh) 基板上形成互連圖案的方法
US20150170923A1 (en) Feature Size Reduction in Semiconductor Devices by Selective Wet Etching
US10504907B2 (en) Antifuse array and method of forming antifuse using anodic oxidation
US20230187213A1 (en) Nanofabrication of collapse-free high aspect ratio nanostructures
KR102445065B1 (ko) 반도체 장치 및 이를 위한 방법
US11915926B2 (en) Percolation doping of inorganic-organic frameworks for multiple device applications
Barrera Resolution limits of metal assisted chemical etching of polysilicon
CN113745403A (zh) 集成电路以及制造方法
CN114709190A (zh) 包括背面导通孔的集成电路及其制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230904