KR20230129292A - 에칭 프론트 금속 촉매를 사용하는 고 종횡비 메모리를 위한 프로파일 최적화 - Google Patents

에칭 프론트 금속 촉매를 사용하는 고 종횡비 메모리를 위한 프로파일 최적화 Download PDF

Info

Publication number
KR20230129292A
KR20230129292A KR1020227044704A KR20227044704A KR20230129292A KR 20230129292 A KR20230129292 A KR 20230129292A KR 1020227044704 A KR1020227044704 A KR 1020227044704A KR 20227044704 A KR20227044704 A KR 20227044704A KR 20230129292 A KR20230129292 A KR 20230129292A
Authority
KR
South Korea
Prior art keywords
features
etching
metal catalyst
stack
etch
Prior art date
Application number
KR1020227044704A
Other languages
English (en)
Inventor
레오니드 벨라우
에릭 허드슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230129292A publication Critical patent/KR20230129292A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

에칭 챔버 내 패터닝된 마스크 아래 실리콘 함유 스택의 피처들을 에칭하기 위한 방법이 제공된다. 스택은 할로겐 함유 에칭 가스를 제공하고 할로겐 함유 에칭 가스를 할로겐 함유 플라즈마로 형성함으로써 부분적으로 에칭되고, 할로겐 함유 플라즈마는 스택 내로 피처들을 부분적으로 에칭하고, 피처들은 에칭 프론트 (etch front) 를 갖는다. 금속 촉매 함유 층은 금속 촉매 함유 가스를 제공하고, 금속 촉매 함유 가스를 금속 촉매 함유 플라즈마로 형성하고, 그리고 피처들의 상단부들보다 피처들의 하단부들 및 에칭 프론트 상에 더 많은 금속 촉매 함유 층을 선택적으로 증착함으로써 피처들의 에칭 프론트 상에 증착된다. 피처들은 불소 함유 에칭 가스를 제공하고 불소 함유 에칭 가스를 불소 함유 플라즈마로 형성함으로써 추가 에칭되고, 여기서 불소 함유 플라즈마는 피처들의 상단부들에 인접한 측벽들에 대해 피처들의 에칭 프론트에 인접한 측벽들을 선택적으로 에칭한다.

Description

에칭 프론트 금속 촉매를 사용하는 고 종횡비 메모리를 위한 프로파일 최적화
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법에 관한 것이다.
반도체 디바이스들을 형성할 때, 에칭 층들은 메모리 홀들 또는 라인들 또는 다른 반도체 피처들을 형성하도록 에칭될 수도 있다. 일부 반도체 디바이스들은 예를 들어, 동적 랜덤 액세스 메모리 (dynamic random access memory; DRAM) 에 커패시터를 형성하기 위해, 실리콘 다이옥사이드 (SiO2) 의 단일 스택을 에칭함으로써 형성될 수도 있다. 다른 반도체 디바이스들은 교번하는 실리콘 다이옥사이드 (oxide) 와 실리콘 나이트라이드 (nitride) (ONON) 의 이중층 (bilayers), 또는 교번하는 실리콘 다이옥사이드와 폴리실리콘 (OPOP) 의 이중층의 스택들을 에칭함으로써 형성될 수도 있다. 이러한 스택들은 메모리 애플리케이션들 및 3 차원 "not and" 게이트들 (3D NAND) 에 사용될 수도 있다. 이들 스택들은 유전체들의 상대적으로 고 종횡비 (high aspect ratio; HAR) 에칭을 필요로 하는 경향이 있다. 고 종횡비 에칭들에 대해, 목표된 에칭 특성들의 예들은 마스크 (예컨대 비정질 탄소 마스크) 에 대한 고 에칭 선택도, 직선 프로파일들을 갖는 저 측벽 에칭, 및 에칭 프론트 (etch front) 에서의 고 에칭 레이트이다. 일부 고 종횡비 에칭들은 하단부보다 상단부에서 훨씬 더 넓은 테이퍼링된 (tapered) 피처들을 발생시킨다. 이러한 피처들은 디바이스 고장을 증가시키거나 디바이스 밀도, 디바이스 성능, 및 디바이스 깊이를 제한할 수도 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정보, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
관련 출원에 대한 교차 참조
본 출원은 2021년 1월 21일에 출원된 미국 특허 출원 번호 제 63/140,134 호의 우선권의 이익을 주장하고, 이는 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 에칭 챔버 내 패터닝된 마스크 아래 실리콘 함유 스택의 피처들을 에칭하기 위한 방법이 제공된다. 스택은 할로겐 함유 에칭 가스를 제공하고 할로겐 함유 에칭 가스를 할로겐 함유 플라즈마로 형성함으로써 부분적으로 에칭되고, 할로겐 함유 플라즈마는 스택 내로 피처들을 부분적으로 에칭하고, 피처들은 에칭 프론트 (etch front) 를 갖는다. 금속 촉매 함유 층은 금속 촉매 함유 가스를 제공하고, 금속 촉매 함유 가스를 금속 촉매 함유 플라즈마로 형성하고, 그리고 피처들의 상단부들보다 피처들의 하단부들 및 에칭 프론트 상에 더 많은 금속 촉매 함유 층을 선택적으로 증착함으로써 피처들의 에칭 프론트 상에 증착된다. 피처들은 불소 함유 에칭 가스를 제공하고 불소 함유 에칭 가스를 불소 함유 플라즈마로 형성함으로써 추가 에칭되고, 여기서 불소 함유 플라즈마는 피처들의 상단부들에 인접한 측벽들에 대해 피처들의 에칭 프론트에 인접한 측벽들을 선택적으로 에칭한다.
또 다른 현상에서, 에칭 시 패터닝된 마스크 아래 실리콘 함유 스택의 피처들을 에칭하기 위한 방법이 제공된다. 스택은 할로겐 함유 에칭 가스를 제공하고 할로겐 함유 에칭 가스를 할로겐 함유 플라즈마로 형성함으로써 부분적으로 에칭되고, 할로겐 함유 플라즈마는 스택 내로 피처들을 부분적으로 에칭하고, 피처들은 에칭 프론트를 갖는다. 라이너가 피처들의 측벽들 상에 증착된다. 금속 촉매 함유 층은 금속 촉매 함유 가스를 제공하고 그리고 금속 촉매 함유 가스를 금속 촉매 함유 플라즈마로 형성함으로써 라이너 상에 증착되고, 여기서 금속 촉매 함유 플라즈마는 금속 촉매 함유 층으로 하여금 라이너 상에 증착되게 한다. 피처들은 불소 함유 에칭 가스를 제공하고 불소 함유 에칭 가스를 불소 함유 플라즈마로 형성함으로써 추가 에칭되고, 여기서 불소 함유 플라즈마는 에칭 프론트 근방에서 라이너를 선택적으로 에칭하고 그리고 금속 촉매 함유 층의 플라즈마를 형성하고, 금속 촉매 함유 층의 플라즈마는 에칭 프론트를 등방성으로 (isotropically) 에칭한다.
본 개시의 이들 및 다른 특징들은 본 개시의 상세한 기술 (description) 및 이하의 도면들과 함께 아래에 보다 상세하게 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면들에, 제한이 아니라 예로서 예시된다.
도 1은 일 실시 예의 고 레벨 플로우차트이다.
도 2a 내지 도 2d는 일 실시 예에 따라 프로세싱된 스택의 개략적 단면도들이다.
도 3은 일 실시 예에서 사용될 수도 있는 에칭 챔버의 개략도이다.
도 4는 일 실시 예를 실시하는 데 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
본 개시 (disclosure) 는 첨부한 도면들에 예시된 바와 같이 개시의 일부 바람직한 실시 예들을 참조하여 이제 상세히 기술될 것이다. 이하의 기술 (description) 에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 개시가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자들에게 명백할 것이다. 다른 예들에서, 잘 공지된 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
고 종횡비 (high aspect ratio; HAR) 콘택트들의 건식 현상은 측벽의 테이퍼링 (tapering) 각도의 엄격한 제어를 필요로 한다. 다양한 방법들은 에칭된 구조체들의 상단 부분과 하단 부분 사이의 측방향 임계 치수 (critical dimension; CD) 차들을 제한하려고 한다. 증가된 수의 ONON 이중층 (bilayer) 또는 OPOP 이중층을 갖는 더 두꺼운 구조체들을 갖는 3D NAND 메모리의 최근 개발로, 상단부 기하 구조 및 하단부 기하 구조의 엄격한 제어에 대한 요구가 특히 상당하다. 프로파일 (상단부 CD와 하단부 CD 사이의 차) 이 증가하는 경우, 후속하는 디바이스 제작 단계들은 디바이스 성능에 영향을 줄 위험이 있을 것이다. 현재 기술 (technology) 에서, 고 종횡비 구조체들의 반응성 이온 에칭은 CD 측방향 부식을 보호하기 위해 측벽 증착에 의존한다. 에칭과 측벽 증착 사이의 미묘한 균형은 특히 고 종횡비 피처들에 대해 유지하기 어렵다. 그 결과, 고 종횡비 건식 현상은 더 얇은 구조체들로 제한되고 그리고 두꺼운 스택이 에칭될 수 있도록 상당한 복잡한 현상을 필요로 한다.
본 명세서에 기술된 실시 예들은 스택으로 에칭된 더 깊은 고 종횡비 피처들을 제공하고, 여기서 피처들의 상단부 근방의 피처들의 폭들은 피처들의 하단부들 근방의 피처들의 폭들과 거의 같다. 이해를 용이하게 하기 위해, 도 1은 일 실시 예의 고 레벨 플로우 차트이다. 이 예시적인 실시 예에서, 스택이 에칭 챔버 내에 배치된다 (단계 104). 도 2a는 스택 (204) 의 개략적 단면도이다. 이 실시 예에서, 스택 (204) 은 패터닝된 마스크 (216) 아래에 배치된 복수의 이중층들 (212) 아래 기판 (208) 을 포함한다. 이 예에서, 하나 이상의 층들이 기판 (208) 과 복수의 이중층들 (212) 및/또는 복수의 이중층들 (212) 과 패터닝된 마스크 (216) 사이에 배치될 수도 있다. 이 예에서, 패터닝된 마스크 (216) 는 비정질 탄소와 같은 탄소 함유 패터닝된 마스크이다. 이 실시 예는 복수의 이중층들 (212) 위 또는 패터닝된 마스크 (216) 위에 실리콘 함유 마스크를 갖지 않는다. 이 예에서, 패터닝된 마스크 패턴은 고 종횡비 콘택트들을 위해 마스크 피처들 (220) 을 제공한다. 일부 실시 예들에서, 마스크 피처들 (220) 은 스택 (204) 이 에칭 챔버 내에 배치되기 전에 형성된다. 다른 실시 예들에서, 마스크 피처들 (220) 은 스택 (204) 이 에칭 챔버 내에 있는 동안 형성된다. 이 실시 예에서, 이중층 (212) 각각은 실리콘 옥사이드 (224) 층 및 실리콘 나이트라이드 (228) 층을 포함한다.
스택 (204) 은 부분적으로 에칭된다 (단계 108). 에칭 가스는 에칭 챔버 내로 흐른다. 이 예에서, 에칭 가스는 탄소 테트라플루오라이드 (CF4) 를 갖는 가스와 같은 할로겐 함유 가스이거나 더 일반적으로 플루오로카본 (CFx) 컴포넌트일 수도 있다. 이 예에서, 5 내지 60 mTorr의 압력이 제공된다. 에칭 가스는 에칭 플라즈마로 형성된다. 에칭 플라즈마는 할로겐 함유 플라즈마이다. 이는 200 내지 20000 W에서 60 ㎒의 주파수를 갖는 여기 (excitation) 무선 주파수 (radio frequency; RF) 를 제공함으로써 달성될 수도 있다. 스택 (204) 은 에칭 플라즈마에 노출된다. 적어도 약 200 V의 크기를 갖는 바이어스가 제공된다. 이 실시 예에서, 바이어스는 정전 척 (electrostatic chuck; ESC) 소스에 의해 ESC에 2 ㎾ 내지 100 ㎾에서 400 ㎑의 주파수를 갖는 RF를 제공함으로써 제공된다. 바이어스는 이온들로 하여금 스택 (204) 으로 가속화되게 하여 패터닝된 마스크 (216) 에 대해 복수의 이중층들 (212) 내로 고 종횡비 에칭 피처들의 선택적인 에칭을 유발한다. 에칭 플라즈마는 180 내지 3600 초 동안 유지된다. 에칭은 실리콘 옥사이드 (224) 층 및 실리콘 나이트라이드 (228) 층 모두를 에칭할 수 있다. 다양한 실시 예들에서, 에칭 가스는 티타늄 프리 (titanium free) 이다. 다양한 실시 예들에서, 에칭 가스는 금속 촉매 프리 (metal catalyst free) 이다. 금속 촉매는 본 명세서에서 반응들에서 실리콘 플루오르화를 위한 활성화 배리어를 감소시키는 금속 함유 재료로서 규정된다. 이 실시 예에서, 금속 촉매는 티타늄이다. 다양한 실시 예들에서, 에칭 가스는 금속 프리 (metal free) 이다. 에칭 가스가 금속 촉매가 아닌 금속 함유 컴포넌트를 갖는다면, 금속 촉매 프리 에칭 가스는 금속 프리가 아닐 수도 있다. CF4의 탄소 컴포넌트는 에칭 동안 피처들의 측벽들을 보호하기 위해 탄소 패시베이션 (passivation) 층을 증착하도록 사용될 수도 있다. 다른 실시 예들에서, 에칭 가스는 별도의 탄소 함유 컴포넌트를 더 포함할 수도 있다.
도 2b는 부분적으로 에칭된 피처들 (240) 을 형성하도록 스택이 부분적으로 에칭된 후 스택 (204) 의 개략적인 단면도이다. 이 실시 예에서, 복수의 이중층들 (212) 은 높이 H를 갖는다. 이 실시 예에서, 피처들 (240) 은 복수의 이중층들 (212) 의 높이 H와 동일한 최종 깊이를 가질 것이다. 다른 실시 예들에서, 피처들 (240) 은 높이 H보다 더 작은 최종 깊이를 갖는다. 부분적인 에칭은 피처들 (240) 로 하여금 테이퍼링되게 한다. 이 실시 예에서, 피처들 (240) 의 상단부들은 W1의 상단 폭을 갖고, 피처들 (240) 의 하단부들은 W2의 하단 폭을 갖고, 여기서 W1은 W2보다 더 크다. 다양한 실시 예들에서, W1 대 W2의 비는 2:1 내지 10:1의 범위 내이다. 부분적인 에칭은 피처들의 최종 깊이의 적어도 90 %를 에칭한다. 부분적인 에칭은 티타늄 프리이다. 이 실시 예에서, 부분적인 에칭은 금속 촉매 프리이다. 부분적인 에칭은 에칭된 피처들 (240) 의 깊이가 피처들 (240) 의 폭보다 훨씬 더 크다는 점에서 알 수 있는 바와 같이, 매우 이방성 (anisotropic) 에칭이다.
부분적인 에칭이 완료된 후, 금속 촉매 함유 층이 부분적으로 에칭된 피처들 (240) 내에 증착된다 (단계 112). 이 실시 예에서, 금속 촉매 함유 가스가 제공된다. 이 실시 예에서, 금속 촉매 함유 가스는 티타늄 테트라클로라이드 (TiCl4) 이다. 금속 촉매 함유 가스는 금속 촉매 함유 플라즈마로 형성된다. 이는 50 내지 20000 W에서 60 ㎒의 주파수를 갖는 여기 RF를 제공함으로써 달성될 수도 있다. 스택 (204) 은 금속 촉매 함유 플라즈마에 노출된다. 적어도 약 20 V의 크기를 갖는 바이어스가 제공된다. 이 실시 예에서, 바이어스는 ESC 소스에 의해 ESC에 100 W 내지 100 ㎾에서 400 ㎑의 주파수를 갖는 RF를 제공함으로써 제공된다. 바이어스는 이온들로 하여금 스택 (204) 으로 가속화되게 하여 금속 촉매 함유 층이 부분적으로 에칭된 피처들 (240) 의 하단부에 증착되게 한다. 이 실시 예에서, 금속 촉매는 부분적으로 에칭된 피처들 (240) 의 상단부보다 부분적으로 에칭된 피처들 (240) 의 하단부 상에 더 많은 금속 촉매 함유 층이 증착되도록, 부분적으로 에칭된 피처들 (240) 의 하단부 상에 선택적으로 증착되어 금속 촉매 함유 층을 형성한다.
도 2c는 금속 촉매 함유 층 (244) 이 증착된 후 스택 (204) 의 개략적인 단면도이다. 이 실시 예에서, 금속 촉매 함유 층 (244) 은 부분적으로 에칭된 피처들 (240) 의 상단부보다 부분적으로 에칭된 피처들 (240) 의 하단부 상에 더 많은 금속 촉매 함유 층 (244) 이 증착되도록, 도시된 바와 같이, 부분적으로 에칭된 피처들 (240) 의 하단부 근방에서 상승된 밀도를 갖는 에칭된 피처의 측벽들 상에 증착된다. 이 예에서, 금속 촉매 함유 층 (244) 은 에칭된 피처들 (240) 의 하단부 및 부분적으로 에칭된 피처들 (240) 의 하단부 근방의 에칭된 피처들의 측벽들 상에 증착된다. 이 실시 예에서, 금속 촉매 함유 층 (244) 은 티타늄을 포함한다. 이 실시 예에서, 금속 촉매 함유 층 (244) 은 부분적으로 에칭된 피처들 (240) 의 상단 50 % 상에 증착되지 않는다. 다른 실시 예들에서, 금속 촉매 함유 층 (244) 은 부분적으로 에칭된 피처들 (240) 의 상단 75 % 상에 증착되지 않는다. 대신, 90 중량%의 금속 촉매 함유 층 (244) 이 부분적으로 에칭된 피처들 (240) 의 하단부 상의 에칭 프론트 (etch front) 및 부분적으로 에칭된 피처들 (240) 의 측벽들의 하단 10 % 상에 증착된다. 이 실시 예에서, 금속 촉매 함유 층 (244) 은 1 내지 5 개 단층들 (monolayers) 두께이다. 이 실시 예에서, 금속 촉매 함유 층 (244) 은 0.1 ㎚ 내지 10 ㎚의 두께를 갖는다. 일 실시 예에서, 50 중량% 초과의 금속 촉매 함유 층 (244) 이 부분적으로 에칭된 피처들의 하단 50 % 상에 증착된다. 일 실시 예에서, 75 중량% 초과의 금속 촉매 함유 층 (244) 이 부분적으로 에칭된 피처들의 하단 50 % 상에 증착된다.
부분적으로 에칭된 피처들 (240) 이 추가 에칭된다 (단계 116). 이 예에서, 에칭 가스는 CFx 컴포넌트를 갖는 가스, 예를 들어 CF4와 같은 불소 함유 가스이다. 다른 실시 예들에서, 불소 함유 가스는 플루오로카본 (CxFy) 또는 하이드로플루오로카본 (CxHyFz) 일 수도 있다. 에칭 가스는 산소 (O2), 수소 (H2), 질소 트리-플루오라이드 (NF3), 등과 같은 부가적인 첨가제들을 가질 수도 있다. 이 예에서, 5 내지 60 mTorr의 압력이 제공된다. 에칭 가스는 에칭 플라즈마로 형성된다. 이 실시 예에서, 에칭 플라즈마는 불소 함유 플라즈마이다. 이는 200 내지 20000 W에서 60 ㎒의 주파수를 갖는 여기 RF를 제공함으로써 달성될 수도 있다. 스택 (204) 은 에칭 플라즈마에 노출된다. 적어도 약 200 V의 크기를 갖는 바이어스가 제공된다. 이 실시 예에서, 바이어스는 2 ㎾ 내지 100 ㎾ 소스에서 400 ㎑의 주파수를 갖는 RF를 ESC에 제공함으로써 제공된다. 바이어스는 이온들로 하여금 스택 (204) 으로 가속화되게 하여 패터닝된 마스크 (216) 에 대해 복수의 이중층들 (212) 내로 고 종횡비 에칭 피처들의 선택적인 에칭을 유발한다. 이 실시 예에서, 피처들 (240) 의 에칭이 완료된다. 앞서 주지된 바와 같이, 금속 촉매 함유 층 (244) 은 금속 촉매로서 작용하는 티타늄을 포함한다. 티타늄은 금속 촉매 함유 층 (244) 이 증착되는 부분적으로 에칭된 피처들 (240) 의 하단부 근방에서 주로 등방성 (isotropic) 에칭을 증가시킨다. (플라즈마로부터 또는 에칭 부산물들로서) 산소의 존재 시, 티타늄은 티타늄 다이옥사이드 (TiO2) 를 형성하도록 쉽게 산화될 것이다. TiO2는 a) 반응들에서 실리콘 플루오르화를 위한 활성화 배리어를 감소시키고, b) 에칭 프론트에서 자유 불소 밀도를 상승시키고, 그리고 c) 불소와 같은 반응성 종 재결합을 감소시킴으로써 반응성 이온 에칭을 향상시킬 수 있다. 금속 촉매의 존재는 피처들의 상단부들에 인접한 측벽들에 대해 에칭 프론트에 인접한 측벽들의 선택적인 에칭을 유발한다. 이 실시 예에서, 금속 촉매로부터의 플라즈마는 에칭 프론트를 등방성으로 에칭하게 한다.
도 2d는 피처들 (240) 이 추가 에칭된 후 스택 (204) 의 개략적인 단면도이다. 금속 촉매 함유 층 (244) (도 2c에 도시됨) 은 피처들 (240) 의 하단부들 근방 측벽들 및 에칭 프론트를 더 빠르게 에칭하게 하여 피처들 (240) 로 하여금 완전히 에칭되게 하고 피처들의 하단부에서의 폭들 W4를 증가시키게 한다. 이 실시 예에서, 피처들 (240) 의 상단부들의 폭은 W3이다. 다양한 실시 예들에서, W3 대 W4의 비는 1:1.05 내지 1.05:1의 범위 내이다. 이 실시 예에서, 최종 피처 깊이는 복수의 이중층들 (212) 의 높이 H이다. 따라서, 부분적인 에칭은 복수의 이중층들 (212) 의 높이 H의 적어도 90 %의 깊이를 갖도록 피처들 (240) 을 에칭한다. 이에 더하여, 보잉 (bowing) 이 감소될 수 있다. 보잉은 피처들 (240) 의 상단부와 피처들 (240) 의 하단부 사이의 피처들의 폭들이 W3 및 W4보다 더 클 때의 조건으로 규정된다. 일 실시 예에서, 피처들 (240) 을 따른 임의의 2 개의 지점들에서 폭들 사이의 차는 폭들 중 하나의 10 %보다 더 작다.
ONON (옥사이드/나이트라이드) 다층 스택은 3D NAND 메모리 디바이스를 제조할 때 콘택트 홀들 또는 트렌치들과 같은 피처들을 형성하도록 에칭될 수도 있다. 다른 실시 예들이 동적 랜덤 액세스 메모리 (dynamic random access memory; DRAM) 커패시터 에칭을 위해 사용될 수도 있다. 다른 실시 예들이 실리콘 옥사이드와 폴리실리콘 이중층들 (OPOP) 을 에칭하도록 사용될 수도 있다. 실시 예들은 1 ㎛보다 더 큰 에칭 깊이를 제공한다. 다른 실시 예들에서, 에칭 깊이는 10 ㎛보다 더 크다. 이러한 실시 예들은 1 ㎛보다 더 작은 두께를 갖는 단일 비정질 탄소 마스크를 사용하는 단일 에칭 단계에서 적어도 48 개의 실리콘 옥사이드와 실리콘 나이트라이드 이중층들의 에칭을 허용한다. 이에 더하여, 콘택트들은 바람직하게 30:1보다 더 큰 에칭 깊이 대 폭 종횡비를 갖는다. 다양한 실시 예들은 20 ㎚ 내지 120 ㎚의 상단부 및 하단부 피처 폭을 갖는다.
일 실시 예의 이점은 고 종횡비 피처의 프로파일의 더 정밀한 제어를 가질 수 있는 디바이스 제작 업체의 능력이다. 다양한 실시 예들은 매우 고 종횡비 피처들에 대해 하단 CD를 증가시킬 수 있다. 다양한 실시 예들은 더 고 종횡비들을 갖는 더 깊은 구조체들에 의존하는 차세대 디바이스들을 가능하게 한다. 다양한 실시 예들은 고 종횡비 콘택트들의 개발을 위한 단계들의 수를 감소시킴으로써 디바이스 제작 비용을 감소시킨다. 다양한 실시 예들은 피처들 (240) 의 깊이를 따른 임의의 2 개의 지점들에서 폭들 사이의 차가 10 %보다 더 작도록 피처들의 깊이를 따른 피처들의 폭의 변동을 감소시킨다.
일부 실시 예들에서, 스택은 실리콘 옥사이드 또는 실리콘 나이트라이드의 단일 층 또는 실리콘의 단일 층일 수도 있다. 다른 실시 예들에서, 스택은 다른 실리콘 함유 재료들의 단일 층 또는 복수의 층들일 수도 있다. 일부 실시 예들에서, 실리콘 옥사이드 에칭의 산소 함유 부산물들은 피처들 (240) 내의 증착된 금속 촉매 함유 층 (244) 의 촉매 특성들을 향상시킬 것이다. 이는 실리콘 함유 구조체의 등방성 에칭을 증가시킬 것이다. 이에 더하여, 실리콘 나이트라이드의 질소는 금속 촉매에 의해 촉진된 등방성 에칭을 증가시킨다. 다른 실시 예들에서, 산소 (O2) 는 금속 촉매 함유 층 (244) 의 촉매 특성들을 향상시키도록 가스 혼합물에 첨가될 수 있다.
다른 실시 예들에서, 금속 촉매 함유 층 (244) 은 카드뮴 함유 컴포넌트, 텅스텐 함유 컴포넌트, 및 팔라듐 함유 컴포넌트 중 적어도 하나를 포함할 수도 있다. 다양한 실시 예들에서, 금속 촉매 함유 가스는 티타늄 테트라클로라이드 (TiCl4), 티타늄 부톡사이드 (Ti(OBu)4), 티타늄 에톡사이드 (Ti(OC2H5)4), 티타늄 프로폭사이드 (Ti(OCH(CH3)2)4), 및 트리메틸(펜타메틸사이클로펜타디에닐티타늄 ((C5(CH3)5)Ti(OCH3)3) 중 적어도 하나를 포함한다. 일부 실시 예들에서, 금속 촉매 함유 가스는 Ti(NR1R2)4 형태의 티타늄 함유 컴포넌트를 포함할 수도 있고, 여기서 R1 및 R2는 메틸 이온 (CH3), 에틸 이온 (C2H5), 또는 프로필 이온 (C3H7) 일 수 있다. 이러한 금속 촉매의 일 예는 테트라키스(디메틸아미노)티타늄 (tetrakis (dimethylamino)titanium) (TDMAT) 이고, 여기서 R1 = R2 = CH3은 화학식 Ti(N(CH3)2)4를 제공한다. 다른 금속 촉매 함유 가스들이 사용될 수도 있지만, 더 휘발성인 금속 촉매 함유 가스들이 더 많은 금속 촉매 컴포넌트를 피처들 (240) 의 하단부에 증착할 것이다. 가스들이 250 ℃ 이상의 온도에서 제공된다면, 티타늄 테트라플루오라이드 (TiF4), 티타늄 테트라브로마이드 (TiBr4), 및 티타늄 테트라클로라이드 (TiCl4) 가 금속 촉매 함유 가스들로서 사용될 수도 있다.
또 다른 실시 예에서, 탄소 기반 라이너는 부분적인 에칭 후에 부분적으로 에칭된 피처들 (240) 의 측벽들 상에 증착된다. 금속 촉매 함유 층 (244) 이 탄소 기반 라이너 위에 증착된다. 탄소 기반 라이너는 금속 촉매 함유 층 (244) 이 적어도 피처들의 상단부 근방에서 피처들의 측벽들 상에 직접적으로 증착되는 것을 방지한다. 스택이 추가 에칭된다 (단계 116). 추가 에칭은 에칭 프론트에서 라이너를 에칭 제거하고 (etch away) 또한 금속 촉매 함유 플라즈마를 생성한다. 금속 촉매의 플라즈마는 에칭 프론트를 등방성으로 에칭한다. 라이너가 제거된 에칭 프론트 근방의 피처의 부분들은, 피처들의 에칭 프론트의 등방성 에칭을 유발하는 금속 촉매 함유 플라즈마에 노출되는 피처의 유일한 부분이다. 이러한 실시 예들에서, 금속 촉매는 라이너가 제거된 피처들의 하단부 부분들의 에칭만을 증가시킨다. 일부 실시 예들에서, 라이너는 탄소 대신 또 다른 재료로 이루어질 수도 있다. 이러한 실시 예들에서, 라이너는 금속 촉매 프리이다.
도 3은 일 실시 예에서 사용될 수도 있는 에칭 반응기 시스템 (300) 의 개략도이다. 하나 이상의 실시 예들에서, 에칭 반응기 시스템 (300) 은 챔버 벽 (352) 에 의해 인클로징된 (enclose) 에칭 챔버 (309) 내에 가스 유입부를 제공하는 가스 분배 플레이트 (306) 및 정전 척 (ESC) (308) 을 포함한다. 에칭 챔버 (309) 내에서, 스택 (304) 이 ESC (308) 위에 포지셔닝된다. ESC (308) 는 ESC 소스 (348) 로부터 바이어스를 제공할 수도 있다. 에칭 가스 소스 (310) 는 가스 분배 플레이트 (306) 를 통해 에칭 챔버 (309) 에 연결된다. ESC 온도 제어기 (350) 는 ESC (308) 에 연결된다. 무선 주파수 (RF) 소스 (330) 가 이 실시 예에서 각각 ESC (308) 및 가스 분배 플레이트 (306) 인, 하부 전극 및/또는 상부 전극에 RF 전력을 제공한다. 일 예시적인 실시 예에서, 400 ㎑ (kilohertz), 60 ㎒ (megahertz), 및 선택 가능하게 (optionally) 2 ㎒, 27 ㎒ 전력 소스들이 RF 소스 (330) 및 ESC 소스 (348) 를 구성한다 (make up). 이 실시 예에서, 상부 전극은 접지된다. 이 실시 예에서, 일 생성기가 주파수 각각에 제공된다. 다른 실시 예들에서, 생성기들은 개별적인 RF 소스들 내에 있을 수도 있고, 또는 개별적인 RF 생성기들이 상이한 전극들에 연결될 수도 있다. 예를 들어, 상부 전극은 상이한 RF 소스들에 연결된 내측 전극 및 외측 전극을 가질 수도 있다. RF 소스들 및 전극들의 다른 구성들이 다른 실시 예들에서 사용될 수도 있다. 제어기 (335) 는 RF 소스 (330), ESC 소스 (348), 배기 펌프 (320) 및 에칭 가스 소스 (310) 에 제어 가능하게 연결된다. 이러한 에칭 챔버의 일 예는 CA, Fremont 소재의 Lam Research Corporation에 의해 제작된 Flex?? 에칭 시스템이다. 프로세스 챔버는 CCP 반응기 또는 유도 커플링된 플라즈마 (inductively coupled plasma; ICP) 반응기일 수 있다.
도 4는 실시 예들에 사용된 제어기 (335) 를 구현하기에 적합한 컴퓨터 시스템 (400) 을 도시하는 고 레벨 블록도이다. 컴퓨터 시스템 (400) 은 집적 회로, 인쇄 회로 기판, 및 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서들 (402) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (404), 메인 메모리 (406) (예를 들어, RAM (Random Access Memory)), 저장 디바이스 (408) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (410) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (412) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (414) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (400) 과 외부 디바이스들 사이에서 이송되게 한다. 시스템은 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라스트럭처 (416) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (414) 를 통해 전달된 정보는 신호들을 반송하고, 전선 또는 케이블, 광섬유, 전화선, 휴대전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해, 통신 인터페이스 (414) 에 의해 수신될 수 있는 전자, 전자기, 광학, 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스 (414) 를 사용하여, 하나 이상의 프로세서들 (402) 이 상기 기술된 방법 단계들을 수행하는 동안 네트워크로부터 정보를 수신할 수도 있고, 또는 네트워크에 정보를 출력할 수도 있다는 것이 고려된다. 또한, 방법 실시 예들은 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
용어 "비일시적 컴퓨터 판독 가능 매체"는 일반적으로 메인 메모리, 보조 메모리, 이동식 저장장치, 및 저장 디바이스들, 예컨대 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태들의 영구 메모리와 같은 매체를 지칭하도록 사용되고, 반송파들 또는 신호들과 같은 일시적 주제를 커버하는 것으로 해석되지 않는다. 컴퓨터 코드의 예들은 예컨대 컴파일러에 의해 생성된 머신 코드, 및 인터프리터 (interpreter) 를 사용하여 컴퓨터에 의해 실행되는, 더 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독 가능 매체는 또한 반송파에 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행 가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 개시가 몇몇의 바람직한 실시 예들의 측면에서 기술되었지만, 본 개시의 범위 내에 속하는 변경들, 수정들, 치환들, 및 다양한 대체 등가물들이 있다. 또한 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것에 유의해야 한다. 따라서 이하의 첨부된 청구항들은 본 개시의 진정한 정신 및 범위 내에 속하는 이러한 변경들, 수정들, 치환들 및 다양한 대체 등가물들을 모두 포함하는 것으로 해석되는 것이 의도된다.

Claims (18)

  1. 에칭 챔버 내 패터닝된 마스크 아래 실리콘 함유 스택의 피처들을 에칭하기 위한 방법에 있어서,
    a) 스택을 부분적으로 에칭하는 단계로서,
    할로겐 함유 에칭 가스를 제공하는 단계; 및
    상기 할로겐 함유 에칭 가스를 할로겐 함유 플라즈마로 형성하는 단계로서, 상기 할로겐 함유 플라즈마는 상기 스택 내로 피처들을 부분적으로 에칭하고, 상기 피처들은 에칭 프론트 (etch front) 를 갖는, 상기 할로겐 함유 플라즈마 형성 단계를 포함하는, 상기 스택을 부분적으로 에칭하는 단계;
    b) 상기 피처들의 상기 에칭 프론트 상에 금속 촉매 함유 층을 증착하는 단계로서,
    금속 촉매 함유 가스를 제공하는 단계;
    상기 금속 촉매 함유 가스를 금속 촉매 함유 플라즈마로 형성하는 단계; 및
    상기 피처들의 상단부들보다 상기 에칭 프론트 및 하단부들 상에 더 많은 상기 금속 촉매 함유 층을 선택적으로 증착하는 단계를 포함하는, 상기 금속 촉매 함유 층 증착 단계; 및
    c) 상기 피처들을 추가 에칭하는 단계로서,
    불소 함유 에칭 가스를 제공하는 단계; 및
    상기 불소 함유 에칭 가스를 불소 함유 플라즈마로 형성하는 단계로서, 상기 불소 함유 플라즈마는 상기 피처들의 상단부들에 인접한 측벽들에 대해 상기 피처들의 상기 에칭 프론트에 인접한 측벽들을 선택적으로 에칭하는, 상기 불소 함유 플라즈마 형성 단계를 포함하는, 상기 피처들을 추가 에칭하는 단계를 포함하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 할로겐 함유 에칭 가스는 금속 촉매 프리 (metal catalyst free) 인, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 금속 촉매 함유 층은 티타늄 함유 컴포넌트, 텅스텐 함유 컴포넌트, 카드뮴 함유 컴포넌트, 및 팔라듐 함유 컴포넌트 중 적어도 하나를 포함하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 피처들은 깊이를 갖고 그리고 상기 스택을 부분적으로 에칭하는 단계는 상기 피처들의 상기 깊이의 적어도 90 %를 에칭하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 피처들의 깊이는 적어도 1000 ㎚이고 그리고 상기 피처들은 120 ㎚보다 더 작은 폭을 갖는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 부분적으로 에칭하는 단계는 이방성 (anisotropic) 에칭이고 그리고 상기 추가 에칭은 등방성 (isotropic) 에칭인, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 금속 촉매 함유 가스는 티타늄 테트라클로라이드 (TiCl4), 티타늄 부톡사이드 (Ti(OBu)4), 티타늄 에톡사이드 (Ti(OC2H5)4), 티타늄 프로폭사이드 (Ti(OCH(CH3)2)4), 트리메틸(펜타메틸사이클로펜타디에닐티타늄 ((C5(CH3)5)Ti(OCH3)3), 및 Ti(NR1R2)4 중 적어도 하나를 포함하고, R1 및 R2는 CH3, C2H5 또는 C3H7일 수 있는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 스택을 부분적으로 에칭하는 단계 후 그리고 상기 금속 촉매 함유 층을 증착하는 단계 전에 금속 촉매 프리인 라이너를 증착하는 단계를 더 포함하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 라이너는 탄소 함유 층인, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 스택은 복수의 실리콘 옥사이드와 폴리실리콘의 교번하는 층들 또는 복수의 실리콘 옥사이드와 실리콘 나이트라이드의 교번하는 층들을 포함하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  11. 에칭 챔버 내 패터닝된 마스크 아래 실리콘 함유 스택의 피처들을 에칭하기 위한 방법에 있어서,
    a) 스택을 부분적으로 에칭하는 단계로서,
    할로겐 함유 에칭 가스를 제공하는 단계; 및
    상기 할로겐 함유 에칭 가스를 할로겐 함유 플라즈마로 형성하는 단계로서, 상기 할로겐 함유 플라즈마는 상기 스택 내로 피처들을 부분적으로 에칭하고, 상기 피처들은 에칭 프론트를 갖는, 상기 할로겐 함유 플라즈마 형성 단계를 포함하는, 상기 스택을 부분적으로 에칭하는 단계;
    b) 상기 피처들의 측벽들 상에 라이너를 증착하는 단계;
    c) 상기 라이너 상에 금속 촉매 함유 층을 증착하는 단계로서,
    금속 촉매 함유 가스를 제공하는 단계; 및
    상기 금속 촉매 함유 가스를 금속 촉매 함유 플라즈마로 형성하는 단계를 포함하고;
    상기 금속 촉매 함유 플라즈마는 상기 금속 촉매 함유 층으로 하여금 상기 라이너 상에 증착되게 하는, 상기 라이너 상에 금속 촉매 함유 층을 증착하는 단계; 및
    d) 피처들을 추가 에칭하는 단계로서,
    불소 함유 에칭 가스를 제공하는 단계; 및
    상기 불소 함유 에칭 가스를 불소 함유 플라즈마로 형성하는 단계를 포함하고, 상기 불소 함유 플라즈마는 에칭 프론트 근방에서 라이너를 선택적으로 에칭하고 그리고 상기 금속 촉매 함유 층의 플라즈마를 형성하고, 상기 금속 촉매 함유 층의 상기 플라즈마는 상기 에칭 프론트를 등방성으로 에칭하는, 상기 피처들을 추가 에칭하는 단계를 포함하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 할로겐 함유 에칭 가스는 금속 촉매 프리인, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  13. 제 11 항에 있어서,
    상기 금속 촉매 함유 층은 티타늄 함유 컴포넌트, 텅스텐 함유 컴포넌트, 카드뮴 함유 컴포넌트, 및 팔라듐 함유 컴포넌트 중 적어도 하나를 포함하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  14. 제 11 항에 있어서,
    상기 피처들은 깊이를 갖고 그리고 상기 스택을 부분적으로 에칭하는 단계는 상기 피처들의 상기 깊이의 적어도 90 %를 에칭하는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  15. 제 11 항에 있어서,
    상기 피처들의 깊이는 적어도 1000 ㎚이고 그리고 상기 피처들은 120 ㎚보다 더 작은 폭을 갖는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  16. 제 11 항에 있어서,
    상기 부분적으로 에칭하는 단계는 이방성 에칭이고 그리고 상기 추가 에칭은 등방성 에칭인, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  17. 제 11 항에 있어서,
    상기 금속 촉매 함유 가스는 티타늄 테트라클로라이드 (TiCl4), 티타늄 부톡사이드 (Ti(OBu)4), 티타늄 에톡사이드 (Ti(OC2H5)4), 티타늄 프로폭사이드 (Ti(OCH(CH3)2)4), 트리메틸(펜타메틸사이클로펜타디에닐티타늄 ((C5(CH3)5)Ti(OCH3)3), 및 Ti(NR1R2)4 중 적어도 하나를 포함하고, R1 및 R2는 CH3, C2H5 또는 C3H7일 수 있는, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
  18. 제 11 항에 있어서,
    상기 라이너는 탄소 함유 층인, 실리콘 함유 스택의 피처들을 에칭하기 위한 방법.
KR1020227044704A 2021-01-21 2021-12-08 에칭 프론트 금속 촉매를 사용하는 고 종횡비 메모리를 위한 프로파일 최적화 KR20230129292A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163140134P 2021-01-21 2021-01-21
US63/140,134 2021-01-21
PCT/US2021/062453 WO2022159191A1 (en) 2021-01-21 2021-12-08 Profile optimization for high aspect ratio memory using an etch front metal catalyst

Publications (1)

Publication Number Publication Date
KR20230129292A true KR20230129292A (ko) 2023-09-08

Family

ID=82549548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227044704A KR20230129292A (ko) 2021-01-21 2021-12-08 에칭 프론트 금속 촉매를 사용하는 고 종횡비 메모리를 위한 프로파일 최적화

Country Status (4)

Country Link
US (1) US20230369061A1 (ko)
JP (1) JP2024504118A (ko)
KR (1) KR20230129292A (ko)
WO (1) WO2022159191A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8951430B2 (en) * 2012-04-18 2015-02-10 The Board Of Trustees Of The University Of Illinois Metal assisted chemical etching to produce III-V semiconductor nanostructures
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US10134599B2 (en) * 2016-02-24 2018-11-20 The Board Of Trustees Of The University Of Illinois Self-anchored catalyst metal-assisted chemical etching
CN111670493B (zh) * 2017-11-28 2024-06-28 德克萨斯大学系统董事会 受催化剂影响的图案转移技术
EP3931863A4 (en) * 2019-02-25 2023-04-26 Board of Regents, The University of Texas System LARGE SURFACE METROLOGY AND PROCESS CONTROL FOR ANISOTROPIC CHEMICAL ETCHING

Also Published As

Publication number Publication date
US20230369061A1 (en) 2023-11-16
JP2024504118A (ja) 2024-01-30
WO2022159191A1 (en) 2022-07-28

Similar Documents

Publication Publication Date Title
KR102364485B1 (ko) 조합형 마스크를 이용한 고 애스팩트 비 에칭
USRE47650E1 (en) Method of tungsten etching
KR102178834B1 (ko) 유전체 층들 내 피처들을 에칭하기 위한 방법
US10079154B1 (en) Atomic layer etching of silicon nitride
CN103081074B (zh) 基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件
KR20190049482A (ko) 스택 내에 피처들을 에칭하기 위한 방법
TWI735522B (zh) 混合式階梯蝕刻
TWI651805B (zh) 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
TW202014545A (zh) 用於在有機材料上沉積金屬氧化物膜的沉積工具及方法
TW201841246A (zh) 介層接觸窗蝕刻
US10002773B2 (en) Method for selectively etching silicon oxide with respect to an organic mask
KR20200054962A (ko) 에칭 층을 에칭하기 위한 방법
KR102615854B1 (ko) 다공성 로우-k (low-k) 유전체 에칭
US20120276747A1 (en) Prevention of line bending and tilting for etch with tri-layer mask
US9484215B2 (en) Sulfur and fluorine containing etch chemistry for improvement of distortion and bow control for har etch
KR20230129292A (ko) 에칭 프론트 금속 촉매를 사용하는 고 종횡비 메모리를 위한 프로파일 최적화
TWI576909B (zh) 絕緣層上矽蝕刻
KR102535484B1 (ko) 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
KR102653067B1 (ko) 에지 거칠기 감소
KR20210018119A (ko) 에칭 방법 및 기판 처리 장치
WO2024044218A1 (en) High aspect ratio etch with a liner
KR20240100436A (ko) 유기 클로라이드를 사용한 실리콘 에칭
WO2024044217A1 (en) High aspect ratio etch with a re-deposited helmet mask
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착
WO2023249899A1 (en) High aspect ratio etch with a metal or metalloid containing mask