KR20220161474A - 반도체 재료의 선택적인 정밀 에칭 - Google Patents

반도체 재료의 선택적인 정밀 에칭 Download PDF

Info

Publication number
KR20220161474A
KR20220161474A KR1020227038346A KR20227038346A KR20220161474A KR 20220161474 A KR20220161474 A KR 20220161474A KR 1020227038346 A KR1020227038346 A KR 1020227038346A KR 20227038346 A KR20227038346 A KR 20227038346A KR 20220161474 A KR20220161474 A KR 20220161474A
Authority
KR
South Korea
Prior art keywords
substrate
group
alkyl
etching
aryl
Prior art date
Application number
KR1020227038346A
Other languages
English (en)
Inventor
나탄 머셀화이트
지 주
제롬 미셸 도미니크 멜라에트
데이비드 무이
마크 나오시 카와구치
아드리엔 라보에
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220161474A publication Critical patent/KR20220161474A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 명세서에 기술된 다양한 실시 예들은 기판의 표면으로부터 타깃 재료를 제거하기 위해 반도체 기판을 에칭하기 위한 방법들 및 장치에 관한 것이다. 일반적으로, 본 명세서에 기술된 기법들은 플라즈마의 사용에 의존하지 않는 열적 기법들이다. 다수의 실시 예들에서, 특정한 가스 혼합물이 타깃 재료와 반응하도록 반응 챔버에 제공된다. 가스 혼합물은 HF (hydrogen fluoride) 와 같은 할로겐 소스, 유기 용매 및/또는 물, 첨가제, 및 캐리어 가스의 조합을 포함할 수도 있다. 다수의 상이한 재료들이 유기 용매 및/또는 첨가제를 위해 사용될 수도 있다.

Description

반도체 재료의 선택적인 정밀 에칭
반도체들의 제조는 많은 상이한 종류의 프로세싱을 수반한다. 일 타입의 프로세싱은 기판의 표면 상에 재료들을 증착하는 것을 수반한다. 또 다른 타입의 프로세싱은 기판의 표면으로부터 재료들을 에칭하는 것을 수반한다. 일부 경우들에서, 이러한 에칭은 제거를 위해 기판 상의 하나 이상의 재료를 타깃팅하도록 선택적으로 행해진다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
본 명세서의 다양한 실시 예들은 반도체 기판을 에칭하기 위한 방법들 및 장치에 관한 것이다. 개시된 실시 예들의 일 양태에서, 기판을 에칭하기 위한 방법이 제공되고, 방법은: (a) 반응 챔버 내에 기판을 제공하는 단계로서, 기판은 에칭 동안 기판으로부터 부분적으로 또는 전체적으로 제거될 타깃 재료를 포함하는, 기판 제공 단계; (b) 반응 챔버의 압력이 약 0.2 내지 10 Torr인 동안 반응 챔버 내에 가스 혼합물을 제공하고 기판을 가스 혼합물에 노출시키는 단계로서, 가스 혼합물은 증기상 (vapor phase) 이고, (i) 할로겐 소스, (ii) 유기 용매 및/또는 물, (iii) 첨가제, 및 (iv) 캐리어 가스를 포함하는, 가스 혼합물 제공 및 노출 단계; 및 (c) 기판으로부터 타깃 재료를 부분적으로 또는 전체적으로 에칭하는 반응을 구동하기 위해 반응 챔버로 열 에너지를 제공하는 단계로서, 기판은 에칭 동안 플라즈마에 노출되지 않는, 열 에너지 제공 단계를 포함한다.
일부 실시 예들에서, 방법은 단계 (b) 전에, 반응 챔버 내에 제 2 가스 혼합물을 제공하고 기판을 열 에너지 및 제 2 가스 혼합물에 노출하는 단계를 더 포함하고, 열 에너지는 개질된 타깃 재료를 형성하도록 제 2 가스 혼합물과 타깃 재료 사이의 제 2 반응을 구동하고, 그리고 단계 (c) 의 반응은 개질된 타깃 재료를 에칭함으로써 타깃 재료를 부분적으로 또는 전체적으로 에칭한다.
유기 용매 및/또는 물을 위해 다양한 재료들이 사용될 수도 있다. 특정한 구현 예들에서, 유기 용매 및/또는 물은 알코올을 포함할 수도 있다. 일부 경우들에서, 알코올은 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, t-부탄올, 1-펜탄올, 1-헥산올, 1-헵탄올, 1-옥탄올, 1-노난올, 1-데칸올, 및 이들의 조합들로 구성된 그룹으로부터 선택된 알코올을 포함할 수도 있다. 이들 또는 다른 경우들에서, 유기 용매 및/또는 물은 실험실 용매 (laboratory solvent) 를 포함할 수도 있다. 실험실 용매는 아세토니트릴, 디클로로메탄, 탄소 테트라클로라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 이들 또는 다른 경우들에서, 유기 용매 및/또는 물은 케톤을 포함할 수도 있다. 일부 경우들에서, 케톤은 아세톤, 아세토페논, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 이들 또는 다른 경우들에서, 유기 용매 및/또는 물은 물을 포함할 수도 있다. 일부 이러한 경우들에서, 유기 용매 및/또는 물은 어떠한 유기 용매도 포함하지 않는다. 이들 또는 다른 구현 예들에서, 유기 용매 및/또는 물은 알칸을 포함할 수도 있다. 일부 실시 예들에서, 알칸은 펜탄, 헥산, 옥탄, 사이클로펜탄, 사이클로헥산, 및 이들의 조합들로 구성된 그룹으로부터 선택된 알칸을 포함할 수도 있다. 이들 또는 다른 구현 예들에서, 유기 용매 및/또는 물은 방향족 용매를 포함할 수도 있다. 일부 경우들에서, 방향족 용매는 톨루엔 및 벤젠으로 구성된 그룹으로부터 선택된 방향족 용매이다. 이들 또는 다른 구현 예들에서, 유기 용매 및/또는 물은 에테르를 포함할 수도 있다. 일부 이러한 경우들에서, 에테르는 테트라하이드로푸란을 포함할 수도 있다. 이들 또는 다른 구현 예들에서, 유기 용매 및/또는 물은 니트릴 (nitrile) 을 포함할 수도 있다. 일부 경우들에서, 니트릴은 아세토니트릴을 포함한다.
다양한 실시 예들에서, 캐리어 가스는 N2, He, Ne, Ar, Kr, 및 Xe로 구성된 그룹으로부터 선택된 가스를 포함할 수도 있다.
다수의 상이한 재료들 및 재료들의 타입들이 첨가제로 사용될 수도 있다. 일부 구현 예들에서, 첨가제는 헤테로사이클을 포함할 수도 있다. 일부 실시 예들에서, 헤테로사이클은 헤테로사이클릭 방향족 화합물일 수도 있다. 일부 이러한 실시 예들에서, 헤테로사이클릭 방향족 화합물은 피콜린, 피리딘, 피롤, 이미다졸, 티오펜, N-메틸이미다졸, N-메틸피롤리돈, 벤즈이미다졸, 2,2-비피리딘, 디피콜로닉 산, 2,6-루티딘, 4-N,N-디메틸아미노피리딘, 아줄렌, 및 이들의 조합들로 구성된 그룹으로부터 선택된 헤테로사이클릭 방향족 화합물을 포함할 수도 있다. 일부 실시 예들에서, 헤테로사이클은 할로겐-치환된 방향족 화합물일 수도 있다. 일부 경우들에서, 할로겐-치환된 방향족 화합물은 4-브로모피리딘, 클로로벤젠, 4-클로로톨루엔 및 플루오로벤젠로 구성된 그룹으로부터 선택된 할로겐-치환된 방향족 화합물을 포함할 수도 있다. 일부 실시 예들에서, 헤테로사이클은 헤테로사이클릭 지방족 화합물일 수도 있다. 일부 이러한 경우들에서, 헤테로사이클릭 지방족 화합물은 피롤리딘일 수도 있다.
일부 실시 예들에서, 첨가제는 아민을 포함할 수도 있다. 일부 경우들에서, 아민은 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 이소프로필아민, 1,2-에틸렌 디아민, 아닐린, 아닐린 유도체, N-에틸디이소프로필아민, tert-부틸아민, 구아니딘, 및 이들의 조합로 구성된 그룹으로부터 선택된 아민을 포함할 수도 있다. 일부 실시 예들에서, 아민은 플루오로아민 (fluoroamine) 을 포함할 수도 있다. 일 예에서, 플루오로아민은 4-트리플루오로메틸아닐린이다. 특정한 구현 예들에서, 첨가제는 아미노산을 포함할 수도 있다. 일부 경우들에서, 아미노산은 히스티딘 및 알라닌으로 구성된 그룹으로부터 선택된 아미노산을 포함할 수도 있다.
일부 구현 예들에서, 첨가제는 유기 인 화합물 (organophosphorus compound) 을 포함할 수도 있다. 일부 이러한 실시 예들에서, 유기 인 화합물은 포스파젠 (phosphazene) 을 포함할 수도 있다. 이들 또는 다른 실시 예들에서, 첨가제는 산화제를 포함할 수도 있다. 일부 실시 예들에서, 산화제는 과산화수소, 소듐 하이포클로라이트 (sodium hypochlorate), 테트라메틸 암모늄 하이드록사이드 (tetramethyl ammonium hydroxide), 및 이들의 조합들로 구성된 그룹으로부터 선택된 산화제를 포함할 수도 있다. 이들 또는 다른 실시 예들에서, 첨가제는 바이플루오라이드 (bifluoride) 소스를 포함할 수도 있다. 일부 경우들에서, 바이플루오라이드 소스는 암모늄 플루오라이드, 수소 플루오라이드, 완충된 (buffered) 옥사이드 에칭 혼합물, 수소 플루오라이드 피리딘, 및 이들의 조합들로 구성된 그룹으로부터 선택된 바이플루오라이드 소스를 포함한다. 다양한 실시 예들에서, 바이플루오라이드 소스는 상기 반응 챔버로의 전달 전 또는 후에 HF2 - 를 형성하도록 반응할 수도 있다.
일부 구현 예들에서, 첨가제는 알데하이드를 포함할 수도 있다. 일부 실시 예들에서, 알데하이드는 아크롤레인 (acrolein), 아세트알데하이드, 포름알데하이드, 벤즈알데하이드, 프로피온알데하이드, 부틸알데하이드, 신남알데하이드, 바닐린, 및 톨루알데하이드로 구성된 그룹으로부터 선택된 알데하이드를 포함할 수도 있다. 이들 또는 다른 구현 예들에서, 첨가제는 카르벤을 포함할 수도 있다. 이들 또는 다른 실시 예들에서, 첨가제는 유기산을 포함할 수도 있다. 일부 실시 예들에서, 유기산은 포름산, 아세트산, 및 이들의 조합들로 구성된 그룹으로부터 선택된 유기산을 포함할 수도 있다.
일부 구현 예들에서, 특정한 할로겐 또는 할로겐 소스들의 조합이 사용될 수도 있다. 예를 들어, 일부 실시 예들에서, 할로겐 소스는 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 불소 (F2), 염소 (Cl2), 브롬 (Br2), 염소 트리플루오라이드 (ClF3), 질소 트리플루오라이드 (NF3), 질소 트리클로라이드 (NCl3), 및 질소 트리브로마이드 (NBr3), 및 이들의 조합들로 구성된 그룹으로부터 선택된다. 일부 실시 예들에서, 할로겐 소스는 유기 할라이드 (organohalide) 이다. 일부 이러한 경우들에서, 유기 할라이드는 플루오로포름 (CHF3), 클로로포름 (CHCl3), 브로모포름 (CHBr3), 탄소 테트라플루오라이드 (CF4), 탄소 테트라클로라이드 (CCl4), 탄소 테트라브로마이드 (CBr4), 퍼플루오로부텐 (C4F8) 및 퍼클로로부텐 (C4Cl8), 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시 예들에서, 할로겐 소스는 실리콘 할라이드이다. 일부 이러한 실시 예들에서, 실리콘 할라이드는 실리콘 테트라플루오라이드 (SiF4), 실리콘 테트라클로라이드 (SiCl4), 실리콘 테트라브로마이드 (SiBr4), 및 SiX6-함유 화합물들 (X는 할로겐임), 및 이들의 조합들로 구성된 그룹으로부터 선택된다. 일부 실시 예들에서, 할로겐 소스는 금속 할라이드이다. 일부 이러한 경우들에서, 금속 할라이드는 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 헥사클로라이드 (MoCl6), 몰리브덴 헥사브로마이드 (MoBr6), 텅스텐 헥사플루오라이드 (WF6), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 헥사브로마이드 (WBr6), 티타늄 테트라플루오라이드 (TiF4), 티타늄 테트라클로라이드 (TiCl4), 티타늄 테트라브로마이드 (TiBr4), 지르코늄 플루오라이드 (ZrF4), 지르코늄 클로라이드 (ZrCl4), 및 지르코늄 브로마이드 (ZrBr4) 로 구성된 그룹으로부터 선택된다.
가스 혼합물의 조성은 다양한 실시 예들에서 제어될 수도 있다. 예를 들어, 가스 혼합물에서 2 개 이상의 컴포넌트들의 비가 제어될 수도 있다. 일부 실시 예들에서, 첨가제는 첨가제와 유기 용매 및/또는 물의 총량의 약 0.1 내지 5 %(중량 기준) 이다. 이들 또는 다른 실시 예들에서, 첨가제에 대한 할로겐 소스의 체적비는 10 이하일 수도 있다.
본 명세서의 방법들은 특정한 재료들을 에칭하도록 사용될 수도 있고, 일부 경우들에서 에칭은 선택적으로 행해진다. 예를 들어, 일부 실시 예들에서, 타깃 재료는 옥사이드이고, 기판은 타깃 재료와 상이한 제 2 재료를 더 포함하고, 그리고 단계 (c) 는 제 2 재료에 대해 타깃 재료를 선택적으로 에칭하는 단계를 포함한다. 일부 예들에서, 타깃 재료는 실리콘 옥사이드이고 제 2 재료는 실리콘 나이트라이드이다. 일부 예들에서, 타깃 재료는 실리콘 옥사이드이고 제 2 재료는 실리콘 (Si) 또는 실리콘 게르마늄 (SiGe) 이다.
본 명세서의 실시 예들의 추가 양태에서, 기판을 에칭하기 위한 장치가 제공되고, 장치는: (a) 반응 챔버 내에서 약 0.2 내지 10 Torr의 압력을 견디도록 구성된 반응 챔버; (b) 에칭 동안 기판을 지지하도록 구성된 기판 지지부; (c) 가스 혼합물을 반응 챔버로 도입하기 위한 유입구로서, 가스 혼합물은 증기상 (vapor phase) 인, 유입구; (d) 반응 챔버로부터 증기상 종을 제거하기 위한 유출구; 및 (e) 본 명세서에 기술된 임의의 방법들을 유발하도록 구성된 제어기를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 특정한 실시 예들에 따른 반도체 기판을 에칭하는 방법을 기술하는 플로우 차트이다.
도 2는 특정한 실시 예들에 따른 반도체 기판을 에칭하는 순환적인 방법을 기술하는 플로우 차트이다.
도 3a 내지 도 3c는 도 2에 기술된 방법에 따른 프로세싱을 겪는 반도체 기판을 도시한다.
도 4는 도 2의 방법을 달성하기 위해 시간에 따라 온도가 어떻게 가변될 수 있는지를 예시한다.
도 5는 개시된 실시 예들에 따른 예시적인 장치의 단면도를 도시한다.
도 6은 복수의 LED들을 갖는 기판 히터의 평면도를 도시한다.
도 7은 복수의 LED들을 갖는 또 다른 기판 히터의 평면도를 도시한다.
도 8는 다양한 실시 예들에 따른 부가적인 피처들을 갖는 도 5의 페데스탈을 도시한다.
도 9는 개시된 실시 예들에 따른 도 5 및 도 8의 기판 지지부를 도시한다.
도 10은 제 1 예시적인 대면 플레이트의 평면도를 도시한다.
도 11은 제 2 예시적인 대면 플레이트의 평면도를 도시한다.
도 12는 4 개의 상이한 능동 냉각 실험들의 그래프를 도시한다.
도 13은 예시적인 온도 제어 시퀀스를 제공한다.
도 14는 개시된 실시 예들에 따른 열적 프로세싱을 위한 제 1 기법을 도시한다.
도 15는 개시된 실시 예들에 따른 열적 프로세싱을 위한 제 2 기법을 도시한다.
도 16은 개시된 실시 예들에 따른 열적 프로세싱을 위한 제 3 기법을 도시한다.
도 17은 다양한 파장들 및 온도들에서 실리콘 흡수의 그래프를 도시한다.
도 18은 다양한 실시 예들에 따른 부가적인 피처들을 갖는 도 8의 페데스탈을 도시한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
본 명세서의 다양한 실시 예들에서, 반도체 기판은 (1) 수소 플루오라이드와 같은 할로겐 소스, (2) 유기 용매 및/또는 물, (3) 첨가제, 및 (4) 캐리어 가스를 포함하는 증기상 (vapor phase) 반응 물질들의 혼합물을 사용하여 에칭된다. 용어들 "증기상" 및 "가스상"은 본 개시에서 상호 교환 가능하게 사용된다. 첨가제는 이하에 더 기술된 바와 같이, 특정한 특성들 또는 특정한 조성을 가질 수도 있다. 기판은 예를 들어 진공 반응 챔버에서 열 에너지를 사용하여 저압에서 에칭될 수도 있다. 이러한 경우들에서, 기판은 에칭 반응 동안 플라즈마에 노출되지 않는다. 기판은 하나 이상의 재료들이 제거를 위해 타깃팅되는 한편 다른 재료들이 보다 낮은 정도로 에칭되도록 선택적인 방식으로 에칭될 수도 있다. 개시된 기법들의 일 장점은 에칭 동안 높은 정도의 선택도를 달성한다는 것이다. 개시된 기법들의 또 다른 장점은 특히 다른 열적으로 구동된 에칭 프로세스들과 비교하여 에칭 레이트 및 에칭 제거량의 매우 정확한 제어를 제공한다는 것이다.
본 명세서에 기술된 기법들은 다수의 상이한 맥락들에서 다양한 기판 재료들을 에칭하도록 사용될 수도 있다. 많은 경우들에서, 기판은 기판의 표면 상에 노출되는 2 개 이상의 상이한 재료들을 포함한다. 이들 재료들 중 하나는 선택적인 에칭 프로세스에서 이들 재료들 중 또 다른 재료 위에 제거되도록 타깃팅될 수도 있다. 일부 구현 예들에서, 기판은 제 1 재료 및 제 2 재료를 포함하고, 제 1 재료는 제 2 재료와 비교하여 선택적으로 에칭된다. 다른 경우들에서, 기판은 에칭이 선택적일 필요가 없도록 노출되는 단일 재료만을 포함할 수도 있다. 여전히 다른 경우들에서, 기판은 어떠한 선택도도 필요로 하지 않고 모두 제거된 복수의 상이한 재료들을 포함할 수도 있다. 기판 상의 제 1 재료 및/또는 제 2 재료는 각각 옥사이드들 (예를 들어, 실리콘 옥사이드, 주석 옥사이드, 등), 나이트라이드들 (예를 들어, 실리콘 나이트라이드, 탄탈륨 나이트라이드, 티타늄 나이트라이드, 등), 카바이드들 (예를 들어, 실리콘 카바이드, 등), 카보나이트라이드들 (예를 들어, 실리콘 카보나이트라이드, 등), 카복사이드들 (예를 들어, 실리콘 카복사이드, 등), 등으로 구성된 그룹으로부터 선택될 수도 있다. 일부 경우들에서, 제 1 재료 및 제 2 재료 중 적어도 하나는 하이-k (high-k) 유전체 재료 또는 로우-k (low-k) 유전체 재료와 같은 유전체 재료일 수도 있다. 일반적으로, 하이-k 유전체 재료들은 실리콘 다이옥사이드에 비해 높은 유전 상수를 갖는 유전체 재료들이고, 로우-k 유전체 재료들은 실리콘 옥사이드에 비해 낮은 유전 상수를 갖는 유전체 재료들이다. 실리콘 옥사이드는 약 3.7 내지 3.9의 유전 상수를 갖는다. 이와 같이, 하이-k 유전체 재료들은 통상적으로 약 3.9보다 보다 큰 유전 상수를 갖는 한편, 로우-k 유전체 재료들은 통상적으로 약 3.7보다 보다 낮은 유전 상수를 갖는다. 로우-k 유전체 재료들의 예들은 탄소-도핑된 실리콘 옥사이드들, 불소-도핑된 실리콘 옥사이드들, 뿐만 아니라 폴리이미드들, 폴리노르벤들, 및 벤조사이클로부텐들과 같은 스핀-온 유기 폴리머 유전체 재료들을 포함한다. 하이-k 유전체 재료들의 예들은 하프늄 실리케이트, 지르코늄 실리케이트, 하프늄 다이옥사이드, 및 지르코늄 다이옥사이드를 포함한다. 일부 경우들에서, 제 1 재료 및 제 2 재료 중 적어도 하나는 실리콘 (Si), 또는 실리콘 게르마늄 (SiGe) 과 같은 에피택셜 재료이다. 기판 상에 노출된 재료들은 다양한 조합들로 선택될 수도 있고 특정한 애플리케이션을 위해 목표된 바와 같이 다양한 구조체들로 제공될 수도 있다. 본 명세서에 기술된 기법들은 많은 상이한 애플리케이션들에 널리 적용 가능하다. 제 2 재료 (예를 들어, 실리콘 나이트라이드) 를 사용한 제 1 재료 (예를 들어, 실리콘 다이옥사이드) 에 대한 에칭 선택도의 추가의 기술이 이하에 제공된다.
일 특정한 실시 예에서, 본 명세서에 기술된 방법들은 실리콘 핀들 (fins) 을 트리밍하는 (trimming) 맥락에서 사용될 수도 있다. 이하에 더 기술된, 도 3a 내지 도 3c는 이러한 실시 예를 예시한다. 또 다른 특정한 실시 예에서, 본 명세서에 기술된 방법들은 게이트-올-어라운드 (gate-all-around) 구조체들 상의 천연 옥사이드를 제거하는 맥락에서 사용될 수도 있다. 많은 다른 애플리케이션들이 가능하다.
개시된 기법들의 일 장점은 에칭 레이트의 매우 정밀한 제어를 달성한다는 것이다. 이러한 에칭 레이트 제어는 다른 열적 (예를 들어, 비플라즈마) 에칭 기법들과 비교하여 실질적으로 개선된다. 개시된 기법들의 또 다른 장점은 이들이 매우 높은 정도의 에칭 선택도를 달성한다는 것이다. 예를 들어, 옥사이드 재료는 나이트라이드 재료와 비교하여 높은 정도의 선택도로 에칭될 수도 있다. 다른 재료들은 선택적인 방식으로 유사하게 에칭될 수도 있다.
상기 언급된 바와 같이, 기판은 특정한 화학 물질 세트를 사용하여 에칭된다. 이 화학 물질은 (1) 수소 플루오라이드 (HF) 와 같은 할로겐 소스, (2) 하나 이상의 유기 용매 및/또는 물, (3) 하나 이상의 첨가제, 및 (4) 하나 이상의 캐리어 가스를 포함한다. 반응 물질들은 반응 챔버에 제공되고 증기상인 동안 기판에 노출된다. 이하에 더 기술된 바와 같이, 반응 챔버로의 전달 전 및 전달 동안 반응 물질들이 적절히 기화되는 것을 보장하도록 적절한 하드웨어가 제공될 수도 있다. 2 개 이상의 반응 물질들은 반응 챔버로 전달 전에 혼합될 수도 있다. 다른 실시 예들에서, 반응 물질들 각각은 예를 들어 분리된 라인들에서 또는 분리된 시간들에 개별적으로 반응 챔버로 전달될 수도 있다.
할로겐 소스
할로겐 소스는 프로세싱 온도에서 증기상으로 존재하는 임의의 할로겐 (예를 들어, X-함유, 여기서 X는 불소 (F), 염소 (Cl), 브롬 (Br), 또는 요오드 (I)) 함유 화합물일 수도 있다. 예들은 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 불소 (F2), 염소 (Cl2), 브롬 (Br2), 염소 트리플루오라이드 (ClF3), 질소 트리플루오라이드 (NF3), 질소 트리클로라이드 (NCl3), 및 질소 트리브로마이드 (NBr3) 를 포함한다. 일부 구현 예들에서, 할로겐 소스는 유기 할라이드이고, 플루오로포름 (CHF3), 클로로포름 (CHCl3), 브로모포름 (CHBr3), 탄소 테트라플루오라이드 (CF4), 탄소 테트라클로라이드 (CCl4), 탄소 테트라브로마이드 (CBr4), 퍼플루오로부텐 (C4F8) 및 퍼클로로부텐 (C4Cl8) 을 예들로 포함한다. 일부 구현 예들에서, 할로겐 소스는 실리콘 테트라플루오라이드 (SiF4), 실리콘 테트라클로라이드 (SiCl4), 실리콘 테트라브로마이드 (SiBr4), 및 H2SiX6와 같은 SiX6를 포함하는 화합물들을 예들로 포함하는 실리콘 할라이드이다. 일부 구현 예들에서, 할로겐 소스는 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 헥사클로라이드 (MoCl6), 몰리브덴 헥사브로마이드 (MoBr6), 텅스텐 헥사플루오라이드 (WF6), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 헥사브로마이드 (WBr6), 티타늄 테트라플루오라이드 (TiF4), 티타늄 테트라클로라이드 (TiCl4), 티타늄 테트라브로마이드 (TiBr4), 지르코늄 플루오라이드 (ZrF4), 지르코늄 클로라이드 (ZrCl4), 및 지르코늄 브로마이드 (ZrBr4) 를 예들로 포함하는 금속 할라이드이다. 금속 할라이드들은 일부 실시 예들에서 금속 옥사이드들을 선택적으로 에칭하도록 사용될 수도 있다.
이하의 기술에서, 다양한 예들은 할로겐 소스로서 HF를 포함한다. 그러나, 임의의 적절한 할로겐 소스가 사용될 수도 있다. HF에 대해 기술된 체적 백분율 및 질량 백분율은 다른 할로겐 소스들에 대해 사용될 수 있다. 일부 실시 예들에서, 2 개 이상의 할로겐 소스들이 사용될 수도 있다.
유기 용매
알코올들:
특정한 구현 예들에서, 유기 용매는 알코올일 수도 있다. 알코올은 X-C(R) n (OH)-Y의 화학식을 갖는 알코올일 수 있고,
n은 1이고;
X 및 Y 각각은 수소, -[C(R1)2] m -C(R1)3, 또는 OH로부터 독립적으로 선택될 수 있고, 여기서 R1 및 R2 각각은 수소, 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 여기서 m은 0 내지 10의 정수이고; R 각각은 수소, 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 독립적으로 선택된다.
일부 실시 예들에서, R, R1 및 R2 각각은 독립적으로 알킬, 알케닐, 알키닐, 헤테로알킬, 헤테로알케닐, 헤테로알키닐, 할로알킬, 할로알케닐, 할로알키닐, 할로헤테로알킬, 할로헤테로알케닐, 할로헤테로알키닐, 아릴, 헤테로사이클릴, 헤테로아릴, 알킬-아릴, 알케닐-아릴, 알키닐-아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 알킬-헤테로아릴, 알케닐-헤테로아릴, 알키닐-헤테로아릴, 헤테로알킬-아릴, 헤테로알케닐-아릴, 헤테로알키닐-아릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 헤테로알키닐-헤테로사이클릴, 헤테로알킬-헤테로아릴, 헤테로알케닐-헤테로아릴, 헤테로알키닐-헤테로아릴, 또는 이들의 임의의 조합으로부터 선택된다. 특정한 개시된 실시 예들에서, 알코올은 알콕시, 아미드, 아민, 티오에테르, 티올, 아실옥시, 실릴, 지환족 (cycloaliphatic), 아릴, 알데하이드, 케톤, 에스테르, 카르복시산, 아실, 아실 할라이드, 시아노, 할로겐, 설포네이트, 니트로, 니트로소, 4 차 아민, 피리디닐 (또는 피리디닐, 여기서 질소 원자는 지방족 또는 아릴기로 기능화됨), 알킬 할라이드 또는 이들의 임의의 조합들과 같은 하나 이상의 치환기들로 더 치환될 수도 있다.
다른 실시 예들에서, X 또는 Y = -[C(R1)2] m -C(R2)3 또는 R 중 적어도 하나가 수소이고 m이 1일 때, 알코올은 C3 알코올일 수 있다. 예를 들어, 적어도 하나의 R1 및 하나의 R2가 존재하지 않는다면, C3 알코올은 C3 알케놀 (예를 들어, 알릴 알코올) 일 수 있다. 또 다른 예에서, R 및 하나의 R2는 함께 고리 (예컨대, 지환족) 를 형성할 수 있고, 이어서 C3 알코올은 시클로프로판올 (cyclopropanol) 또는 2-시클로프로펜올 (2-cyclopropenol) 일 수 있다.
또 다른 실시 예들에서, X 또는 Y 중 적어도 하나가 -[C(R1)2] m -C(R1)3 이거나 R이 수소일 때, 알코올은 C4 알코올일 수 있다. 예를 들어, 적어도 하나의 R1 및 하나의 R2가 존재하지 않는다면, C4 알코올은 C4 알케놀 (예를 들어, 2-부텐-1-올 또는 3-부텐-1-올) 일 수 있다. 또 다른 예에서, R 및 하나의 R2는 함께 고리 (예컨대 지환족) 를 형성할 수 있고, C4 알코올은 C4-사이클릭 알코올 (예를 들어, 사이클로부탄올 또는 사이클로프로필메탄올) 일 수 있다. 또 다른 예에서, X 및 Y 모두가 OH가 아니라면, C4 알코올은 C4-분지된 알코올 (예를 들어, 2-부탄올, 이소부탄올, 또는 tert-부탄올) 일 수 있다.
일부 예들에서, X = OH 및 Y = -[C(R1)2] m -C(R2)3일 때, 알코올은 디올일 수 있다. 다른 예들에서, 적어도 하나의 X 또는 Y = -[C(R1)2]m-C(R2)3이고 적어도 하나의 R1 = OH 또는 하나의 R2 = OH일 때, 또는 R = OH일 때, 알코올은 디올일 수 있다. 예시적인 디올들은 이로 제한되지 않지만, 1,4-부탄 디올, 프로필렌-1,3-디올, 등을 포함한다.
다른 예들에서, X = Y = OH일 때, 알코올은 트리올일 수 있다. 또 다른 예들에서, X = R = OH일 때, 알코올은 트리올일 수 있다. 일부 예들에서, X 또는 Y 중 적어도 하나가 -[C(R1)2] m -C(R2)3이고 하나의 R1 및 적어도 하나의 R2가 OH일 때, 알코올은 트리올일 수 있다. 다른 예들에서, R = OH이고 X = -[C(R1)2] m -C(R2)3이고 하나의 R1 및 적어도 하나의 R2가 OH일 때, 알코올은 트리올일 수 있다. 예시적인 트리올들은 글리세롤 또는 이의 유도체들을 포함하지만, 이로 제한되지 않는다.
특정한 실시 예들에서, R = 사이클로헤테로지방족, 헤테로사이클릴, 헤테로아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 또는 헤테로알키닐-헤테로사이클릴일 때, 알코올은 헤테로사이클릴 알코올 (예를 들어, 푸르푸릴 알코올과 같은 하나 이상의 하이드록실들로 치환된 선택 가능하게 (optionally) 치환된 헤테로사이클릴) 일 수 있다. 다른 실시 예들에서, X 또는 Y 중 적어도 하나가 -[C(R1)2] m -C(R1)3이고 하나의 R1 및 적어도 하나의 R2는 사이클로헤테로지방족, 헤테로사이클릴, 헤테로아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 또는 헤테로알키닐-헤테로사이클릴일 때, 알코올은 헤테로사이클릴 알코올일 수 있다.
다양한 실시 예들에서, 알코올은 1 내지 10 개의 탄소 원자들을 가질 수도 있다. 알코올은 1 차 알코올, 2 차 알코올, 또는 3 차 알코올일 수도 있다. 일부 경우들에서, 알코올은 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, t-부탄올, 1-펜탄올, 1-헥산올, 1-헵탄올, 1-옥탄올, 1-노난올, 1-데칸올, 및 이들의 조합들로 구성된 그룹으로부터 선택된 알코올일 수도 있다.
실험실 용매들 (laboratory solvents):
이들 또는 다른 경우들에서, 유기 용매는 아세토니트릴, 디클로로메탄, 탄소 테트라클로라이드, 또는 이들의 조합과 같은 실험실-타입 용매를 포함할 수도 있다.
케톤들:
일부 실시 예들에서, 유기 용매는 케톤일 수도 있다.
유기 용매는 또한 X-[C(O)] n -Y의 화학식을 갖는 케톤일 수 있고,
n은 1 내지 2의 정수이고;
X 및 Y 각각은 -C(R1)3, -R2, 또는 -[C(R3)2] m -C(O)-R4로부터 독립적으로 선택될 수 있고, 여기서 R1, R2, R3 및 R4 각각은 수소, 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 독립적으로 선택될 수 있고;
여기서 R3 및 R4는 각각이 부착된 원자와 함께 취해져 선택 가능하게 지환족 또는 사이클로헤테로지방족 (cycloheteroaliphatic) 을 형성할 수 있고, X 및 Y는 각각이 부착된 원자와 함께 취해져 선택 가능하게 지환족 또는 사이클로헤테로지방족을 형성할 수 있고; 그리고
m은 0 내지 10의 정수이다.
일부 실시 예들에서, R1, R2, R3 및 R4 각각은 독립적으로 알킬, 알케닐, 알키닐, 헤테로알킬, 헤테로알케닐, 헤테로알키닐, 할로알킬, 할로알케닐, 할로알키닐, 할로헤테로알킬, 할로헤테로알케닐, 할로헤테로알키닐, 아릴, 헤테로사이클릴, 헤테로아릴, 알킬-아릴, 알케닐-아릴, 알키닐-아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 알킬-헤테로아릴, 알케닐-헤테로아릴, 알키닐-헤테로아릴, 헤테로알킬-아릴, 헤테로알케닐-아릴, 헤테로알키닐-아릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 헤테로알키닐-헤테로사이클릴, 헤테로알킬-헤테로아릴, 헤테로알케닐-헤테로아릴, 헤테로알키닐-헤테로아릴, 또는 이들의 임의의 조합이다. 특정한 개시된 실시 예들에서, 유기 용매는 알데하이드 (-C(O)H), 옥소 (=O), 알콕시, 아미드, 아민, 하이드록실, 티오에테르, 티올, 아실옥시, 실릴, 지환족, 아릴, 알데하이드, 케톤, 에스테르, 카르복시산, 아실, 아실 할라이드, 시아노, 할로겐, 설포네이트, 니트로, 니트로소, 4 차 아민, 피리디닐 (또는 피리디닐, 여기서 질소 원자는 지방족 또는 아릴기로 기능화됨), 알킬 할라이드 또는 이들의 임의의 조합들과 같은 하나 이상의 치환기들로 더 치환될 수도 있다. 일 예시적인 케톤은 아세톤이다.
일부 실시 예들에서, X 및 Y는 각각이 부착된 원자와 함께 취해져 지환족 또는 사이클로헤테로지환족을 형성할 때, 유기 용매는 사이클릭 케톤일 수 있다. 예시적인 사이클릭 케톤들은 사이클로헥사논, 사이클로펜타논, 등을 포함한다.
다른 실시 예들에서, X 또는 Y중 적어도 하나가 -[C(R3)2] m -C(O)-R4일 때, 유기 용매는 디케톤일 수 있다. 예시적인 디케톤들은 디아세틸, 2,3-펜탄디온, 2,3-헥산디온, 3,4-헥산디온, 아세틸아세톤, 아세토닐아세톤, 등, 뿐만 아니라 할로겐화된 형태들, 예컨대 헥사플루오로아세틸아세톤을 포함한다.
추가의 실시 예들에서, X 또는 Y 중 적어도 하나가 -[C(R3)2] m -C(O)-R4이고 X 및 Y는 각각이 부착된 원자와 함께 취해져 지환족 또는 사이클로헤테로지방족을 형성하고, 유기 용매는 사이클릭 디케톤일 수 있다. 예시적인 사이클릭 디케톤들은 디메돈 (dimedone), 1,3-사이클로헥산디온 (1,3-cyclohexanedione), 등을 포함한다.
일부 예들에서, X = -CH3일 때, 유기 용매는 Y = -C(R1)3을 가질 수 있고, 여기서 적어도 하나의 R1은 C2-10 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들이다. 예시적인 재료들은 메틸 프로필 케톤, 메틸 부틸 케톤, 하이드록시아세톤, 등을 포함할 수 있다.
다른 예들에서, X = -CH3일 때, 안정화제는 Y = - R2를 가질 수 있고, 여기서 적어도 하나의 R2는 C2 알케닐, C3-10 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들이다. 예시적인 재료들은 메틸 비닐 케톤, 메틸 프로필 케톤, 메틸 부틸 케톤, 등을 포함할 수 있다.
또 다른 예들에서, X 또는 Y = 방향족 또는 지방족-방향족 또는 헤테로지방족-방향족 중 적어도 하나일 때, 유기 용매는 방향족 케톤일 수 있다. 예시적인 재료들은 아세토페논, 벤조페논, 벤질아세톤, 1,3-디페닐아세톤, 사이클로펜틸 페닐 케톤, 등을 포함한다.
유기 용매가 케톤을 포함하는 특정한 실시 예들에서, 케톤은 아세톤 및 아세토페논으로부터 선택될 수도 있다. 본 명세서에 기술된 하나 이상의 부가적인 케톤들 및/또는 다른 유기 용매들이 또한 제공될 수도 있다.
알칸들:
일부 실시 예들에서, 유기 용매는 알칸일 수도 있다. 특정한 실시 예들에서, 알칸은 일반식 (general formula) CnH2n +2를 갖는 비환형 (acyclic) 분지형 또는 비분지형 하이드로카본일 수도 있다. 예시적인 비환형 알칸들은 이로 제한되는 것은 아니지만, 펜탄, 헥산, 옥탄, 및 이들의 조합들을 포함한다. 특정한 다른 실시 예들에서, 알칸은 환형 하이드로카본일 수도 있다. 예시적인 환형 하이드로카본들은 이로 제한되는 것은 아니지만, 사이클로펜탄, 사이클로헥산, 및 이들의 조합들을 포함한다.
방향족 용매들:
일부 실시 예들에서, 유기 용매는 방향족 용매일 수도 있다. 본 명세서에 사용된 바와 같이, "방향족 (aromatic)"은 달리 명시되지 않는 한, 단일 고리 (예를 들어, 페닐) 또는 적어도 하나의 고리가 방향족인 복수의 축합 고리들 (예를 들어, 나프틸, 인돌릴, 또는 피라졸로피리디닐) 을 갖는 5 내지 15 개의 고리 원자들로부터 환형, 공액기 또는 모이어티를 의미한다; 즉, 적어도 하나의 링, 및 선택 가능하게 복수의 축합 고리들은 연속적인, 비국소 (delocalized) π-전자 시스템을 갖는다. 통상적으로, 평면 π-전자들의 수는 H
Figure pct00001
kel 규칙 (4n+2) 에 대응한다. 모 구조체에 대한 부착 지점은 통상적으로 축합 고리 시스템의 방향족 부분을 통한다. 일부 경우들에서, 방향족 용매는 톨루엔 및 벤젠으로부터 선택될 수도 있다.
에테르들:
일부 실시 예들에서, 유기 용매는 X-O-Y 또는 X-O-[C(R)2]n-O-Y의 화학식을 갖는 에테르일 수도 있고, 여기서:
n은 1 내지 4의 정수이고;
X 및 Y 각각은 -[C(R1)2] m -C(R2)3 또는 -R3 또는 -[C(R4)2] p -O-[C(R5)2] m -C(R6)3로부터 독립적으로 선택될 수 있고, 여기서 R1, R2, R3, R4, R5, R6 및 R 각각은 수소, 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 독립적으로 선택되고, 여기서 m은 0 내지 10의 정수이고 p는 1 내지 10의 정수이고;
여기서 X 및 Y는 각각이 부착된 원자와 함께 취해져 선택 가능하게 사이클로헤테로지방족기를 형성할 수 있다.
일부 실시 예들에서, R, R1, R2, R3, R4, R5, 및 R6 각각은 독립적으로 알킬, 알케닐, 알키닐, 헤테로알킬, 헤테로알케닐, 헤테로알키닐, 할로알킬, 할로알케닐, 할로알키닐, 할로헤테로알킬, 할로헤테로알케닐, 할로헤테로알키닐, 아릴, 헤테로사이클릴, 헤테로아릴, 알킬-아릴, 알케닐-아릴, 알키닐-아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 알킬-헤테로아릴, 알케닐-헤테로아릴, 알키닐-헤테로아릴, 헤테로알킬-아릴, 헤테로알케닐-아릴, 헤테로알키닐-아릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 헤테로알키닐-헤테로사이클릴, 헤테로알킬-헤테로아릴, 헤테로알케닐-헤테로아릴, 헤테로알키닐-헤테로아릴, 또는 이들의 임의의 조합으로부터 선택된다. 특정한 개시된 실시 예들에서, 에테르는 알콕시, 아미드, 아민, 티오에테르, 티올, 아실옥시, 실릴, 지환족, 아릴, 알데하이드, 케톤, 에스테르, 카르복시산, 아실, 아실 할라이드, 시아노, 할로겐, 설포네이트, 니트로, 니트로소, 4 차 아민, 피리디닐 (또는 피리디닐, 여기서 질소 원자는 지방족 또는 아릴기로 기능화됨), 알킬 할라이드 또는 이들의 임의의 조합들과 같은 하나 이상의 치환기들로 더 치환될 수도 있다.
일부 실시 예들에서, 사이클로헤테로지방족기를 형성하기 위해 각각이 부착되는 원자와 함께 X 및 Y가 취해질 때, 유기 용매는 사이클릭 에테르, 예컨대 아세탈 (acetal), 디옥산 (dioxane), 디옥솔란 (dioxolane), 등이다. 일부 실시 예들에서, n = 1이고 R은 각각 H이고, X 및 Y가 함께 취해질 때는 6 원, 7 원, 8 원, 9 원, 또는 10 원 고리를 형성한다. 예시적인 에테르들은 이로 제한되는 것은 아니지만, 1,3-디옥솔란, 또는 이들의 유도체들을 포함한다. 다른 실시 예들에서, n = 2이고 R = H일 때, X 및 Y는 7 원, 8 원, 9 원, 또는 10 원 고리를 형성한다. 예시적인 에테르들은 이로 제한되는 것은 아니지만, 1,4-디옥산, 또는 이들의 유도체들을 포함한다. 또 다른 실시 예들에서, n = 1 또는 n = 2일 때, R은 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들이다. 예시적인 사이클릭 에테르들은 테트라하이드로푸란, 2-메틸테트라하이드로푸란, 2-메틸-1,3-디옥솔란, 등을 포함한다.
다른 실시 예들에서, X 또는 Y 중 적어도 하나가 방향족일 때, 유기 용매는 방향족 에테르일 수 있다. 예시적인 방향족 에테르들은 아니솔, 디페닐 에테르, 등을 포함한다.
일부 실시 예들에서, X 또는 Y 중 적어도 하나가 사이클로지방족일 때, 유기 용매는 사이클로알킬 에테르일 수 있다. 예시적인 사이클로알킬 에테르들은 사이클로펜틸 메틸 에테르, 사이클로헥실 메틸 에테르, 등을 포함한다.
다른 실시 예들에서, X 또는 Y 중 적어도 하나가 -[C(R4)2-O] p -C(R6)3일 때, 유기 용매는 글리콜계 에테르일 수 있다. 예시적인 글리콜계 에테르들은 에틸렌 글리콜의 메틸, 에틸, 프로필, 및 부틸 모노-에테르 및 부틸 디-에테르, 등을 포함하는 디에틸렌 글리콜 디에틸 에테르, 디프로필렌 글리콜 디메틸 에테르, 폴리(에틸렌 글리콜) 디메틸 에테르, 등을 포함한다.
니트릴들 (nitriles):
일부 경우들에서, 유기 용매는 화학식 R-C≡N을 갖는 니트릴이고, 여기서
R은 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 또는 헤테로지방족-방향족이다.
특정한 실시 예들에서, R은 하이드록실기로 선택 가능하게 치환될 수 있다 (예를 들어, 일 예에서 R은 CH3-CH(OH)-CH2-일 수 있고, 유기 용매는 CH3-CH(OH)-CH2-CN일 것이다).
일 예시적인 니트릴은 상기 언급된 아세토니트릴이다.
일부 실시 예들에서, 유기 용매는 본 명세서에 기술된 유기 용매들 또는 타입들의 유기 용매들 중 2 개 이상을 포함할 수도 있다. 일부 실시 예들에서, 물이 유기 용매 대신에 또는 유기 용매에 더하여 제공될 수도 있다.
캐리어 가스
캐리어 가스는 불활성 가스일 수도 있다. 일부 경우들에서 캐리어 가스는 희가스 (noble gas) 이다. 특정한 실시 예들에서, 캐리어 가스는 N2, He, Ne, Ar, Kr, 및 Xe로 구성된 그룹으로부터 선택될 수도 있다. 일부 이러한 실시 예들에서, 캐리어 가스는 N2, He, 및 Ar로 구성된 그룹으로부터 선택될 수도 있다.
첨가제
첨가제는 다수의 상이한 타입들의 첨가제들로부터 선택될 수도 있다. 예를 들어, 일부 경우들에서 첨가제는 헤테로사이클릭화합물, 헤테로사이클릭 방향족 화합물, 할로겐-치환된 헤테로사이클릭 방향족 화합물, 헤테로사이클릭 지방족 화합물, 아민, 플루오로아민, 아미노산, 유기 인 화합물 (organophosphorus compound), 산화제, 또는 바이플루오라이드 소스 (bifluoride source), 암모니아, 알데하이드, 카르벤, 또는 유기산일 수도 있다. 일부 경우들에서, 2 개 이상의 첨가제가 사용될 수도 있다. 일부 실시 예들에서, 첨가제는 붕소-함유 루이스 산 (Lewis acid) 또는 루이스 부가물 (Lewis adduct) 일 수도 있다. 붕소 트리플루오라이드 (BF3) 는 산-염기 부가물 BF4 -를 형성하는 루이스 산의 예이다. 일부 경우들에서, 첨가제는 상기 열거된 카테고리들 중 2 개 이상에 속할 수도 있다. 다양한 실시 예들에서, 첨가제는 반응 레이트를 가속화하고 반응 선택도를 향상시키는 목적으로 역할한다 (serve).
헤테로사이클릭 방향족 화합물들:
특정한 실시 예들에서, 첨가제는 헤테로사이클릭 방향족 화합물이다. 용어 "방향족"은 상기 정의되었다. 헤테로사이크릴 (heterocyclyl) 방향족 화합물은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 포함하는 5 원, 6 원 또는 7 원 고리를 포함하는 방향족 화합물이다. 사용될 수도 있는 예시적인 헤테로사이클릭 방향족 화합물들은, 이로 제한되는 것은 아니지만, 피콜린, 피리딘, 피롤, 이미다졸, 티오펜, N-메틸이미다졸, N-메틸피롤리돈, 벤즈이미다졸, 2,2-비피리딘, 디피콜론산, 2,6-루티딘, 4-N,N-디메틸아미노피리딘, 및 아줄렌을 포함한다. 일부 경우들에서, 헤테로사이클릭 방향족 화합물은 메틸화될 수도 있다. 일부 경우들에서, 헤테로사이클릭 방향족 화합물은 H
Figure pct00002
kel 4n + 2 규칙을 따를 수도 있다. 일부 경우들에서, 첨가제는 할로겐-치환된 방향족 화합물이다. 할로겐-치환된 방향족 화합물은 방향족 고리에 결합된 적어도 하나의 할로겐을 포함하는 방향족 화합물이다. 본 명세서에 사용된 바와 같이, 할로겐 또는 할로는 F, Cl, Br, 또는 I를 지칭한다. 예시적인 할로겐-치환된 방향족 화합물들은 4-브로모피리딘, 클로로벤젠, 4-클로로톨루엔, 플루오로벤젠, 등을 포함하지만 이로 제한되지 않는다.
헤테로사이클릭 지방족 화합물들 (Heterocyclic Aliphatic Compounds):
일부 실시 예들에서, 첨가제는 헤테로사이클릭 지방족 화합물이다. 본 명세서에 사용된 바와 같이, "지방족 (aliphatic)"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖고, 알칸들 (alkanes) (또는 알킬 (alkyl)), 알켄들 (alkenes) (또는 알케닐 (alkenyl)), 알킨들 (alkynes) (또는 알키닐 (alkynyl)), 이들의 환형 버전들을 포함하고, 직쇄 배열 및 분지쇄 배열을 더 포함하고, 또한 모든 입체 이성질체 (stereo isomer) 및 위치 이성질체 (position isomer) 을 포함하는 하이드로카본기를 의미한다. 헤테로사이크릴 (heterocyclyl) 지방족 화합물은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 포함하는 5 원, 6 원 또는 7 원 고리를 포함하는 지방족 화합물이다. 예시적인 헤테로사이클릭 지방족 화합물들은 피롤리딘, 피페리딘, 등을 포함한다.
아민들:
일부 실시 예들에서, 첨가제는 NR1R2R3의 화학식을 갖는 아민이고, 여기서,
R1, R2, 및 R3 각각은 수소, 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 독립적으로 선택되고;
여기서 R1 및 R2는 각각이 부착된 원자와 함께 취해져 선택 가능하게 사이클로헤테로지방족을 형성할 수 있고; 그리고
여기서 R1, R2, 및 R3은 각각이 부착된 원자와 함께 취해져 선택 가능하게 사이클로헤테로지방족을 형성할 수 있다.
일부 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로 알킬, 알케닐, 알키닐, 헤테로알킬, 헤테로알케닐, 헤테로알키닐, 할로알킬, 할로알케닐, 할로알키닐, 할로헤테로알킬, 할로헤테로알케닐, 할로헤테로알키닐, 아릴, 헤테로사이클릴, 헤테로아릴, 알킬-아릴, 알케닐-아릴, 알키닐-아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 알킬-헤테로아릴, 알케닐-헤테로아릴, 알키닐-헤테로아릴, 헤테로알킬-아릴, 헤테로알케닐-아릴, 헤테로알키닐-아릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 헤테로알키닐-헤테로사이클릴, 헤테로알킬-헤테로아릴, 헤테로알케닐-헤테로아릴, 헤테로알키닐-헤테로아릴, 또는 이들의 임의의 조합으로부터 선택된다. 특정한 개시된 실시 예들에서, 아민은 알콕시, 아미드, 아민, 하이드록실, 티오에테르, 티올, 아실옥시, 실릴, 지환족, 아릴, 알데하이드, 케톤, 에스테르, 카르복시산, 아실, 아실 할라이드, 시아노, 할로겐, 설포네이트, 니트로, 니트로소, 4 차 아민, 피리디닐 (또는 피리디닐, 여기서 질소 원자는 지방족 또는 아릴기로 기능화됨), 알킬 할라이드 또는 이들의 임의의 조합들과 같은 하나 이상의 치환기들로 더 치환될 수도 있다.
일부 실시 예들에서, R1, R2, 및 R3 중 적어도 하나가 지방족, 할로지방족, 할로헤테로지방족, 또는 헤테로지방족일 때, 첨가제는 알킬 아민이다. 알킬 아민은 디알킬아민들, 트리알킬 아민들, 및 이들의 유도체들을 포함할 수 있다. 예시적인 알킬 아민들은 디메틸이소프로필 아민, N-에틸디이소프로필아민, 트리메틸아민, 디메틸아민, 메틸아민, 트리에틸아민, t-부틸아민, 등을 포함한다.
다른 실시 예들에서, R1, R2, 및 R3 중 적어도 하나가 하이드록실을 포함할 때, 첨가제는 알코올 아민이다. 일 예에서, R1, R2, 및 R3 중 적어도 하나는 하나 이상의 하이드록실들로 치환된 지방족기이다. 예시적인 알코올 아민들은 2-(디메틸아미노)에탄올, 2-(디에틸아미노)에탄올, 2-(디프로필아미노) 에탄올, 2-(디부틸아미노)에탄올, N-에틸디에탄올아민, N-tert부틸디에탄올아민, 등을 포함한다.
일부 실시 예들에서, R1 및 R2가 각각이 부착된 원자와 함께 취해져 사이클로헤테로지방족을 형성할 때, 첨가제는 사이클릭 아민일 수 있다. 예시적인 사이클릭 아민들은 피페리딘, N-알킬 피페리딘 (예를 들어, N-메틸 피페리딘, N-프로필 피페리딘, 등), 피롤리딘, N-알킬 피롤리딘 (예를 들어, N-메틸 피롤리딘, N-프로필 피롤리딘, 등) 을 포함하고, 모르폴린, N-알킬 모르폴린 (예를 들어, N-메틸 모르폴린, N-프로필 모르폴린, 등), 피페라진, N-알킬 피페라진, N,N-디알킬 피페라진 (예를 들어, 1,4-디메틸피페라진), 등을 포함한다.
다른 실시 예들에서, R1, R2, 및 R3 중 적어도 하나가 방향족을 포함할 때, 첨가제는 방향족 아민이다. 일부 실시 예들에서, R1, R2, 및 R3 중 적어도 하나는 방향족, 지방족-방향족, 또는 헤테로지방족-방향족이다. 다른 실시 예들에서, R1 및 R2 모두는 방향족을 포함한다. 또 다른 실시 예들에서, R1 및 R2 및 선택 가능하게 R3은 각각이 부착된 원자와 함께 취해져 방향족인 사이클로헤테로지방족을 형성한다. 예시적인 방향족 아민들은 아닐린, 히스타민, 피롤, 피리딘, 이미다졸, 피리미딘, 이들의 유도체들을 포함한다.
일부 실시 예들에서, 첨가제는 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 이소프로필아민, 1,2-에틸렌 디아민, 아닐린 (및 N,N디메틸아닐린과 같은 아닐린 유도체들), N-에틸디이소프로필아민, tert-부틸아민, 및 이들의 조합로 구성된 그룹으로부터 선택된 아민을 포함할 수도 있다.
일부 실시 예들에서, 첨가제는 플루오로아민을 포함할 수도 있다. 플루오로아민은 하나 이상의 플루오르화된 치환기들을 갖는 아민이다. 사용될 수도 있는 예시적인 플루오로아민들은 이로 제한되지 않지만, 4-트리플루오로메틸아닐린을 포함한다.
일부 실시 예들에서, 첨가제는 화학식 R1N-C(NR2)-NR3을 갖는, 탄산 (carbonic acid) 의 질소 함유 유사체 (nitrogenous analogue) 일 수 있다. 예시적인 첨가제들은 이로 제한되는 것은 아니지만, 구아니딘 또는 이의 유도체들을 포함할 수 있다.
일부 실시 예들에서, 첨가제는 예를 들어, 특정한 실시 예들에서 200 g/mol 또는 100 g/mol 미만의 분자량 (molecular weight) 을 갖는 상대적으로 저 분자량 아민일 수도 있다. 일부 실시 예들에서, 긴 사슬들 및/또는 헤테로사이클릭 화합물들을 갖는 것들을 포함하는 보다 고 분자량 아민들이 사용될 수도 있다.
아미노산들:
일부 실시 예들에서, 첨가제는 아미노산을 포함할 수도 있다. 아미노산은 R-CH(NR2)-COOH의 화학식을 가질 수도 있고, 여기서,
R 및 R는 독립적으로 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합이다.
사용될 수도 있는 예시적인 아미노산들은 이로 제한되는 것은 아니지만, 히스티딘, 알라닌, 및 이들의 유도체들을 포함한다.
유기 인 화합물들 (organophosphorus compounds):
일부 실시 예들에서, 첨가제는 유기 인 화합물을 포함할 수도 있다. 유기 인 화합물은 포스페이트 에스테르, 포스페이트 아미드, 포스폰 산, 포스핀 산, 포스포네이트, 포스피네이트, 포스핀 옥사이드, 포스핀 이미드, 또는 포스포늄 염일 수도 있다. 예시적인 유기 인 화합물들은 인산 및 트리알킬포스페이트를 포함한다. 일부 경우들에서, 유기 인 화합물은 포스파젠 (phosphazene) 이다. 포스파젠은 P와 N 사이에 이중 결합을 갖는 인 (V) 을 포함하는 유기 인 화합물이다. 포스파젠은 RN=P(NR2)3의 화학식을 가질 수도 있다 (여기서 R 및 R2 각각은 하이드록실, 지방족, 및 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택된다) 일부 경우들에서, 포스파젠은 [X2PN]n (여기서 X는 할라이드, 알콕사이드, 또는 아미드임) 의 화학식을 가질 수도 있다. 다른 타입들의 포스파젠들이 필요에 따라 사용될 수도 있다.
산화제들:
일부 실시 예들에서, 첨가제는 산화제를 포함한다. 본 명세서에 사용된 바와 같이, 산화제는 또 다른 물질을 산화시키는 (예를 들어, 전자들을 수용하는) 능력을 갖는 재료이다. 사용될 수도 있는 예시적인 산화제들은 이로 제한되는 것은 아니지만, 과산화수소, 소듐 하이포클로라이트 (sodium hypochlorite), 및 테트라메틸 암모늄 하이드록사이드 (tetramethyl ammonium hydroxide) 를 포함한다.
바이플루오라이드 (bifluoride) 소스들:
일부 실시 예들에서, 첨가제는 바이플루오라이드 소스를 포함한다. 바이플루오라이드 소스는 바이플루오라이드(HF2 -) 를 포함하거나 생성하는 재료이다. 사용될 수도 있는 예시적인 바이플루오라이드 소스들은 이로 제한되는 것은 아니지만, 암모늄 플루오라이드, 수성 HF, 가스성 HF, 완충된 옥사이드 에칭 혼합물 (예를 들어, HF와 암모늄 플루오라이드와 같은 완충제의 혼합물), 및 수소 플루오라이드 피리딘을 포함한다. 일부 실시 예들에서, 바이플루오라이드 소스 (및/또는 본 명세서에 열거된 다른 첨가제들 중 하나 이상) 는 반응 챔버로의 전달 전 또는 후에 HF2 -를 형성하도록 반응할 수도 있다.
알데하이드들:
일부 실시 예들에서, 첨가제는 X-[C(O)]-H의 화학식을 갖는 알데하이드를 포함하고, 여기서,
X는 수소, -R1, -C(R2)3 또는 -[C(R3)2] m -C(O)H로부터 선택될 수 있고, 여기서 R1, R2 및 R3 각각은 수소, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 선택되고, m은 0 내지 10의 정수이다.
일부 실시 예들에서, R1, R2 및 R3 각각은 독립적으로 알킬, 알케닐, 알키닐, 헤테로알킬, 헤테로알케닐, 헤테로알키닐, 할로알킬, 할로알케닐, 할로알키닐, 할로헤테로알킬, 할로헤테로알케닐, 할로헤테로알키닐, 아릴, 헤테로사이클릴, 헤테로아릴, 알킬-아릴, 알케닐-아릴, 알키닐-아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 알킬-헤테로아릴, 알케닐-헤테로아릴, 알키닐-헤테로아릴, 헤테로알킬-아릴, 헤테로알케닐-아릴, 헤테로알키닐-아릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 헤테로알키닐-헤테로사이클릴, 헤테로알킬-헤테로아릴, 헤테로알케닐-헤테로아릴, 헤테로알키닐-헤테로아릴, 또는 이들의 임의의 조합이다. 특정한 개시된 실시 예들에서, 알데하이드 또는 케톤은 알데하이드 (-C(O)H), 옥소 (=O), 알콕시, 아미드, 아민, 하이드록실, 티오에테르, 티올, 아실옥시, 실릴, 지환족, 아릴, 알데하이드, 케톤, 에스테르, 카르복시산, 아실, 아실 할라이드, 시아노, 할로겐, 설포네이트, 니트로, 니트로소, 4 차 아민, 피리디닐 (또는 피리디닐, 여기서 질소 원자는 지방족 또는 아릴기로 기능화됨), 알킬 할라이드 또는 이들의 임의의 조합들과 같은 하나 이상의 치환기들로 더 치환될 수도 있다.
일부 실시 예들에서, X = 방향족일 때, 첨가제는 방향족 알데하이드일 수 있다. 예시적인 방향족 알데하이드들은 벤즈알데하이드, 1-나프타알데하이드, 프탈알데하이드, 등을 포함한다.
다른 실시 예들에서, X = 지방족일 때, 첨가제는 지방족 알데하이드일 수 있다. 예시적인 지방족 알데하이드들은 아세트알데하이드 (acetaldehyde), 프로피온알데하이드 (propionaldehyde), 부틸알데하이드 (butyraldehyde), 이소발레릴알데하이드 (isovalerylaldehyde), 등을 포함한다.
또 다른 실시 예들에서, X = -[C(R3)2] m -C(O)H이고 m이 0 내지 10일 때 또는 X는 -C(O)H로 치환된 지방족 또는 헤테로지방족일 때, 첨가제는 디알데하이드일 수 있다. 예시적인 디알데하이드들은 글리옥살, 프탈알데하이드, 글루타르알데하이드, 말론디알데하이드, 숙신알데하이드, 등을 포함한다.
일부 예들에서, 첨가제로서 사용된 알데하이드는 아크롤레인 (acrolein), 아세트알데하이드, 포름알데하이드, 벤즈알데하이드, 프로피온알데하이드, 부틸알데하이드, 신남알데하이드, 바닐린, 및 톨루알데하이드로 구성된 그룹으로부터 선택될 수도 있다. 이들 또는 다른 경우들에서, 첨가제로서 사용된 알데하이드는 이 섹션에서 논의된 알데하이드들 및 유기 용매 섹션에서 논의된 알데하이드들로부터 선택될 수도 있다.
카르벤들:
일부 실시 예들에서, 첨가제는 카르벤을 포함한다. 카르벤은 X-(C:)-Y의 화학식을 가질 수도 있고, 여기서,
X 및 Y 각각은 H, 할로, -[C(R1)2] m -C(R2)3, -C(O)-R1, 또는 -C(=NR1)-R2, -NR1R2, -OR2, -SR2, 또는 -C(R2)3로부터 독립적으로 선택될 수 있고, 여기서 R1 및 R2 각각은 수소, 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 독립적으로 선택될 수 있고, 여기서 m은 0 내지 10의 정수이고;
여기서 R1 및 R2는 각각이 부착된 원자와 함께 취해져, 선택 가능하게 사이클로헤테로지방족기를 형성할 수 있고; 그리고
여기서 X 및 Y는 각각이 부착된 원자와 함께 취해져, 선택 가능하게 지환족 또는 사이클로헤테로지방족기를 형성할 수 있다.
더욱이, 첨가제는 화학식 R1-C+(R)-R2를 갖는 카베늄 양이온일 수 있고, 여기서 R, R1 및 R2 각각은 수소, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 독립적으로 선택된다.
일부 실시 예들에서, R, R1 및 R2 각각은 독립적으로 알킬, 알케닐, 알키닐, 헤테로알킬, 헤테로알케닐, 헤테로알키닐, 할로알킬, 할로알케닐, 할로알키닐, 할로헤테로알킬, 할로헤테로알케닐, 할로헤테로알키닐, 아릴, 헤테로사이클릴, 헤테로아릴, 알킬-아릴, 알케닐-아릴, 알키닐-아릴, 알킬-헤테로사이클릴, 알케닐-헤테로사이클릴, 알키닐-헤테로사이클릴, 알킬-헤테로아릴, 알케닐-헤테로아릴, 알키닐-헤테로아릴, 헤테로알킬-아릴, 헤테로알케닐-아릴, 헤테로알키닐-아릴, 헤테로알킬-헤테로사이클릴, 헤테로알케닐-헤테로사이클릴, 헤테로알키닐-헤테로사이클릴, 헤테로알킬-헤테로아릴, 헤테로알케닐-헤테로아릴, 헤테로알키닐-헤테로아릴, 또는 이들의 임의의 조합들로부터 선택된다. 특정한 개시된 실시 예들에서, 카르벤은 알콕시, 아미드, 아민, 하이드록실, 티오에테르, 티올, 아실옥시, 실릴, 지환족, 아릴, 알데하이드, 케톤, 에스테르, 카르복시산, 아실, 아실 할라이드, 시아노, 할로겐, 설포네이트, 니트로, 니트로소, 4 차 아민, 피리디닐 (또는 피리디닐, 여기서 질소 원자는 지방족 또는 아릴기로 기능화됨), 알킬 할라이드 또는 이들의 임의의 조합들과 같은 하나 이상의 치환기들로 더 치환될 수도 있다. 카르벤의 임의의 실시 예에서, R1 및 R2 각각은 독립적으로 선택될 수 있다.
일부 실시 예들에서, X 또는 Y 중 적어도 하나가 할로일 때, 첨가제는 할로카르벤일 수 있다. 예시적인, 비제한적인 할로카르벤들은 디할로카르벤, 예컨대 디클로로카르벤, 디플루오로카르벤, 등을 포함한다.
일부 실시 예들에서, X, Y 모두 -NR1R2일 때, 첨가제는 디아미노카르벤일 수 있다. 일 예에서, R1 및 R2 각각은 독립적으로 지방족이다. 예시적인 디아미노카르벤들은 비스(디이소프로필아미노) 카르벤, 등을 포함한다.
다른 실시 예들에서, X 또는 Y 모두 또는 적어도 하나가 -NR1R2이고 X 내 또는 Y 내의 R1 및 R2 모두가 각각이 부착된 질소 원자와 함께 취해져 사이클로헤테로지방족기를 형성할 때, 첨가제는 사이클릭 디아미노카르벤일 수 있다. 예시적인 사이클릭 디아미노 카르벤들은 비스(N-피페리딜) 카르벤, 비스(N-피롤리디닐) 카르벤, 등을 포함한다.
일 예에서, 사이클로헤테로지방족기를 형성하기 위해, 각각이 부착된 질소 원자와 함께 취해져 X 및 Y모두 -NR1R2이고 X로부터의 R1 기 및 Y로부터의 R2 기가 함께 취해질 때, 첨가제는 N-헤테로사이클릭 카르벤이다. 예시적인 N-헤테로사이클릭 카르벤들은 이미다졸-2-일리덴들 (예를 들어, 1,3-디메시틸이미다졸-2-일리덴, 1,3-디메시틸-4,5-디클로로이미다졸-2-일리덴, 1,3-비스(2,6-디이소프로필페닐) 이미다졸-2-일리덴, 1,3-디-tert-부틸이미다졸-2-일리덴, 등), 이미다졸리딘-2-일리덴들 (예를 들어, 1,3-비스(2,6-디이소프로필페닐) 이미다졸리딘-2-일리덴), 트리아졸-5-일리덴들 (예를 들어, 1,3,4-트리페닐-4,5-디하이드로-1H-1,2,4-트리아졸-5-일리덴), 등을 포함한다.
일부 실시 예들에서, X = -NR1R2 및 Y = -SR2이고 X로부터의 R1 기 및 Y로부터의 R2 기는 각각이 부착된 질소 원자와 함께 취해져 사이클로헤테로지방족기를 형성할 때, 첨가제는 사이클릭 티오알킬 아미노 카르벤이다. 예시적인 사이클릭 티오알킬 아미노 카르벤들은 티아졸-2-일리덴들 (예를 들어, 3-(2,6-디이소프로필페닐)티아졸-2-일리덴 등) 을 포함한다.
일부 실시 예들에서, X = -NR1R2 및 Y = -C(R2)3이고 X로부터의 R1 기 및 Y로부터의 R2 기는 각각이 부착된 원자와 함께 취해져 사이클로헤테로지방족기를 형성할 때, 첨가제는 사이클릭 알킬 아미노 카르벤이다. 예시적인 사이클릭 알킬 아미노 카르벤들은 피롤리딘-2-일리덴들 (예를 들어, 1,3,3,5,5-펜타메틸-피롤리딘-2-일리덴 등) 및 피페리딘-2-일리덴들 (예를 들어, 1,3,3,6,6-펜타메틸-피페리딘-2-일리덴 등) 을 포함한다.
추가의 예시적인 카르벤들 및 이의 유도체들은 티아졸-2-일리덴 모이어티, 디하이드로이미다졸-2-일리덴 모이어티, 이미다졸-2-일리덴 모이어티, 트리아졸-5-일리덴 모이어티, 또는 사이클로프로페닐리덴 모이어티를 갖는 화합물들을 포함한다. 또 다른 카르벤들 및 카르벤 유사체들은 아미노티오카르벤 화합물, 아미노옥시카르벤 화합물, 디아미노카르벤 화합물, 헤테로아미노 카르벤 화합물, 1,3-디티올륨 카르벤 화합물, 메조이온성 카르벤 화합물 (예를 들어, 이미다졸린-4-일리덴 화합물, 1,2,3-트리아졸릴리덴 화합물, 피라졸리닐리덴 화합물, 테트라졸-5-일리덴 화합물, 이속사졸-4-일리덴 화합물, 티아졸-5-일리덴 화합물 등), 사이클릭 알킬 아미노 카르벤 화합물, 보라닐리덴 화합물, 실릴렌 화합물, 스타닐렌 화합물, 니트렌 화합물, 포스피니덴 화합물, 포일드 카르벤 화합물 (foiled carbene compound) 등을 포함한다. 추가의 예시적인 카르벤들은 디메틸 이미다졸-2-일리덴, 1,3-비스(2,4,6-트리메틸페닐)-4,5-디하이드로이미다졸-2-일리덴, (포스파닐)(트리플루오로메틸)카르벤, 비스(디이소프로필아미노) 카르벤, 비스(디이소프로필아미노) 사이클로프로페닐리덴, 1,3-디메시틸-4,5-디클로로이미다졸-2-일리덴, 1,3-디아다만틸이미다졸-2-일리덴, 1,3,4,5-테트라메틸이미다졸-2-일리덴, 1,3-디메시틸이미다졸-2-일리덴, 1,3-디메시틸이미다졸-2-일리덴, 1,3,5-트리페닐트리아졸-5-일리덴, 비스(디이소프로필아미노) 사이클로프로페닐리덴, 비스(9-안트릴)카르벤, 노르보르넨-7-일리덴, 디하이드로이미다졸-2-일리덴, 메틸리덴카르벤 등을 포함한다.
유기산들:
일부 실시 예들에서, 첨가제는 유기산을 포함한다. 유기산은 화학식 R-CO2H의 화학식을 가질 수도 있고, 여기서 R은 수소, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족 또는 이들의 임의의 조합들로부터 선택된다. 특정한 실시 예들에서, R은 알킬, 알케닐, 알키닐, 헤테로알킬, 헤테로알케닐, 헤테로알키닐, 할로알킬, 할로알케닐, 할로알키닐, 할로헤테로알킬, 할로헤테로알케닐, 할로헤테로알키닐, 아릴, 헤테로아릴, 알킬-아릴, 알케닐-아릴, 알키닐-아릴, 알킬-헤테로아릴, 알케닐-헤테로아릴, 알키닐-헤테로아릴, 헤테로알킬-아릴, 헤테로알케닐-아릴, 헤테로알키닐-아릴, 헤테로알킬-헤테로아릴, 헤테로알케닐-헤테로아릴, 헤테로알키닐-헤테로아릴 또는 이들의 임의의 조합들이다. 특정한 개시된 실시 예들에서, R은 알콕시, 아미드, 아민, 티오에테르, 하이드록실, 티올, 아실옥시, 실릴, 지환족, 아릴, 알데하이드, 케톤, 에스테르, 카르복시산, 아실, 아실 할라이드, 시아노, 할로겐, 설포네이트, 니트로, 니트로소, 4 차 아민, 피리디닐 (또는 피리디닐, 여기서 질소 원자는 지방족 또는 아릴기로 기능화됨 (functionalize)), 알킬 할라이드 또는 이들의 임의의 조합들과 같은 하나 이상의 치환기들로 더 치환될 수도 있다. 특정한 구현 예들에서, 유기산은 포름산 및 아세트산으로부터 선택될 수도 있다.
치환기들 (substitutions):
본 명세서에 기술된 임의의 예시적인 재료들은 치환되지 않은 형태 및/또는 치환된 형태의 화합물을 포함한다. 비제한적인 예시적인 치환기들은, 예를 들어, 다음으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 3, 4 또는 그 이상의 치환기들을 포함한다: (1) C1-6 알콕시 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); (2) C1-6 알킬술피닐 (예를 들어, -S(O)-R, 여기서 R은 C1-6 알킬임); (3) C1-6 알킬술포닐 (예를 들어, -SO2-R, 여기서 R은 C1-6 알킬); (4) 아민 (예를 들어, -C(O)NR1R2 또는 -NHCOR1, 여기서 R1 및 R2 각각은, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 또는 R1 및 R2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성함); (5) 아릴; (6) 아릴알콕시 (예를 들어, L은 알킬이고 R은 아릴인-O-L-R); (7) 아릴로일 (예를 들어, R이 아릴인-C(O)-R); (8) 아지도 (예를 들어, -N3); (9) 시아노 (예를 들어, -CN); (10) 알데하이드 (예를 들어, -C(O)H); (11) C3-8 사이클로알킬; (12) 할로; (13) 헤테로사이클릴 (예를 들어, 본 명세서에 정의된 바와 같이, 예컨대 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 함유하는 5 원 고리, 6 원 고리 또는 7 원 고리); (14) 헤테로사이클릴옥시 (예를 들어, -O-R, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴임); (15) 헤테로사이클릴로일 (예를 들어, -C(O)-R, 여기서 R은 본 명세서에 정의된 바와 같은, 헤테로사이클릴); (16) 하이드록실 (예를 들어, -OH); (17) N-보호된 아미노; (18) 니트로 (예를 들어, -NO2); (19) 옥소 (예를 들어, =O); (20) C1-6 티오알콕시 (예를 들어, -S-R, 여기서 R은 알킬임); (21) 티올 (예를 들어, -SH); (22) -CO2R1, 여기서 R1은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (23) -C(O)NR1R2, 여기서 R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (24) -SO2R1, 여기서 R1은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (25) -SO2NR1R2, 여기서 R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (26) -SiR1R2R3, 여기서 R1 및 R2 및 R3 각각은 (a) 수소, (b) F, Cl, Br, 또는 I과 같은 할로, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, 또는 (f) C1-6 알콕시로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); 및 (27) -NR1R2, 여기서 R1 및 R2 각각은, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, (f) C4-18 아릴, (g) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임), (h) C3-8 사이클로알킬, 및 (i) C1-6 알킬-C3-8 사이클로알킬로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임), 일 실시 예에서, 카르보닐기 또는 술포닐기를 통해 질소 원자에 결합되는 2 개의 기들은 없다.
특정한 실시 예들에서, 첨가제는 양성자 수용체 (proton acceptor) 로서 작용할 수도 있고 HF2 -의 형성을 촉진할 수도 있다. 일부 이러한 경우들에서, HF2 -는 기판 상의 하나 이상의 재료들, 예컨대 옥사이드 재료 또는 또 다른 재료를 능동적으로 에칭할 수도 있다.
에칭
반응 챔버로 전달된 증기상 종은 집합적으로 가스 혼합물로 지칭될 수도 있다. 반응 챔버로 전달된 비-불활성 종 (예를 들어, 캐리어 가스 이외의 반응 물질들) 은 집합적으로 반응 물질 혼합물로 지칭될 수도 있다. 가스 혼합물은 반응 물질 혼합물 및 캐리어 가스를 포함한다. 일부 경우들에서, 반응 물질 혼합물 및/또는 가스 혼합물은 특정한 조성을 가질 수도 있다. 예를 들어, 수소 플루오라이드 또는 다른 할로겐 소스는 약 20 내지 100 %(체적 기준), 또는 약 20 내지 99 %(체적 기준) 의 농도로 반응 물질 혼합물에 제공될 수도 있다. 이들 또는 다른 경우들에서, 수소 플루오라이드 또는 다른 할로겐 소스는 약 0.5 내지 20 %(체적 기준) 의 농도로 가스 혼합물에 제공될 수도 있다. 유기 용매 및/또는 물은 약 10 내지 100 %(체적 기준), 또는 약 10 내지 99 %(체적 기준) 의 농도로 반응 물질 혼합물에 제공될 수도 있다. 이들 또는 다른 경우들에서, 유기 용매 및/또는 물은 약 0 내지 10 %(체적 기준) 의 농도로 가스 혼합물에 제공될 수도 있다. 첨가제는 약 0.2 내지 5 %(체적 기준) 의 농도로 반응 물질 혼합물에 제공될 수도 있다. 이들 또는 다른 경우들에서, 첨가제는 약 0 내지 0.2 %, 또는 약 0.0001 내지 0.2 %(체적 기준) 의 농도로 가스 혼합물에 제공될 수도 있다. 캐리어 가스는 약 0 내지 99 %(체적 기준) 의 농도로 가스 혼합물에 제공될 수도 있다.
일부 실시 예들에서, 첨가제 및 유기 용매 및/또는 물은 첨가제가 첨가제/유기 용매 및/또는 물 혼합물의 약 0.1 내지 5 %(중량 기준) 이도록 혼합된다. 혼합 순서와 무관하게 반응 물질 혼합물은 첨가제 및 유기 용매 및/또는 물의 총량의 약 0.1 내지 5 %(중량 기준) 인 것을 특징으로 할 수도 있다.
동일하거나 대안적인 실시 예들에서, 반응 물질 혼합물은 할로겐 소스:첨가제 비 (체적 기준) 를 특징으로 할 수도 있다. 이하에 더 기술된 바와 같이, 일부 실시 예들에서, 선택도는 첨가제의 양이 증가함에 따라 선택도가 증가함에 따라 (따라서 감소하는 비) 할로겐 소스:첨가제 체적 비에 의해 튜닝될 수 있다. 일부 실시 예들에서, 할로겐 소스:첨가제 비는 10 이하이다. 일부 실시 예들에서, 할로겐:소스 첨가제 비는 10 초과이다.
다양한 실시 예들에 따라, 반응 물질 혼합물은 할로겐 소스, 알코올, 및 아민을 포함할 수도 있고, 아민은 총 알코올 및 아민 양의 0.1 내지 5 중량 %이다. 일부 실시 예들에서, 할로겐 소스:아민 체적비는 10 이하이다. 다른 실시 예들에서, 할로겐 소스:아민 체적비는 10 이상이다. 일부 실시 예들에서, 아민은 피리딘이다. 일부 실시 예들에서, 알코올은 이소프로필 알코올이다. 일부 실시 예에서 할로겐 소스는 HF이다.
상기 기술된 바와 같이, 다양한 구현 예들에 따라, 에칭은 또 다른 재료에 대해 기판 상의 일 재료에 대해 선택적일 수도 있다. 다른 구현 예들에서, 에칭은 기판 상의 복수의 재료들에 대해 비선택적일 수도 있다.
일부 실시 예들에서, 옥사이드들은 Si 및 SiGe와 같은 나이트라이드들 및 에피택셜 재료들 중 하나 이상에 대해 선택적으로 에칭된다. 실리콘 옥사이드에 대한 반응 물질 혼합물의 에칭 선택도는 혼합물 내 첨가제의 양에 의해 튜닝될 수 있다. 예를 들어, 실리콘 나이트라이드에 대한 실리콘 옥사이드의 매우 높은 (적어도 50:1) 에칭 선택도가 10 이하의 할로겐 소스:첨가제 (예를 들어, HF:피리딘) 를 갖는 반응 물질 혼합물을 사용하여 달성된다. 에칭 선택도는 첨가제가 없는 경우에 선택도가 관찰되지 않도록 비가 상승함에 따라 감소한다. 유사한 효과들이 Si 및 SiGe에 대한 실리콘 옥사이드의 에칭 선택도에 대해 관찰될 수도 있다.
일부 실시 예들에서, 로우-k 재료들은 배리어 재료들에 대해 선택적으로 에칭된다. 예를 들어, 탄소 도핑된 실리콘 옥사이드 재료는 티타늄 나이트라이드 층과 같은 배리어 재료에 대해 선택적으로 에칭될 수도 있다.
제 2 재료에 대해 타깃 재료의 선택적인 에칭 또는 비선택적인 에칭을 달성하기 위한 타깃 재료들, 제 2 재료들, 및 에칭 화학 물질들의 예들은 이하의 표에 기술된다. 괄호 안의 비들은 제 2 재료에 대한 타깃 재료의 대략적인 에칭 레이트들을 나타낸다.
타깃 재료 제 2 재료 에칭 화학 물질
SiO2 SiN 타깃의 고 선택도 (50:1) 에 대해 HF:첨가제 ≤ 10. 비선택적 (1:1) 에칭을 위한 첨가제 없음
SiO2 Si, SiGe HF:첨가제 < 10 1000:1 선택도
SiN SiO2 비선택적 (1:1) 에칭을 위한 첨가제 없음
SiC Si, SiGe 타깃의 고 선택도 (100:1) 에 대해 HF:첨가제 ≤ 10.
도 1은 본 명세서의 다양한 실시 예들에 따른 기판을 에칭하는 방법에 대한 플로우 차트를 제시한다. 방법은 기판이 반응 챔버 내에 수용되는 동작 (101) 으로 시작된다. 기판은 제거될 하나 이상의 재료들을 그 위에 포함한다. 예시 재료들은 상기 나열되었다. 동작 (103) 에서, 가스 혼합물이 반응 챔버 내로 흐른다. 가스 혼합물은 본 명세서에 기술된 바와 같은 조성 및 다른 특성들을 가질 수도 있다. 유사하게, 압력, 온도, 절대적 플로우 레이트 및 상대적 플로우 레이트, 등과 같은 하나 이상의 프로세싱 변수들이 본 명세서에 기술된 바와 같이 제어될 수도 있다. 동작 (105) 에서, 기판은 가스 혼합물에 노출되고, 기판 상의 하나 이상의 재료들은 이러한 노출의 결과로서 에칭된다. 이들 동작들은 시간상 오버랩할 수도 있다.
일부 예들에서, 가스 혼합물은 먼저 (1) 첨가제 및 (2) 유기 용매 및/또는 물의 혼합물을 생성함으로써 준비된다. 첨가제와 유기 용매 및/또는 물의 혼합물은 캐리어 가스에 첨가될 수도 있고, 이어서 수소 플루오라이드 가스 또는 다른 할로겐 소스가 첨가될 수도 있다. 다른 예들에서, 수소 플루오라이드 또는 다른 할로겐 소스 가스, 캐리어 가스 및 알코올은 가스 플로우를 형성하도록 함께 혼합될 수도 있고, 이어서 첨가제가 가스 플로우에 첨가될 수도 있다. 다양한 혼합 스킴들이 가능하고, 모두 개시된 실시 예들의 범위 내에 있는 것으로 간주된다.
일부 구현 예들에서, 하나 이상의 프로세싱 변수가 에칭 동안 제어될 수도 있다. 예를 들어, 반응 챔버 내 압력은 약 10 Torr 이하, 예를 들어 일부 실시 예들에서 약 0.2 내지 10 Torr로 제어될 수도 있다. 반응 챔버 내 온도는 예를 들어, 에칭 동안 기판이 포지셔닝되는 기판 지지부의 온도를 제어함으로써, 그리고/또는 가스 혼합물의 온도 및/또는 반응 챔버 내로 가스 혼합물을 전달하도록 사용된 샤워헤드의 온도를 제어함으로써 제어될 수도 있다. 일부 실시 예들에서, 반응 챔버, 기판 지지부, 및 샤워헤드 중 하나 이상의 온도는 에칭 동안, 예를 들어 약 20 내지 500 ℃의 온도들에서 제어될 수도 있다. 일부 실시 예들에서, 이들 원소들 중 하나 이상의 온도는 2 개 이상의 상이한 온도들 사이에서 순환할 수도 있다. 일 예는 도 2 내지 도 4의 맥락에서 이하에 더 논의된다. 일부 실시 예들에서, 기판이 가스 혼합물에 노출되는 지속 기간이 제어될 수도 있다. 예를 들어, 이 지속 기간은 약 0 내지 10 분일 수도 있다. 일부 경우들에서, 가스 혼합물에 대한 노출 지속 기간은 기판 상의 재료들이 에칭되는 정도를 제어할 수도 있다. 다른 경우들에서, 에칭 프로세스는 부가적인 노출 지속 기간이 타깃팅된 재료의 부가적인 에칭을 야기하지 않도록 자기-제한적 (self-limiting) 일 수도 있다. 이러한 일 예는 도 2 내지 도 4의 맥락에서 논의된다.
도 2는 일부 실시 예들에서 사용될 수도 있는 순환적 에칭 방법을 기술하는 플로우 차트이다. 도 3a 내지 도 3c는 도 2의 프로세싱 방법을 겪을 때 부분적으로 제조된 반도체 기판을 예시한다. 도 4는 특정한 실시 예들에서 도 2의 방법 동안 온도가 제어될 수도 있는 방법을 예시한다. 명확성을 위해, 이들 도면들은 서로를 참조하여 기술될 것이다.
도 2의 방법은 기판 (301) 이 반응 챔버에 제공되는 동작 (201) 으로 시작된다. 상기 기술된 바와 같이, 기판은 상부에 하나 이상의 재료들을 가질 수도 있다. 이들 재료들 중 하나 이상은 기판 상에 존재하는 다른 재료들과 비교하여 제거를 위해 타깃팅될 수도 있다. 도 3a에 도시된 특정한 예에서, 기판 (301) 은 실리콘 핀들 (fins) (302) 뿐만 아니라 SiN, SiCN, SiCO, 또는 SiCON과 같은 스페이서 재료를 포함하는 노출된 스페이서 층 (미도시) 을 포함한다. 이 예에서, 핀들이 보다 작아지도록 핀들을 트리밍하는 것이 바람직하다.
다음에, 동작 (203) 에서, 제 1 반응 물질 또는 제 1 가스 혼합물이 반응 챔버 내로 흐른다. 제 1 반응 물질 또는 제 1 가스 혼합물은 기판 (301) 의 표면 상에 존재하는 하나 이상의 재료들을 개질하도록 작용할 하나 이상의 종을 포함한다. 일부 경우들에서, 개질은 옥사이드 재료의 형성을 수반한다. 이들 또는 다른 경우들에서, 개질은 노출된 재료의 플루오르화, 노출된 재료 상의 유기 분자 흡착, 등을 수반한다. 다양한 표면 개질들이 가능하다. 도 3a 내지 도 3c에 도시된 바와 같이 실시 예의 맥락에서, 제 1 반응 물질 또는 제 1 가스 혼합물은 이하에 기술된 바와 같이, 실리콘 옥사이드 (303) 를 형성하기 위해 실리콘 핀들 (302) 을 개질하도록 작용할 산화 종 (예를 들어, O2 또는 다른 산화 종) 을 포함한다. 많은 실시 예들에서, 제 1 반응 물질 또는 제 1 가스 혼합물은 기판 (301) 상의 다른 재료들과 비교하여 기판 (301) 상의 재료들 중 하나 이상을 선택적으로 개질할 수도 있다. 예를 들어, 도 3a 내지 도 3c의 맥락에서, 반응 챔버에 제공된 산소는 실리콘 핀들 (302) 을 선택적으로 개질할 것이고, 스페이서 재료와 같은 다른 재료들 상에서 실질적으로 보다 적은 개질 (또는 개질 없음) 이 발생한다.
동작 (205) 에서, 기판 (301) 은 기판 (301) 의 표면 상의 재료들 중 하나 이상을 개질하도록 제 1 반응 물질 또는 제 1 가스 혼합물에 노출된다. 도 3a 내지 도 3c의 실시 예에서, 제 1 반응 물질 또는 제 1 가스 혼합물로의 노출은 도 3b에 도시된 바와 같이, 실리콘 옥사이드 (303) 의 박층을 형성하도록 실리콘 핀들 (302) 의 노출된 표면 개질을 발생시킨다.
동작 (207) 에서, 제 2 가스 혼합물이 반응 챔버에 제공된다. 제 2 가스 혼합물은 본 명세서에 기술된 조성 및 특성들을 가질 수도 있다. 예를 들어, 이는 (1) HF 또는 다른 할로겐 소스(들), (2) 하나 이상의 유기 용매들 및/또는 물, (3) 상기 기술된 바와 같은 하나 이상의 첨가제들, 및 (4) 캐리어 가스를 포함할 수도 있다.
동작 (209) 에서, 기판 (301) 은 제 2 가스 혼합물에 노출되고, 동작 (205) 에서 형성된 개질된 재료 (예를 들어, 도 3b의 실리콘 옥사이드 (303)) 가 에칭된다. 기판 (301) 이 노출된 2 개 이상의 재료를 포함하는 경우들에서, 동작 (205) 에서 형성된 개질된 재료는 스페이서 재료들, 등과 같은 다른 재료들과 비교하여 선택적으로 에칭될 수도 있다. 이 지점에서, 제거를 위해 타깃팅된 재료의 일부 부분이 개질되고 이어서 기판 (301) 으로부터 제거된다. 도 3a 내지 도 3c의 맥락에서, 도 3c에 도시된 바와 같이, 실리콘 핀들 (302) 이 이전보다 보다 작고/보다 좁다는 것을 의미한다.
다음에, 동작 (211) 에서, 에칭 프로세스가 충분히 완료되었는지 여부 (예를 들어, 충분한 양의 재료가 기판 (301) 으로부터 제거되었는지 여부) 가 결정된다. 이 결정은 시간, 에칭 레이트, 제거될 재료의 두께, 등을 포함하는 다수의 인자들에 기초하여 이루어질 수도 있다. 충분한 양의 재료가 기판 (301) 으로부터 제거되었다고 결정되면, 방법은 완료된다. 그렇지 않으면, 방법은 동작 (203) 에서 시작하여 반복된다. 표면 개질 단계 및 에칭 단계는 충분한 양의 재료가 기판 (301) 으로부터 제거되었다는 것이 결정될 때까지 서로 순환된다.
도 4는 도 2 및 도 3a 내지 도 3c에 기술된 바와 같은 순환적인 에칭 기법을 실시할 때 반응 챔버의 온도가 시간에 따라 제어될 수도 있는 방법을 예시한다. 도 4는 도 2 및 도 3a 내지 도 3c의 맥락에서 설명되지만, 실시 예들은 이렇게 제한되지 않고, 도 4에 기술된 온도 제어는 다른 구조체들 및/또는 다른 재료들을 활용하는 것들을 포함하여, 많은 상이한 맥락들에서 사용될 수도 있다는 것이 이해된다.
온도는 예를 들어 기판 지지부, 샤워헤드, 반응 챔버 벽들, 프로세스 가스들, 등의 온도를 제어함으로써 목표된대로 결합될 수도 있는 다수의 기법들을 사용하여 제어될 수도 있다. 도 4의 프로세스 시퀀스는 도 2의 동작 (201) 에 기술된 바와 같이, 기판이 반응 챔버로 도입될 때, 시간 t0에서 시작된다. 기판은 제거되거나 그렇지 않으면 에칭될 하나 이상의 재료들을 포함한다. 예를 들어, 도 3a 내지 도 3c의 예에서, 기판 (301) 은 도 3a에 도시된 바와 같이 트리밍될 실리콘 핀들 (302) 을 포함한다. 시간 t0에서, 온도는 T0의 최초 시작 온도이다. 시간 t0과 시간 t1 사이에, 온도는 도 4에 도시된 바와 같이 T0에서 T2로 상승한다. 이 기간은 온도가 램핑 업 (ramping up) 되기 때문에 램핑 기간으로 지칭될 수도 있다. 시간 t1과 시간 t2 사이에, 온도는 T2로 유지된다. 이 기간은 수정 기간으로 지칭될 수도 있다. 개질 기간 동안, 기판은 도 2의 동작들 (203 및 205) 에 기술된 바와 같이, 기판 상의 하나 이상의 재료들을 개질하도록 제 1 반응 물질 또는 제 1 가스 혼합물에 노출된다. 일부 경우들에서, 제 1 반응 물질 또는 제 1 가스 혼합물은 시간 t1에 또는 직후에 반응 챔버 내로 흐르기 시작할 수도 있지만, 다른 경우들에서 제 1 반응 물질 또는 제 1 가스 혼합물은 t0 내지 t1 사이의 시간에 반응 챔버 내로 흐르기 시작할 수도 있다. 도 3a 내지 도 3c의 예에서, 개질 기간 동안, 실리콘 핀들 (302) 의 노출된 부분은 도 3b에 도시된 바와 같이 실리콘 옥사이드 (303) 로 변환된다.
도 4를 다시 참조하면, 시간 t2와 시간 t3 사이에서, 온도는 T2에서 T1로 감소된다. T1은 도시된 바와 같이 T0보다 보다 클 수도 있다. 다른 경우들에서, T1은 T0보다 보다 작거나 같을 수도 있다. 시간 t2와 시간 t3 사이의 기간은 온도가 감소하기 때문에 냉각 (cool down) 기간으로 지칭될 수도 있다. 시간 t3과 시간 t4 사이에, 온도는 T1로 유지된다. 이 기간은 기상 에칭 (vapor etch) 기간으로 지칭될 수도 있다. 기상 에칭 기간 동안, 기판은 도 2의 동작들 (207 및 209) 에 기술된 바와 같이, 기판의 표면 상의 개질된 재료(들)의 일부 또는 전부를 에칭하도록 제 2 가스 혼합물에 노출된다. 일부 경우들에서, 제 2 가스 혼합물은 시간 t3에 또는 시간 t3 직후에 반응 챔버 내로 흐르기 시작할 수도 있지만, 다른 경우들에서 제 2 가스 혼합물은 t2와 t3 사이의 시간에 반응 챔버 내로 흐르기 시작할 수도 있다. 도 3a 내지 도 3c의 맥락에서, 실리콘 옥사이드 (303) 는 기상 에칭 기간 동안 부분적으로 또는 전체적으로 제거될 수도 있다. 기상 에칭 기간 후, 기판 (301) 은 도 3c에 도시된 바와 같을 수도 있다. 시간 t3까지, 제거를 위해 타깃팅된 재료의 적어도 일부 (예를 들어, 도 3a 내지 도 3c에서 실리콘 핀 (302)) 가 제거된다. 그러나, 부가적인 에칭이 목표될 수도 있다. 이와 같이, 시간 t4에서, 도 2의 동작 (211) 에 기술된 바와 같이, 에칭이 충분히 완료되었는지 여부가 결정된다. 에칭이 충분히 완료되면, 프로세스 시퀀스가 완료되고 기판은 반응 챔버로부터 제거될 수도 있다 (도 4에 미도시). 에칭이 아직 충분히 완료되지 않은 경우, 방법은 화살표 (400) 로 나타낸 바와 같이 이전 단계로 다시 순환할 수도 있다. 이 때, 온도는 제 2 램프 기간에 T2로 상승되고, 이어서 제 2 개질 기간, 제 2 냉각 기간, 및 제 2 기상 에칭 기간이 이어진다. 램프 기간, 개질 기간, 냉각 기간, 및 기상 에칭 기간은 에칭이 충분히 완료될 때까지 목표된대로 순환될 수도 있다.
도 4에 도시된 바와 같이, 순환 에칭 기법들이 사용되는 경우, 온도는 2 이상의 상이한 설정들 사이를 순환할 수도 있다. 일부 실시 예들에서, 개질 기간 (예를 들어, T2) 동안 온도는 약 100 내지 500 ℃일 수도 있는 한편, 기상 에칭 기간 (예를 들어, T1) 동안 온도는 약 20 내지 200 ℃일 수도 있다. 다양한 구현 예들에서, 기판은 도 4에 기술된 기간들 중 임의의 기간 동안 플라즈마에 노출되지 않는다. 이러한 실시 예들에서, 개질 기간 동안 발생하는 반응 및 기상 에칭 기간 동안 발생하는 반응 모두 열 에너지에 의해 구동된다.
도 2 내지 도 4에 기술된 에칭 동작은 자기-제한 방식으로 수행될 수도 있다. 예를 들어, 기상 에칭 기간 동안 제공된 제 2 가스 혼합물은 개질 기간 동안 형성된 개질된 재료를 선택적으로 에칭할 수도 있다. 일단 개질된 재료가 소모되면, 에칭 레이트는 에칭 프로세스의 선택적인 특성의 결과로서 실질적으로 감소하거나 심지어 중단될 수도 있다. 이와 같이, 에칭 프로세스는 특정한 실시 예들에서 자기-제한적인 것으로 간주될 수도 있다. 또한, 상기 언급된 바와 같이, 에칭 프로세스는 기판 상에 존재하는 다른 재료들, 예컨대 스페이서 재료들 또는 에칭이 타깃팅되지 않은 다른 재료들을 실질적으로 제거하지 않고, 제거될 재료를 선택적으로 타깃팅할 수도 있다. 일부 경우들에서, 제거를 위해 타깃팅된 재료는 기판 상의 또 다른 재료, 예컨대 스페이서 재료와 비교하여 적어도 약 2:1의 선택도로 에칭될 수도 있다. 본 명세서에 사용된 바와 같이, 적어도 약 2:1의 선택도를 갖는 에칭 프로세스는 선택적인 것으로 간주된다. 일부 실시 예들에서, 선택도는 적어도 약 1000:1일 수도 있다.
부가적인 정의들
이 섹션은 본 명세서에서 사용될 수도 있는 부가적인 정의들을 제시한다. 이 섹션에 기술된 재료들 중 일부는 본 출원의 다른 곳에 제시된 재료들과 중복될 수도 있다.
본 명세서에서 상호 교환 가능하게 사용된 용어 "아실" 또는 "알카노일"은 본 명세서에 정의된 바와 같은 카르보닐기를 통해 모 분자기 (parent molecular group) 에 부착된 1, 2, 3, 4, 5, 6, 7, 8 개 이상의 탄소 원자들의 직쇄형, 분지쇄형, 환형 구성 (cyclic configuration), 포화, 불포화 및 방향족, 및 이들의 조합들, 또는 수소를 나타낸다. 이 기 (group) 는 포르밀, 아세틸, 프로피오닐, 이소부티릴, 부타노일, 등으로 예시된다. 일부 실시 예들에서, 아실기 또는 알카노일기는 -C(O)-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 수소, 지방족기, 또는 방향족기이다.
"아실 할라이드"는 -C(O)X를 의미하고, 여기서 X는 Br, F, I, 또는 Cl과 같은 할로겐이다.
"알데하이드"는 -C(O)H기를 의미한다.
"지방족 (aliphatic)"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖고, 알칸들 (alkanes) (또는 알킬 (alkyl)), 알켄들 (alkenes) (또는 알케닐 (alkenyl)), 알킨들 (alkynes) (또는 알키닐 (alkynyl)), 이들의 환형 버전들을 포함하고, 직쇄 배열 및 분지쇄 배열을 더 포함하고, 또한 모든 입체 및 위치 이성질체들을 포함하는 하이드로카본기를 의미한다.
"알킬-아릴", "알케닐-아릴" 및 "알키닐-아릴"은 본 명세서에 정의된 바와 같이, 각각 알킬기, 알케닐기 또는 알키닐기를 통해 모 분자기에 커플링 (또는 부착) 되거나될 수 있는, 본 명세서에 정의된 바와 같이 아릴기를 의미한다. 알킬-아릴기, 알케닐-아릴기, 및/또는 알키닐-아릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬-아릴기, 알케닐-아릴기, 및/또는 알키닐-아릴기는 알킬, 알케닐, 알키닐, 및/또는 아릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알킬-아릴기들은 7 내지 16 개의 탄소들 (C7-16 알킬-아릴), 뿐만 아니라 1 내지 6 개의 탄소를 갖는 알킬기 및 4 내지 18개의 탄소를 갖는 아릴기 (즉, C1-6 알킬-C4-18 아릴) 를 갖는 것이다. 예시적인 치환되지 않은 알케닐-아릴기는 7 내지 16 개의 탄소들 (C7-16 알케닐-아릴), 뿐만 아니라 2 내지 6 개의 탄소를 갖는 알케닐기 및 4 내지 18 개의 탄소를 갖는 아릴기 (즉, C2-6 알케닐-C4-18 아릴) 를 갖는 것이다. 예시적인 치환되지 않은 알키닐-아릴기는 7 내지 16 개의 탄소들 (C7-16 알키닐-아릴), 뿐만 아니라 2 내지 6 개의 탄소들을 갖는 알키닐기 및 4 내지 18 개의 탄소들을 갖는 아릴기 (즉, C2-6 알키닐-C4-18 아닐) 를 갖는 것이다. 일부 실시 예들에서, 알킬-아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, R은 본 명세서에 정의된 바와 같은 아릴기이다. 일부 실시 예들에서, 알케닐-아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알케닐기이고, R은 본 명세서에 정의된 바와 같은 아릴기이다. 일부 실시 예들에서, 알키닐-아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알키닐기이고, R은 본 명세서에 정의된 바와 같은 아릴기이다.
"알케닐"은 적어도 2 개의 탄소 원자 내지 50 개의 탄소 원자들 (C2-50), 예컨대 2 내지 25 개의 탄소 원자들 (C2-25), 또는 2 내지 10 개의 탄소 원자들 (C2-10) 및 적어도 하나의 탄소-탄소 이중 결합을 갖는 불포화된 1가 (monovalent) 하이드로카본을 의미하고, 불포화 1가 하이드로카본은 모 알켄 (parent alkene) 의 하나의 탄소 원자로부터 하나의 수소 원자를 제거하는 것으로부터 유도될 수 있다. 알케닐기는 분지쇄형, 직쇄형, 환형 (예를 들어, 사이클로알케닐), 시스, 또는 트랜스 (예를 들어, E 또는 Z) 일 수 있다. 예시적인 알케닐은 하나 이상의 이중 결합들을 갖는 선택 가능하게 (optionally) 치환된 C2-24 알킬기를 포함한다. 알케닐기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환기 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알킬-헤테로아릴"은 본 명세서에 정의된 바와 같은 알킬기를 통해 모 분자기에 부착된, 본 명세서에 정의된 바와 같은 헤테로아릴기를 의미한다. 일부 실시 예들에서, 알킬-헤테로아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, R은 본 명세서에 정의된 바와 같은 헤테로아릴기이다.
"알킬-헤테로사이클릴", "알케닐-헤테로사이클릴" 및 "알키닐-헤테로사이클릴"은 본 명세서에 정의된 바와 같이, 각각 알킬기, 알케닐기 또는 알키닐기를 통해 모 분자기에 커플링 (또는 부착) 되거나 커플링될 수 있는, 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 의미한다. 알킬-헤테로사이클릴기, 알케닐-헤테로사이클릴기, 및/또는 알키닐-헤테로사이클릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬-헤테로사이클릴기, 알케닐-헤테로사이클릴기, 및/또는 알키닐-헤테로사이클릴기는 알킬, 알케닐, 알키닐, 및/또는 헤테로사이클릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알킬-헤테로사이클릴기들은 2 내지 16 개의 탄소들 (C2-16 알킬-헤테로사이클릴), 뿐만 아니라 1 내지 6 개의 탄소들을 갖는 알킬기 및 1 내지 18 개의 탄소들을 갖는 헤테로사이클릴기 (즉, C1-6 알킬-C1-18 헤테로사이클릴) 를 갖는 것이다. 예시적인 치환되지 않은 알케닐-헤테로사이클릴기들은 3 내지 16 개의 탄소 (C3-16 알케닐-헤테로사이클릴), 뿐만 아니라 2 내지 6 개의 탄소들을 갖는 알케닐기 및 1 내지 18 개의 탄소들을 갖는 헤테로사이클릴기 (즉, C2-6 알케닐-C1-18 헤테로사이클릴) 를 갖는 것이다. 예시적인 치환되지 않은 알키닐-헤테로사이클릴기는 3 내지 16 개의 탄소 (C3-16 알키닐-헤테로사이클릴), 뿐만 아니라 2 내지 6 개의 탄소들을 갖는 알키닐기 및 1 내지 18 개의 탄소들을 갖는 헤테로사이클릴기 (즉, C2-6 알키닐-C1-18 헤테로사이클릴) 를 갖는 것들이다. 일부 실시 예들에서, 알킬-헤테로사이클릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, R은 본 명세서에 정의된 바와 같은 헤테로사이클릴기이다. 일부 실시 예들에서, 알케닐-헤테로사이클릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알케닐기이고, R은 본 명세서에 정의된 바와 같은 헤테로사이클릴기이다. 일부 실시 예들에서, 알키닐-헤테로사이클릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알키닐기이고, R은 본 명세서에 정의된 바와 같은 헤테로사이클릴기이다.
"알콕시 (alkoxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 지방족기이다. 예시적인 알콕시기들은 이로 제한되는 것은 아니지만, 메톡시,에톡시, n-프로폭시, 이소프로폭시, n-부톡시, t-부톡시, sec-부톡시, n-펜톡시, 트리할로알콕시, 예컨대 트리플루오로메톡시, 등을 포함한다. 알콕시기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알콕시기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알콕시기들은 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시기들을 포함한다.
"알킬"은 적어도 하나의 탄소 원자 내지 50 개의 탄소 원자들 (C1-50), 예컨대 1 내지 25 개의 탄소 원자들 (C1-25), 또는 1 내지 10 개의 탄소 원자들 (C1-10) 을 갖는 포화된 1가 하이드로카본을 의미하고, 여기서 포화된 1가 하이드로카본은 모 화합물 (예를 들어, 알칸) 의 하나의 탄소 원자로부터 하나의 수소 원자를 제거함으로써 유도될 수 있다. 알킬기는 분지쇄형, 직쇄형, 또는 환형 (cyclic) (예를 들어, 사이클로알킬) 일 수 있다. 예시적인 알킬은 1 내지 24 개의 탄소 원자들의 분지형 또는 비분지형 포화 하이드로카본기, 예컨대 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, t-부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실 (eicosyl), 테트라코실, 등을 포함한다. 알킬기는 또한 치환되거나 치환되지 않을 수 있다. 알킬기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환기 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 예를 들어, 알킬기는 1, 2, 3 또는 2 개 이상의 탄소들의 알킬기들의 경우, 다음으로 구성된 그룹으로부터 독립적으로 선택된 4 개의 치환기들로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); (2) C1-6 알킬술피닐 (예를 들어, -S(O)-R, 여기서 R은 C1-6 알킬임); (3) C1-6 알킬술포닐 (예를 들어, -SO2-R, 여기서 R은 C1-6 알킬); (4) 아민 (예를 들어, -C(O)NR1R2 또는 -NHCOR1, 여기서 R1 및 R2 각각은, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 또는 R1 및 R2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성함); (5) 아릴; (6) 아릴알콕시 (예를 들어, L은 알킬이고 R은 아릴인-O-L-R); (7) 아릴로일 (예를 들어, R이 아릴인-C(O)-R); (8) 아지도 (예를 들어, -N3); (9) 시아노 (예를 들어, -CN); (10) 알데하이드 (예를 들어, -C(O)H); (11) C3-8 사이클로알킬; (12) 할로; (13) 헤테로사이클릴 (예를 들어, 본 명세서에 정의된 바와 같이, 예컨대 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 함유하는 5 원 고리, 6 원 고리 또는 7 원 고리); (14) 헤테로사이클릴옥시 (예를 들어, -O-R, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴임); (15) 헤테로사이클릴로일 (예를 들어, -C(O)-R, 여기서 R은 본 명세서에 정의된 바와 같은, 헤테로사이클릴); (16) 하이드록실 (예를 들어, -OH); (17) N-보호된 아미노; (18) 니트로 (예를 들어, -NO2); (19) 옥소 (예를 들어, =O); (20) C1-6 티오알콕시 (예를 들어, -S-R, 여기서 R은 알킬임); (21) 티올 (예를 들어, -SH); (22) -CO2R1, 여기서 R1은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (23) -C(O)NR1R2, 여기서 R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (24) -SO2R1, 여기서 R1은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (25) -SO2NR1R2, 여기서 R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (26) -SiR1R2R3, 여기서 R1 및 R2 및 R3 각각은 (a) 수소, (b) F, Cl, Br, 또는 I과 같은 할로, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, 또는 (f) C1-6 알콕시로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); 및 (27) -NR1R2, 여기서 R1 및 R2 각각은, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, (f) C4-18 아릴, (g) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임), (h) C3-8 사이클로알킬, 및 (i) C1-6 알킬-C3-8 사이클로알킬로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임), 일 실시 예에서, 카르보닐기 또는 술포닐기를 통해 질소 원자에 결합되는 2 개의 기들은 없다. 알킬기는 하나 이상의 치환기들 (예를 들어, 하나 이상의 할로 또는 알콕시) 로 치환된 1 차, 2 차, 또는 3 차 알킬기일 수 있다. 일부 실시 예들에서, 치환되지 않은 알킬기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알킬기이다.
"알킬설피닐 (alkylsulfinyl)"은 본 명세서에 정의된 바와 같이, -S(O)-기를 통해 모 분자기에 부착된 알킬기를 의미한다. 일부 실시 예들에서, 치환되지 않은 알킬설피닐기는 C1-6 또는 C1-12 알킬설피닐기이다. 다른 실시 예들에서, 알킬설피닐기는 -S(O)-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 알킬기이다.
"알킬설포닐 (alkylsulfonyl)"은 본 명세서에 정의된 바와 같이, -SO2-기를 통해 모 분자기에 부착된 알킬기를 의미한다. 일부 실시 예들에서, 치환되지 않은 알킬설포닐기는 C1-6 또는 C1-12 알킬설포닐기이다. 다른 실시 예들에서, 알킬설포닐기는 -SO2-R이고, 여기서 R은 선택 가능하게 치환된 알킬이다 (예를 들어, 본 명세서에 기술된 바와 같이, 선택 가능하게 치환된 C1-12 알킬, 할로알킬, 또는 퍼플루오로알킬을 포함함).
"알키닐"은 적어도 2 개의 탄소 원자 내지 50 개의 탄소 원자들 (C2-50), 예컨대 2 내지 25 개의 탄소 원자들 (C2-25), 또는 2 내지 10 개의 탄소 원자들 (C2-10) 및 적어도 하나의 탄소-탄소 삼중 결합을 갖는 불포화된 1가 (monovalent) 하이드로카본을 의미하고, 불포화 1가 하이드로카본은 모 알킨의 하나의 탄소 원자로부터 하나의 수소 원자를 제거하는 것으로부터 유도될 수 있다. 알키닐기는 분지쇄형, 직쇄형, 또는 환형 (예를 들어, 사이클로알키닐) 일 수 있다. 예시적인 알키닐은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 포함한다. 알키닐기는 환형 또는 비환형 (acyclic) 일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐기는 모 분자기에 대한 적절한 부착 또는 모 분자기와 또 다른 치환기 사이의 적절한 부착을 형성하도록 하나 이상의 수소들을 제거함으로써 1가 또는 다가 (예를 들어, 2가) 일 수 있다. 알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"아미드"는 -C(O)NR1R2 또는 -NHCOR1을 의미하고, 여기서 R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 취해져 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성한다.
"아민"은-NR1R2를 의미하고, 여기서 R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고; 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 취해져, 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성할 수 있다.
"아미노알킬"은 본 명세서에 정의된 바와 같은 아민기에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다. 일부 실시 예들에서, 아미노알킬기는 -L-NR1R2이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족 또는 이들의 임의의 조합으로부터 독립적으로 선택되고; 또는 R1 및 R2는 각각이 부착된 질소 원자와 함께 취해져, 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성한다. 다른 실시 예들에서, 아미노 알킬기는 -L-C(NR1R2)(R3)-R4이고, 여기서 L은 본 명세서에 정의된 바와 같은 공유 결합 또는 알킬기이고; R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로, 선택되고; 또는 R1 및 R2는 각각이 부착된 질소 원자와 함께 취해져 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성하고; R3 및 R4 각각은 독립적으로 H 또는 본 명세서에 정의된 바와 같은 알킬이다.
"방향족 (aromatic)"은 달리 명시되지 않는 한, 단일 고리 (예를 들어, 페닐) 또는 적어도 하나의 고리가 방향족인 복수의 축합 고리들 (예를 들어, 나프틸, 인돌릴, 또는 피라졸로피리디닐) 을 갖는 5 내지 15 개의 고리 원자들로부터 환형, 공액기 또는 모이어티를 의미한다; 즉, 적어도 하나의 링, 및 선택 가능하게 복수의 축합 고리들은 연속적인, 비국소 (delocalized) π-전자 시스템을 갖는다. 통상적으로, 평면 π-전자들의 수는 Huckel 규칙 (4n+2) 에 대응한다. 모 구조체에 대한 부착 지점은 통상적으로 축합 고리 시스템의 방향족 부분을 통한다.
"아릴"은 적어도 5 개의 탄소 원자들 내지 15 개의 탄소 원자들 (C5-15), 예컨대 5 내지 10 개의 탄소 원자들 (C5-10) 을 포함하고, 단일 고리 또는 복수의 축합 고리들을 갖는 방향족 카보사이클릭기를 의미하고, 축합 고리들은 본 명세서에 개시된 화합물들의 나머지 위치에 대한 부착 지점이 방향족 카보사이클릭기의 원자를 통한다면 방향족일 수 있거나 아닐 수도 있다. 아릴기들은 수소 이외의 하나 이상의 기들, 예컨대 지방족, 헤테로지방족, 방향족, 다른 작용기들, 또는 이들의 임의의 조합으로 치환될 수도 있다. 예시적인 아릴기들은 벤질, 나프탈렌, 페닐, 비페닐, 페녹시벤젠, 등을 포함하지만, 이로 제한되지 않는다. 용어 아릴은 또한 방향족기의 고리 내에 혼입된 적어도 하나의 헤테로원자를 갖는 방향족기를 함유하는 기로서 정의되는, 헤테로아릴 (heteroaryl) 을 포함한다. 헤테로원자들의 예들은 이로 제한되는 것은 아니지만, 질소, 산소, 황, 및 인을 포함한다. 유사하게, 용어 아릴에 또한 포함되는 용어 비헤테로아릴 (non-heteroaryl) 은 헤테로원자를 함유하지 않는 방향족기를 함유하는 기를 정의한다. 아릴기는 치환되거나 치환되지 않을 수 있다. 아릴기는 다음으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 3, 4 또는 5 개의 치환기들로 치환될 수 있다: (1) C1-6 알카노일 (예를 들어, -C(O)-R, 여기서 R은 C1-6 알킬임); (2) C1-6 알킬; (3) C1-6 알콕시 (예를 들어, -O-R, 여기서 R은 C1-6 알킬임); (4) C1-6 알콕시-C1-6 알킬 (예를 들어, -L-O-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬임); (5) C1-6 알킬술피닐 (예를 들어, -S(O)-R, 여기서 R은 C1-6 알킬임); (6) C1-6 알킬술피닐-C1-6 알킬 (예를 들어, -L-S(O)-R, 여기서 L 및 R 각각이 독립적으로 C1-6 알킬임); (7) C1-6 알킬술포닐 (예를 들어, -SO2-R, 여기서 R은 C1-6 알킬임); (8) C1-6 알킬술포닐-C1-6 알킬 (예를 들어, -L-SO2-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬); (9) 아릴; (10) 아민 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 또는 각각이 부착된 질소 원자와 함께 취해진 R1 및 R2는 본 명세서에 정의된 바와 같이, 헤테로사이클릴기를 형성함); (11) C1-6 아미노알킬 (예를 들어, -L1-NR1R2 또는 -L2-C(NR1R2)(R3)-R4, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 C1-6 알킬이고; R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되거나, R1 및 R2는 각각이 부착된 질소 원자와 함께 취해져, 본 명세서에 정의된 바와 같은, 헤테로사이클릴기를 형성하고; R3 및 R4 각각은 독립적으로 H 또는 C1-6 알킬임); (12) 헤테로아릴; (13) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (14) 아릴로일 (예를 들어, -C(O)-R, R은 아릴임); (15) 아지도 (예를 들어, -N3); (16) 시아노 (예를 들어, -CN); (17) C1-6 아지도알킬 (예를 들어, -L-N3, 여기서 L은 C1-6 알킬임); (18) 알데하이드 (예를 들어, -C(O)H); (19) 알데하이드-C1-6 알킬 (예를 들어, -L-C(O)H, 여기서 L은 C1-6 알킬임); (20) C3-8 사이클로알킬; (21) C1-6 알킬-C3-8 사이클로알킬 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임); (22) 할로; (23) C1-6 할로알킬 (예를 들어, -L1-X 또는 -L2-C(X)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 C1-6 알킬이고; X는 플루오로, 브로모, 클로로, 또는 요오드이고; 그리고 R1 및 R2 각각은 독립적으로 H 또는 C1-6 알킬임); (24) 헤테로사이클릴 (예를 들어, 본 명세서에 정의된 바와 같이, 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 함유하는 5 원 고리, 6 원 고리 또는 7 원 고리); (25) 헤테로사이클릴옥시 (예를 들어, -O-R, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴임); (26) 헤테로사이클릴로일 (예를 들어, -C(O)-R, 여기서 R은 본 명세서에 정의된 바와 같이, 헤테로사이클릴임); (27) 하이드록실 (-OH); (28) C1-6 하이드록시알킬 (예를 들어, -L1-OH 또는 -L2-C(OH)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 알킬이고; 그리고 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같은 H 또는 C1-6 알킬임); (29) 니트로; (30) C1-6 니트로알킬 (예를 들어, -L1-NO 또는 -L2-C(NO)(R1)-R2, 여기서 L1은 C1-6 알킬이고; L2는 공유 결합 또는 알킬이고; 그리고 R1 및 R2 각각은 독립적으로, 본 명세서에 정의된 바와 같은 H 또는 C1-6 알킬임); (31) N-보호된 아미노; (32) N-보호된 아미노-C1-6 알킬; (33) 옥소 (예를 들어, =O); (34) C1-6 티오알콕시 (예를 들어, -S-R, 여기서 R은 C1-6 알킬임); (35) 티오-C1-6 알콕시-C1-6 알킬 (예를 들어, -L-S-R, 여기서 L 및 R 각각은 독립적으로 C1-6 알킬임); (36) -(CH2)rCO2R1, 여기서 r은 0 내지 4의 정수이고, R1은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (37) -(CH2)rCONR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (38) -(CH2)rSO2R1, 여기서 r은 0 내지 4의 정수이고, R1은 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임); (39) -(CH2)rSO2NR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은, (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) C1-6 알킬-C4-18 아릴로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴); (40) -(CH2)rNR1R2, 여기서 r은 0 내지 4의 정수이고, R1 및 R2 각각은, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐, (e) C2-6 알키닐, (f) C4-18 아릴, (g) C1-6 알킬-C4-18 아릴 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C4-18 아릴임), (h) C3-8 사이클로알킬, 및 (i) C1-6 알킬-C3-8 사이클로알킬로 구성된 그룹으로부터 독립적으로 선택됨 (예를 들어, -L-R, 여기서 L은 C1-6 알킬이고 R은 C3-8 사이클로알킬임), 일 실시 예에서 카르보닐기 또는 술포닐기를 통해 질소 원자에 결합되는 2 개의 기들은 없음; (41) 티올 (예를 들어, -SH); (42) 퍼플루오로알킬 (예를 들어, -(CF2)nCF3, 여기서 n은 0 내지 10의 정수임); (43) 퍼플루오로알콕시 (예를 들어, -O-(CF2)nCF3, 여기서 n은 0 내지 10의 정수임); (44) 아릴옥시 (예를 들어, -O-R, R은 아릴임); (45) 사이클로알콕시 (예를 들어, -O-R, 여기서 R은 사이클로알킬임); (46) 사이클로알킬알콕시 (예를 들어, -O-L-R, 여기서 L은 알킬이고 R은 사이클로알킬임); 및 (47) 아릴알콕시 (예를 들어, -O-L-R, L은 알킬이고 R은 아릴임). 특정한 실시 예들에서, 치환되지 않은 아릴기는 C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, 또는 C6-10 아릴기이다.
"아릴알콕시 (arylalkoxy)"는 본 명세서에 정의된 바와 같이, 산소 원자를 통해 모 분자기에 부착된 알킬-아릴기를 의미한다. 일부 실시 예들에서, 아릴알콕시기는 -O-L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, R은 본 명세서에 정의된 바와 같은 아릴기이다.
"아릴옥시 (aryloxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 아릴기이다. 일부 실시 예들에서, 치환되지 않은 아릴옥시기는 C4-18 또는 C6-18 아릴옥시기이다.
"아릴로일 (aryloyl)"은 카르보닐기를 통해 모 분자기에 부착된 아릴기를 의미한다. 일부 구현 예들에서, 치환되지 않은 아릴로일기는 C7-11 아릴로일 또는 C5-19 아릴로일기이다. 다른 실시 예들에서, 아릴로일기는 -C(O)-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 아릴기이다.
"아지도 (azido)"는 -N3 기를 의미한다.
"아지도알킬 (azidoalkyl)"은 본 명세서에 정의된 바와 같이, 알킬기를 통해 모 분자기에 부착된 아지도기를 의미한다. 일부 실시 예들에서, 아지도알킬기는 -L-N3이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이다. "아조 (azo)"는 -N=N-기를 의미한다.
"카르벤 (carbene)"은 2 개의 비결합 전자들 또는 (C:) 를 베어링하는 (bearing) 탄소를 갖는 H2C: 및 이의 유도체들을 의미한다. 일부 실시 예들에서, 카르벤은 R1R2(C:) 이고, 여기서 R1 및 R2 각각은, 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되거나, R1 및 R2 각각이 부착된 원자와 함께 취해져 본 명세서에 정의된 바와 같이, 지환족기를 형성한다.
"카베늄 양이온 (carbenium cation)"은 H3C+ 및 +1 형식 전하 (formal charge) 또는 C+를 베어링하는 탄소를 갖는 이의 유도체들을 의미한다. 일부 실시 예들에서, 카르베늄 양이온은 R1-C+(R)-R2이고, 여기서 R, R1 및 R2 각각은 본 명세서에 정의된 바와 같이, 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 독립적으로 선택되고, 또는 R1 및 R2 및 선택 가능하게 R은 각각이 부착된 원자와 함께 취해져 본 명세서에 정의된 바와 같이, 지환족기를 형성한다.
"카르보닐 (carbonyl)"은 또한 >C=O로 나타낼 수 있는 -C(O)-기를 의미한다.
"카르복실 (carboxyl)"은 -CO2H기 또는 이의 음이온을 의미한다.
"시아노 (cyano)"는 -CN기를 의미한다.
"지환족 (cycloaliphatic)"은 본 명세서에 정의된 바와 같이, 환형인 지방족기를 의미한다.
"사이클로알콕시 (cycloalkoxy)"는 산소 원자를 통해 모 분자기에 부착된, 본 명세서에 정의된 바와 같은 사이클로알킬기를 의미한다. 일부 실시 예들에서, 사이클로알콕시기는 -O-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 사이클로알킬기이다.
"사이클로알킬알콕시 (cycloalkylalkoxy)"는 산소 원자를 통해 모 분자기에 부착된, 본 명세서에 정의된 바와 같은 알킬-사이클로알킬기를 의미한다. 일부 실시 예들에서, 사이클로알킬알콕시기는 -O-L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, R은 본 명세서에 정의된 바와 같은 사이클로알킬기이다.
"사이클로알킬 (cycloalkyl)"은 달리 명시되지 않는 한, 3 내지 8 개의 탄소들의 1가 포화 또는 불포화 비방향족 사이클릭 하이드로카본기를 의미하고, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 사이클로헵틸, 비사이클로[2.2.1.헵틸] 등으로 예시된다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로헤테로지방족 (cycloheteroaliphatic)"은 본 명세서에 정의된 바와 같이, 환형인 헤테로지방족기를 의미한다.
"에스테르"는 -C(O)OR 또는 -OC(O)R을 의미하고, 여기서 R은 본 명세서에 정의된 바와 같은 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 선택된다.
"할로(halo)"는 F, Cl, Br, 또는 I을 의미한다.
"할로지방족"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 요오드로 대체되는, 본 명세서에 규정된 바와 같은 지방족기를 의미한다.
"할로알킬"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 요오드로 대체되는, 본 명세서에 정의된 바와 같은 알킬기를 의미한다. 독립적인 실시 예에서, 할로알킬은 -CX3기일 수 있고, 여기서 X 각각은 독립적으로 플루오로, 브로모, 클로로, 또는 요오드로부터 선택될 수 있다. 일부 실시 예들에서, 할로알킬기는 -L-X이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이고, X는 플루오로, 브로모, 클로로, 또는 요오드이다. 다른 실시 예들에서, 할로알킬기는 -L-C(X)(R1)-R2이고, 여기서 L은 본 명세서에 정의된 바와 같은 공유 결합 또는 알킬기이고; X는 플루오로, 브로모, 클로로 또는 요오드이고; R1 및 R2 각각은 독립적으로 H 또는 본 명세서에 정의된 바와 같은 알킬이다.
"할로헤테로지방족"은 하나 이상의 수소 원자들, 예컨대 1 내지 10 개의 수소 원자들이 독립적으로 할로겐 원자, 예컨대 플루오로, 브로모, 클로로, 또는 요오드로 대체되는, 본 명세서에 정의된 바와 같은 헤테로지방족을 의미한다.
"헤테로지방족"은 본 명세서에 정의된 바와 같이, 이로 제한되는 것은 아니지만, 기 (group) 내에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태들로부터 선택될 수 있는 적어도 1 내지 20 개의 헤테로원자들, 예컨대 1 내지 15 개의 헤테로원자들, 또는 1 내지 5 개의 헤테로원자들을 포함하는 지방족기를 의미한다.
"헤테로알킬", "헤테로알케닐" 및 "헤테로알키닐"은 각각 본 명세서에 정의된 바와 같은 알킬기, 알케닐기, 또는 알키닐기 (분지쇄형, 직쇄형, 또는 환형일 수 있음) 를 의미하고, 이로 제한되는 것은 아니지만, 기 내에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태들로부터 선택될 수 있는 적어도 1 개의 헤테로원자 내지 20 개의 헤테로원자들, 예컨대 1 내지 15 개의 헤테로원자들, 또는 1 내지 5 개의 헤테로원자들을 포함한다.
"헤테로알킬-아릴", "헤테로알케닐-아릴" 및 "헤테로알키닐-아릴"은 본 명세서에 개시된 바와 같이, 본 명세서에 개시된 화합물에 커플링되거나 커플링될 수 있는, 아릴기를 의미하고, 아릴기는 각각 본 명세서에 정의된 바와 같은, 헤테로알킬기, 헤테로알케닐기, 또는 헤테로알키닐기를 통해 커플링되거나 커플링되게 된다. 일부 실시 예들에서, 헤테로알킬-아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 헤테로알킬기이고, R은 본 명세서에 정의된 바와 같은 아릴기이다. 일부 실시 예들에서, 헤테로알케닐-아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 헤테로알케닐기이고, R은 본 명세서에 정의된 바와 같은 아릴기이다. 일부 실시 예들에서, 헤테로알키닐-아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 헤테로알키닐기이고, R은 본 명세서에 정의된 바와 같은 아릴기이다.
"헤테로알킬-헤테로아릴", "헤테로알케닐-헤테로아릴", 및 "헤테로알키닐-헤테로아릴"은 본 명세서에 정의된 바와 같이, 본 명세서에 개시된 화합물에 커플링되거나 커플링될 수 있는, 헤테로아릴기를 의미하고, 헤테로아릴기는 각각 본 명세서에 정의된 바와 같은, 헤테로알킬기, 헤테로알케닐기, 또는 헤테로알키닐기를 통해 커플링되거나 커플링되게 된다. 일부 실시 예들에서, 헤테로알킬-헤테로아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 헤테로알킬기이고, R은 본 명세서에 정의된 바와 같은 헤테로아릴기이다. 일부 실시 예들에서, 헤테로알케닐-헤테로아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 헤테로알케닐기이고, R은 본 명세서에 정의된 바와 같은 헤테로아릴기이다. 일부 실시 예들에서, 헤테로알키닐-헤테로아릴기는 -L-R이고, 여기서 L은 본 명세서에 정의된 바와 같은 헤테로알키닐기이고, R은 본 명세서에 정의된 바와 같은 헤테로아릴기이다.
"헤테로아릴"은 이로 제한되는 것은 아니지만, 고리 내에 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 인, 및 이들의 산화된 형태들로부터 선택될 수 있는 적어도 1 내지 6 개의 헤테로원자들, 예컨대 1 내지 4 개의 헤테로원자들을 포함하는 아릴기를 의미한다. 이러한 헤테로아릴기들은 단일 고리 또는 복수의 축합된 고리를 가질 수 있고, 부착 지점이 방향족 헤테로아릴기의 원자를 통한다면 축합된 고리들은 방향족이거나 아닐 수도 있고 그리고/또는 헤테로원자를 함유하거나 함유하지 않을 수도 있다. 헤테로아릴기들은 수소 이외의 하나 이상의 기들, 예컨대 지방족, 헤테로지방족, 방향족, 다른 작용기들, 또는 이들의 임의의 조합으로 치환될 수도 있다. 예시적인 헤테로아릴은 방향족인, 즉, 모노-사이클릭 고리 시스템 또는 멀티사이클릭 고리 시스템 내에 4n+2 파이 전자들을 함유하는, 본 명세서에 규정된 바와 같은 헤테로사이클릴기들의 서브 세트를 포함한다.
"헤테로원자"는 산소, 질소, 황, 실리콘, 붕소, 셀레늄, 또는 인과 같은 탄소 이외의 원자를 의미한다. 특정한 개시된 실시 예들에서, 예컨대 원자가 제약들 (valency constraints) 이 허용하지 않을 때, 이종 원자는 할로겐 원자를 포함하지 않는다.
"헤테로사이크릴 (heterocyclyl)"은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로원자들을 포함하는 5 원, 6 원 또는 7 원 고리를 의미한다. 5 원 고리는 0 내지 2 개의 이중 결합을 갖고, 6 원 및 7 원 고리는 0 내지 3 개의 이중 결합들을 갖는다. 용어 "헤테로사이클릴"은 또한 상기 헤테로사이클릴 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리, 예컨대 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라하이드로퀴놀릴, 벤조푸릴, 벤조티에닐, 등으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리들에 융합된 바이사이클릭 (bicyclic) 기, 트리사이클릭 (tricyclic) 기 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 헤테로사이클릭은 티라닐 (thiiranyl), 티에타닐 (thietanyl), 테트라하이드로티에닐 (tetrahydrothienyl), 티아닐 (thianyl), 티에파닐 (thiepanyl), 아지리디닐 (aziridinyl), 아제티디닐 (azetidinyl), 피롤리디닐 (pyrrolidinyl), 피페리디닐 (piperidinyl), 아제파닐 (azepanyl), 피롤릴 (pyrrolyl), 피롤리닐 (pyrrolinyl), 피라졸릴 (pyrazolyl), 피라졸리닐 (pyrazolinyl), 피라졸리디닐 (pyrazolidinyl), 이미다졸릴 (imidazolyl), 이미다졸리닐 (imidazolinyl), 이미다졸리디닐 (imidazolidinyl), 피리딜 (pyridyl), 호모페리디닐 (homopiperidinyl), 피리다졸릴 (pyrazinyl), 피페라지닐 (piperazinyl), 피리미디닐 (pyrimidinyl), 피리다지닐 (pyridazinyl), 옥사졸릴 (oxazolyl), 옥사졸리디닐 (oxazolidinyl), 옥사졸리도닐 (oxazolidonyl), 이속사졸릴 (isoxazolyl), 이속사졸리디닐 (isoxazolidiniyl), 모르폴리닐 (morpholinyl), 티오모르폴리닐 (thiomorpholinyl), 티아졸릴 (thiazolyl), 티아졸리디닐 (thiazolidinyl), 이소티아졸릴 (isothiazolyl), 이소티아졸리디닐 (isothiazolidinyl), 인돌릴 (indolyl), 퀴놀리닐 (quinolinyl), 이소퀴놀리닐 (isoquinolinyl), 벤즈이미다졸릴 (benzimidazolyl), 벤조티아졸릴 (benzothiazolyl), 벤족사졸릴 (benzoxazolyl), 푸릴 (furyl), 티에닐 (thienyl), 티아졸리디닐 (thiazolidinyl), 이소티아졸릴 (isothiazolyl), 이소인다조일 (isoindazoyl), 트리아졸릴 (triazolyl), 테트라졸릴 (tetrazolyl), 이소티아졸릴 (oxadiazolyl), 우리실 (uricyl), 티아디아졸릴 (thiadiazolyl), 피리미딜 (pyrimidyl), 테트라하이드로푸라닐 (tetrahydrofuranyl), 디하이드로푸라닐 (dihydrofuranyl), 디하이드로티에닐 (dihydrothienyl), 디하이드로인돌릴 (dihydroindolyl), 테트라하이드로퀴놀릴 (tetrahydroquinolyl), 테트라하이드로이소퀴놀릴 (tetrahydroisoquinolyl), 피라닐 (pyranyl), 디하이드로피라닐 (dihydropyranyl), 테트라하이드로피라닐 (tetrahydropyranyl), 디티아졸릴 (dithiazolyl), 디옥사닐 (dioxanyl), 디옥시닐 (dioxinyl), 디티아닐 (dithianyl), 트리티아닐 (trithianyl), 옥사지닐 (oxazinyl), 티아지닐 (thiazinyl), 옥소티올아닐 (oxothiolanyl), 트리아지닐 (triazinyl), 벤조푸라닐 (benzofuranyl), 벤조티에닐 (benzothienyl), 등을 포함한다.
"헤테로사이클릴옥시"는 본 명세서에 정의된 바와 같이, 산소 원자를 통해 모 분자기에 부착된 헤테로사이클릴기를 의미한다. 일부 실시 예들에서, 헤테로사이클릴옥시기는 -O-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴기이다.
"헤테로사이클릴로일"은 본 명세서에 정의된 바와 같이, 카르보닐기를 통해 모 분자기에 부착된 헤테로사이클릴기를 의미한다. 일부 실시 예들에서, 헤테로사이클릴로일기는 -C(O)-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 헤테로사이클릴기이다.
"하이드록실 (hydroxyl)"은-OH를 의미한다.
"하이드록시알킬 (hydroxyalkyl)"은 단 하나의 하이드록실기는 알킬기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기들에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미하고, 하이드록시메틸, 디하이드록시프로필, 등으로 예시된다. 일부 실시 예들에서, 하이드록시알킬기는 -L-OH이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이다. 다른 실시 예들에서, 하이드록시알킬기는 -L-C (OH) (R1)-R2이고, 여기서 L은 본 명세서에 정의된 바와 같은 공유 결합 또는 알킬기이고, R1 및 R2 각각은 독립적으로 H 또는 본 명세서에 정의된 바와 같은 알킬이다.
"케톤"은 -C(O)R를 의미하고, 여기서 R은 본 명세서에 정의된 바와 같은 지방족, 헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 선택된다.
"니트로"는 -NO2기를 의미한다.
"니트로알킬"은 본 명세서에 정의된 바와 같이, 1 내지 3 개의 니트로 기들로 치환된 알킬기를 의미한다. 일부 실시 예들에서, 니트로알킬기는 -L-NO이고, 여기서 L은 본 명세서에 정의된 바와 같은 알킬기이다. 다른 실시 예들에서, 니트로알킬기는 -L-C(NO)(R1)-R2이고, 여기서 L은 본 명세서에 정의된 바와 같은 공유 결합 또는 알킬기이고, R1 및 R2 각각은 독립적으로 H 또는 본 명세서에 정의된 바와 같은 알킬이다.
"옥소 (oxo)"는 =O기를 의미한다.
"옥시"는 -O-를 의미한다.
"퍼플루오로알킬"은 본 명세서에 정의된 바와 같이, 수소 원자 각각이 불소 원자로 치환된 알킬기를 의미한다. 예시적인 퍼플루오로알킬기들은 트리플루오로메틸, 펜타플루오로에틸, 등을 포함한다. 일부 실시 예들에서, 퍼플루오로알킬기는 -(CF2)nCF3이고, 여기서 n은 0 내지 10의 정수이다.
"퍼플루오로알콕시"는 본 명세서에 정의된 바와 같이, 수소 원자 각각이 불소 원자로 치환된 알콕시기를 의미한다. 일부 실시 예들에서, 퍼플루오로알콕시기는 -O-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 퍼플루오로알킬기이다.
"염"은 전기적으로 중성인 화합물 또는 구조를 형성하기 위해 양이온 또는 음이온 화합물을 포함하는, 화합물 또는 구조 (예를 들어, 본 명세서에 기술된 임의의 화학식들, 화합물들, 또는 조성물들) 의 이온 형태를 의미한다. 염들은 당업계에 공지되어 있다. 예를 들어, 비독성 염들은 Berge S M 등의, "Pharmaceutical salts," J. Pharm. Sci . 1977 January; 66(1):1-19; 및 "Handbook of Pharmaceutical Salts: Properties, Selection, and Use", Wiley-VCH, April 2011 (2nd rev. ed., eds.) P. H. Stahl and C. G. Wermuth에 기술된다. 염들은 본 발명의 화합물들의 최종 단리 및 정제 동안 인 시츄로 (in situ) 또는 유리 염기기 (free base group) 를 적합한 유기 산과 반응시킴으로써 (따라서 음이온성 염을 생성함) 산기를 적합한 금속 또는 유기 염과 반응시킴으로써 (따라서 양이온염을 생성함) 개별적으로 제조될 수 있다. 대표적인 음이온성 염은 아세테이트 (acetate), 아디페이트 (adipate), 알기네이트 (alginate), 아스코르베이트 (ascorbate), 아스파르테이트 (aspartate), 벤젠설포네이트 (benzenesulfonate), 벤조에이트 (benzoate), 바이카보네이트 (bicarbonate), 바이설페이트 (bisulfate), 바이타르트레이트 (bitartrate), 보레이트 (borate), 브로마이드 (bromide), 부티레이트 (butyrate), 캄포레이트 (camphorate), 캄포설포네이트 (camphorsulfonate), 클로라이드 (chloride), 시트레이트 (citrate), 사이클로펜탄프로피오네이트 (cyclopentanepropionate), 디글루코네이트 (digluconate), 디하이드로클로라이드 (dihydrochloride), 디포스페이트 (diphosphate), 도데실설페이트 (dodecylsulfate), 에데테이트 (edetate), 에탄설포네이트 (ethanesulfonate), 푸마레이트 (fumarate), 글루코헵토네이트 (glucoheptonate), 글루코네이트 (gluconate), 글루타메이트 (glutamate), 글리세로포스페이트 (glycerophosphate), 헤미설페이트 (hemisulfate), 헵토네이트 (heptonate), 헥사노에이트 (hexanoate), 하이드로브로마이드 (hydrobromide), 하이드로클로라이드 (hydrochloride), 하이드로아이오다이드 (hydroiodide), 하이드록시에탄설포네이트 (hydroxyethanesulfonate), 하이드록시나프토에이트 (hydroxynaphthoate), 아이오다이드 (iodide), 락테이트 (lactate), 락토비오네이트 (lactobionate), 라우레이트 (laurate), 라우릴 설페이트 (lauryl sulfate), 말레이트 (malate), 말레에이트 (maleate), 말로네이트 (malonate), 만델레이트 (mandelate), 메실레이트 (mesylate), 메탄설포네이트 (methanesulfonate), 메틸브로마이드 (methylbromide), 메틸나이트레이트 (methylnitrate), 메틸설페이트 (methylsulfate), 뮤케이트 (mucate), 2-나프탈렌설포네이트 (2-naphthalenesulfonate), 니코티네이트 (nicotinate), 나이트레이트 (nitrate), 올레에이트 (oleate), 옥살레이트 (oxalate), 팔미테이트 (palmitate), 파모에이트 (pamoate), 펙티네이트 (pectinate), 퍼설페이트 (persulfate), 3-페닐프로피오네이트 (3-phenylpropionate), 포스페이트 (phosphate), 피크레이트 (picrate), 피발레이트 (pivalate), 폴리갈락투로네이트 (polygalacturonate), 프로피오네이트 (propionate), 살리실레이트 (salicylate), 스테아레이트 (stearate), 서브아세테이트 (subacetate), 숙시네이트succinate, 설페이트 (sulfate), 탄네이트 (tannate), 타르트레이트 (tartrate), 테오필리네이트 (theophyllinate), 티오시아네이트 (thiocyanate), 트리에티오다이드 (triethiodide), 톨루엔설포네이트 (toluenesulfonate), 운데카노에이트 (undecanoate), 발레레이트 염들 (valerate salts), 등을 포함한다. 대표적인 양이온성 염들은 금속 염들, 예컨대 알칼리 또는 알칼리 토류 염들, 예를 들어, 바륨, 칼슘 (예를 들어, 칼슘 에데테이트), 리튬, 마그네슘, 칼륨, 나트륨, 등; 알루미늄, 비스무트, 철 및 아연과 같은 다른 금속 염들; 뿐만 아니라 이로 제한되는 것은 아니지만, 암모늄, 테트라메틸암모늄, 테트라에틸암모늄, 메틸아민, 디메틸아민, 트리메틸아민, 트리에틸아민, 에틸아민, 피리디늄, 등을 포함하는 비 독성 암모늄, 4 차 암모늄, 및 아민 양이온들을 포함한다. 다른 양이온성 염들은 클로로프로카인, 콜린 (choline), 디벤질에틸렌디아민, 디에탄올아민, 에틸렌디아민, 메틸글루카민, 및 프로카인과 같은 유기 염들을 포함한다. 또 다른 염들은 암모늄, 설포늄, 설폭소늄, 포스포늄, 이미늄, 이미다졸륨, 벤즈이미다졸륨, 아미디늄, 구아니디늄, 포스파지늄, 포스파제늄, 피리디늄, 등, 뿐만 아니라 본 명세서에 기술된 다른 양이온 기들 (예를 들어, 선택 가능하게 치환된 이속사졸륨, 선택 가능하게 치환된 옥사졸륨, 선택 가능하게 치환된 티아졸륨, 선택 가능하게 치환된 피롤륨, 선택 가능하게 치환된 푸라늄, 선택 가능하게 치환된 티오페늄, 선택 가능하게 치환된 이미다졸륨, 선택 가능하게 치환된 피라졸륨, 선택 가능하게 치환된 이소티아졸륨, 선택 가능하게 치환된 트리아졸륨, 선택 가능하게 치환된 테트라졸륨, 선택 가능하게 치환된 푸라자늄, 선택 가능하게 치환된 피리디늄, 선택 가능하게 치환된 피리미디늄, 선택 가능하게 치환된 피라지늄, 선택 가능하게 치환된 트리아지늄, 선택 가능하게 치환된 테트라지늄, 선택 가능하게 치환된 피리다지늄, 선택 가능하게 치환된 옥사지늄, 선택 가능하게 치환된 피롤리디늄, 선택 가능하게 치환된 피라졸리디늄, 선택 가능하게 치환된된 이미다졸리늄, 선택 가능하게 치환된 이속사졸리디늄, 선택 가능하게 치환된 옥사졸리디늄, 선택 가능하게 치환된 피페라지늄, 선택 가능하게 치환된 피페리디늄, 선택 가능하게 치환된 모르폴리늄, 선택 가능하게 치환된 아제파늄, 선택 가능하게 치환된 아제피늄, 선택 가능하게 치환된 인돌륨, 선택 가능하게 치환된 이소인돌륨, 선택 가능하게 치환된 인돌리지늄, 선택 가능하게 치환된 인다졸륨, 선택 가능하게 치환된 벤즈이미다졸륨 , 선택 가능하게 치환된 이소퀴놀리늄, 선택 가능하게 치환된 퀴놀리지늄, 선택 가능하게 치환된 디하이드로퀴놀리지늄, 선택 가능하게 치환된 퀴놀리늄, 선택 가능하게 치환된 이소인돌리늄, 선택 가능하게 치환된 벤즈이미다졸리늄, 및 선택 가능하게 치환된 퓨리늄) 을 포함한다.
"설포 (sulfo)"는 -S(O)2OH기를 의미한다.
"설포닐" 또는 "설포네이트"는 -S(O)2-기 또는 -SO2R을 의미하고, 여기서 R은 본 명세서에 정의된 바와 같은 수소, 지방족, 헤테로지방족, 할로지방족, 할로헤테로지방족, 방향족, 또는 이들의 임의의 조합으로부터 선택된다.
"티오알콕시"는 본 명세서에 정의된 바와 같이, 황 원자를 통해 모 분자기에 부착된 알킬기를 의미한다. 예시적인 치환되지 않은 티오알콕시기들은 C1-6 티오알콕시를 포함한다. 일부 실시 예들에서, 티오알콕시기는 -S-R이고, 여기서 R은 본 명세서에 정의된 바와 같은 알킬기이다.
"티올 (thiol)"은 -SH기를 의미한다.
당업자는 상기 제공된 정의들이 용인할 수 없는 치환 패턴들 (예를 들어, 5 개의 상이한 기들로 치환된 메틸, 등) 을 포함하도록 의도되지 않는다는 것을 인식할 것이다. 이러한 용인할 수 없는 치환 패턴들은 당업자에 의해 용이하게 인식된다. 본 명세서에 개시되고 그리고/또는 상기 정의된 모든 작용기는, 달리 지시되지 않는 한, 치환되거나 치환되지 않을 수 있다.
장치
본 명세서에 기술된 방법들은 임의의 적절한 장치 상에서 수행될 수 있다. 이하의 기술은 적절한 장치의 일 예를 제공한다. 본 명세서에 기술된 장치는 개질 동작 및 제거 동작을 구동하기 위해 플라즈마 에너지 대신 또는 플라즈마 에너지에 더하여 열 에너지를 사용하여 에칭을 수행하는 단계를 포함하는, 반도체 프로세싱 동안 기판의 온도를 신속하고 정확하게 제어하게 한다. 특정한 실시 예들에서, 개질 동작 및 제거 동작에서 화학 반응들을 구동하기 위해, 플라즈마가 아니라 주로 열 에너지와 함께 화학 반응들에 의존하는 에칭은 "열적 에칭"으로 간주될 수도 있다. 이 에칭은 ALE (atomic layer etching) 로 제한되지 않고; 이는 모든 에칭 기법에 적용 가능하다.
특정한 실시 예들에서, 하나 이상의 열적 사이클들을 채용하는 것과 같은 열적 에칭 프로세스들은 상대적으로 빠른 가열 및 냉각 및 상대적으로 정확한 온도 제어를 갖는다. 일부 경우들에서, 이들 피처들은 우수한 쓰루풋을 제공하고 그리고/또는 불균일성 및 웨이퍼 결함들을 감소시키도록 활용될 (leverage) 수도 있다.
많은 종래의 에칭 장치들은 적절한 속도로 기판의 온도를 조정하고 제어하는 능력을 갖지 않는다. 예를 들어, 일부 에칭 장치들은 기판을 복수의 온도들로 가열할 수 있지만, 일부 에칭 장치들은 단지 천천히 가열할 수 있고, 또는 목표된 온도 범위들에 도달하지 못할 수도 있고, 또는 목표된 시간 동안 그리고 목표된 온도 범위들에서 기판 온도를 유지하지 못할 수도 있다. 유사하게, 통상적인 에칭 장치들은 종종 높은 쓰루풋을 인에이블하거나 (enable) 목표된 온도 범위들로 기판을 냉각시키기에 충분히 빠르게 기판을 냉각할 수 없다. 일부 애플리케이션들에 대해, 일부 실시 예들에서 약 120 초 미만으로 가능한 한 많이 온도 램핑 시간들을 감소시키는 것이 바람직하지만, 많은 종래의 에칭 장치들은 이 시간보다 보다 짧게 기판을 가열, 냉각, 또는 둘 다할 수 없고; 일부 장치들은 기판을 냉각 및/또는 가열하는데 수 분이 걸릴 수도 있고, 이는 쓰루풋을 늦춘다.
다양한 실시 예들에서, 본 명세서에 기술된 장치들은 웨이퍼를 신속하게 가열 및 냉각하고, 웨이퍼의 온도를 정확하게 제어하도록 설계되거나 구성된다. 일부 실시 예들에서, 웨이퍼 아래의 페데스탈 내에 포지셔닝된 LED들 (light emitting diodes) 로부터 방출된 가시광을 부분적으로 사용하여 웨이퍼는 신속하게 가열되고 웨이퍼의 온도가 정확하게 제어된다. 가시광은 400 ㎚ 내지 800 ㎚를 포함하는 범위인 파장들을 가질 수도 있다. 페데스탈은 웨이퍼 온도 제어를 인에이블하기 위한 다양한 피처들, 예컨대 방출된 광을 유리하게 지향시키거나 포커싱하기 위한 렌즈를 가질 수도 있는 투과성 (transparent) 윈도우, 또한 방출된 광을 유리하게 지향시키거나 포커싱하기 위한 반사성 재료, 및 LED들, 페데스탈, 및 챔버의 온도 제어를 보조하는 온도 제어 엘리먼트들을 포함할 수도 있다.
장치들은 또한 가장 작은 열적 매스 (mass) 만이 가열되고, 이상적인 가장 작은 열적 매스가 단지 기판 자체가 되어 보다 빠른 가열 및 냉각을 인에이블하도록 프로세싱 챔버 내에서 웨이퍼를 열적으로 격리하거나 열적으로 "플로팅 (floating)"할 수도 있다. 웨이퍼는 웨이퍼 위의 상단 플레이트 (또는 다른 가스 분배 엘리먼트) 와 같은 열 싱크 (heat sink) 로의 냉각 가스 및 복사 열 전달, 또는 모두를 사용하여 신속하게 냉각될 수도 있다. 일부 예들에서, 장치는 또한 프로세싱 가스들 및 증기들의 원치 않은 응결을 방지하는 것과 같이, 챔버 내의 프로세싱 조건들 및 웨이퍼의 추가 온도 제어를 인에이블하도록, 프로세싱 챔버 벽들, 페데스탈, 및 상단 플레이트 (또는 다른 가스 분배 엘리먼트) 내에 온도 제어 엘리먼트들을 포함한다.
장치들은 또한 웨이퍼 온도 및 챔버 온도를 정확하게 제어하기 위해 다양한 제어 루프들을 (예를 들어, 장치로 하여금 이들 루프들을 수행하게 하는 인스트럭션들을 실행하도록 구성된 제어기를 사용하여) 구현하도록 구성될 수도 있다. 이는 개방 루프들 및 피드백 제어 루프들의 일부로서 웨이퍼 온도 및 챔버 온도를 결정하는 다양한 센서들의 사용을 포함할 수도 있다. 이들 센서들은 웨이퍼와 콘택트하고 온도를 측정하는 웨이퍼 지지부들 내의 온도 센서들, 및 상이한 타입들의 웨이퍼들의 온도를 측정하도록 구성된 고온계 및 LED들의 광 출력을 측정하기 위한 광 검출기들과 같은 비콘택트 센서들을 포함할 수도 있다. 이하에 보다 상세히 기술된 바와 같이, 종래 고온계들은 아이템에서 적외선 또는 다른 광 신호들을 방출하고 아이템에 의해 반사되거나 방출된 신호들을 측정함으로써 아이템의 온도를 결정한다. 그러나, 많은 실리콘 웨이퍼들은 실리콘이 다양한 온도들에서 그리고 다양한 처리들, 예를 들어, 도핑되거나 저 (low) 도핑된 실리콘으로 광학적으로 투과성일 (optically transparent) 수 있기 때문에 종래 고온계들에 의해 측정될 수 없다. 예를 들어, 200 ℃보다 보다 낮은 온도에서 저 도핑된 실리콘 웨이퍼는 적외선 신호들에 대해 투과성이다. 본 명세서에 제공된 신규 고온계들은 다양한 온도들에서 복수의 타입들의 실리콘 웨이퍼들을 측정할 수 있다.
도 5는 개시된 실시 예들에 따른 예시적인 장치의 단면도를 도시한다. 이 장치는 예를 들어, 본 명세서에 기술된 화학 물질을 사용하여, 본 명세서에 기술된 방법들 중 임의의 방법을 수행하도록 사용될 수도 있다. 이하에 상세히 기술된 바와 같이, 이 장치 (100) 는 열적 에칭 동작들을 수행하는 것을 포함하여, 기판의 온도를 신속하고 정확하게 제어할 수 있다. 장치 (100) 는 프로세싱 챔버 (102), 기판 히터 (106) 및 기판 (118) 을 지지하도록 구성된 복수의 기판 지지부들 (108) 을 갖는 페데스탈 (104), 및 가스 분배 유닛 (110) 을 포함한다.
프로세싱 챔버 (102) 는 플레넘 볼륨으로 간주될 수도 있는 챔버 내부 (114) 를 적어도 부분적으로 규정하는 측벽들 (112A), 상단부 (112B), 및 하단부 (112C) 를 포함한다. 본 명세서에 언급된 바와 같이, 일부 실시 예들에서 프로세싱 챔버 벽들 (112A), 상단부 (112B), 및 하단부 (112C) 의 표면들 상의 원치 않은 응결을 방지하기 위해 프로세싱 챔버 벽들 (112A), 상단부 (112B), 및 하단부 (112C) 의 온도를 능동적으로 제어하는 것이 바람직할 수도 있다. 일부 새롭게 발생되는 (emerging) 반도체 프로세싱 동작들은 기판 상에 흡착하는 수증기 및/또는 알코올 증기와 같은 증기들을 기판 상으로, 흘리지만, 증기들은 또한 챔버의 내부 표면들 상에 바람직하지 않게 흡착할 수도 있다. 이는 챔버 표면들을 손상시킬 수 있는 챔버 내부 표면들 상의 원치 않은 증착 및 에칭을 야기할 수 있고, 미립자들로 하여금 기판 상으로 플레이크 오프되게 (flake off) 하여 기판 결함들을 유발할 수 있다. 챔버의 내부 표면들 상의 원치 않은 응결을 감소시키고 방지하기 위해, 챔버의 벽들, 상단부 및 하단부의 온도는 프로세싱 동작들에 사용된 화학 물질들의 응결이 발생하지 않는 온도로 유지될 수도 있다.
챔버의 표면들의 이 능동 온도 제어는 챔버 벽들 (112A), 상단부 (112B), 및 하단부 (112C) 를 가열하기 위해 히터들을 사용함으로써 달성될 수도 있다. 도 5에 예시된 바와 같이, 챔버 히터들 (116A) 은 챔버 벽들 (112A) 상에 포지셔닝되고, 챔버 벽들 (112A) 을 가열하도록 구성되고, 챔버 히터들 (116B) 은 상단부 (112B) 상에 포지셔닝되고 상단부 (112B) 를 가열하도록 구성되며, 챔버 히터들 (116C) 은 하단부 (112C) 상에 포지셔닝되고 하단부 (112C) 를 가열하도록 구성된다. 챔버 히터들 (116A 내지 116C) 은 전류가 저항성 엘리먼트를 통해 흐를 때 열을 생성하도록 구성된 저항성 히터들일 수도 있다. 챔버 히터들 (116A 내지 116C) 은 또한 가열된 물을 포함할 수도 있는 가열 유체와 같은, 열 전달 유체가 흐를 수도 있는 유체 도관들일 수도 있다. 일부 예들에서, 챔버 히터들 (116A 내지 116C) 은 가열 유체 및 저항성 히터들 모두의 조합일 수도 있다. 챔버 히터들 (116A 내지 116C) 은 챔버 벽들 (112A), 상단부 (112B), 및 하단부 (112C) 각각의 내부 표면들로 하여금, 예를 들어, 약 80 ℃ 내지 약 130 ℃, 또는 약 90 ℃ 또는 약 120 ℃를 포함하는 약 40 ℃ 내지 약 150 ℃의 범위일 수도 있는 목표된 온도가 되게 하기 위해 열을 생성하도록 구성된다. 일부 조건들 하에서, 수증기 및 알코올 증기는 약 90 ℃ 이상으로 유지된 표면 상에 응결되지 않는다는 것이 발견되었다.
챔버 벽들 (112A), 상단부 (112B), 및 하단부 (112C) 는 또한 프로세싱 기법들에 사용된 화학 물질들을 견딜 수 있는 다양한 재료들로 구성될 수도 있다. 이들 챔버 재료들은, 예를 들어, 알루미늄, 양극산화된 (anodized) 알루미늄, 플라스틱과 같은 폴리머를 갖는 알루미늄, 이트리아 코팅을 갖는 금속 또는 금속 합금, 지르코니아 코팅을 갖는 금속 또는 금속 합금, 및 알루미늄 옥사이드 코팅을 갖는 금속 또는 금속 합금을 포함할 수도 있고; 일부 예들에서, 코팅들의 재료들은 블렌딩되거나 (blended) 알루미늄 옥사이드와 이트리아, 또는 알루미늄 옥사이드와 지르코니아의 교번하는 층들과 같이, 상이한 재료 조합들의 층들일 수도 있다. 이들 재료들은 무수 (anhydrous) HF, 수증기, 메탄올, 이소프로필 알코올, 염소, 불소 가스, 질소 가스, 수소 가스, 헬륨 가스, 및 이들의 혼합물들과 같은 프로세싱 기법들에 사용된 화학 물질들을 견디도록 구성된다.
장치 (100) 는 또한 약 0.1 Torr 내지 약 100 Torr, 또는 약 20 Torr 내지 약 200 Torr, 또는 약 0.1 Torr 내지 약 10 Torr의 압력에서와 같이, 진공에서 또는 진공 근처에서 프로세싱 동작들을 수행하도록 구성될 수도 있다. 이는 챔버 내부 (114) 를 약 0.1 Torr 내지 약 100 Torr의 압력, 또는 본 명세서에 기술된 또 다른 압력 범위를 갖는 진공과 같은 저압들로 펌핑하도록 구성된 진공 펌프 (184) 를 포함할 수도 있다.
이제 페데스탈 (104) 의 다양한 피처들이 논의될 것이다. 페데스탈 (104) 은 450 ㎚를 포함하는 400 ㎚ 내지 800 ㎚를 포함하는 파장들을 갖는 가시광을 방출하도록 구성된 복수의 LED들 (124) 을 갖는 (도 5에서 점선 직사각형으로 둘러싸인) 히터 (122) 를 포함한다. 히터 LED들은 기판의 후면 상으로 이 가시광을 방출하고, 이는 기판을 가열한다. 약 400 ㎚ 내지 800 ㎚의 파장들을 갖는 가시광은 실리콘이 이 범위 내의 광을 흡수하기 때문에 주변 온도, 예를 들어, 약 20 ℃로부터 약 600 ℃까지 실리콘 웨이퍼들을 신속하고 효율적으로 가열할 수 있다. 대조적으로, 적외선 복사를 포함하는 복사선은 실리콘이 약 400 ℃보다 보다 낮은 온도에서 적외선에 대해 투과성인 경향이 있기 때문에 약 400 ℃까지의 온도에서 실리콘을 비효과적으로 가열할 수도 있다. 부가적으로, 많은 종래의 반도체 프로세스들에서와 같이 웨이퍼의 상단면을 직접 가열하는 복사 히터들은 상단면 막들에 손상 또는 다른 역효과들을 유발할 수 있다. 가열 코일을 갖는 페데스탈과 같은, 기판과 가열 플래튼 (platen) 사이의 고체-대-고체 열 전달에 의존하는 종래의 "핫 플레이트" 히터들은 상대적으로 느린 가열 레이트 및 냉각 레이트를 가지며, 기판 뒤틀림 및 가열 플래튼과의 일관되지 않은 콘택트에 의해 유발될 수 있는 불균일한 가열을 제공한다. 예를 들어, 종래 페데스탈을 목표된 온도로, 그리고 제 1 고온에서 제 2 고온으로 가열하고, 뿐만 아니라 페데스탈을 보다 저온으로 냉각하는데 수 분이 걸릴 수도 있다.
도 17은 다양한 파장들 및 온도들에서 실리콘 흡수의 그래프를 도시한다. x-축은 광 파장들이고, 수직 축은 1.0이 최대인 (즉, 100 %) 흡수이고; 데이터는 상이한 온도들에서 실리콘의 광 흡수이다. 알 수 있는 바와 같이, 영역 1에서, 400 ㎚ 내지 800 ㎚의 광의 실리콘의 흡수는 실리콘의 온도의 변화들과 함께 상대적으로 일정하게 유지된다. 그러나, 적외선 광, 즉 약 1 ㎛ 이상의 파장들을 갖는 광의 실리콘의 흡수는 온도가 600 ℃에 도달할 때까지 실리콘 흡수가 일관되지 않도록 실리콘의 온도와 함께 변화한다. 부가적으로, 다양한 파장들 및 온도들에 대한 흡수 범위는 가시 범위와 비교하여 감소된다. 예를 들어, 270 ℃의 실리콘은 약 1.8 ㎛ 내지 약 6 ㎛의 적외선 방출에 대해 약 0.05 또는 5 %의 매우 낮은 흡수 레이트를 갖고, 이어서 약 6 ㎛ 내지 10 ㎛에서 일관되지 않은 레이트들을 갖는다. 350 ℃의 실리콘은 약 1.8 ㎛ 내지 약 5 ㎛에서 약 10 % 내지 20 % 범위의, 다음으로 가장 낮은 적외선 흡수 레이트를 갖는다. 따라서, 가시광을 사용하는 것은 실리콘의 온도와 무관하게 일관된 흡수를 발생시킨다.
히터의 복수의 LED들은 다양한 방식들로 배열되고, 전기적으로 연결되고, 전기적으로 제어될 수도 있다. LED 각각은 가시적인 청색 광 및/또는 가시적인 백색 광을 방출하도록 구성될 수도 있다. 특정한 실시 예들에서, (EM 스펙트럼의 가시적인 부분의 파장들의 범위를 사용하여 생성된) 백색 광이 사용된다. 일부 반도체 프로세싱 동작들에서, 백색 광은 원치 않은 박막 간섭을 감소시키거나 방지할 수 있다. 예를 들어, 일부 기판들은 상이한 광 파장들을 다양한 양들로 반사하는 후면 막들을 갖고, 따라서 고르지 않고 잠재적으로 비효율적인 가열을 생성한다. 백색 광을 사용하는 것은 백색 광에 의해 제공된 넓은 가시 스펙트럼에 걸쳐 박막 간섭을 평균함으로써 이러한 원치 않은 반사 변동을 감소시킬 수 있다. 일부 예들에서, 기판의 배면 상의 재료에 따라, 예를 들어, 협대역 파장을 백색 광보다 보다 잘 흡수할 수도 있는 일부 기판들의 보다 효율적이고, 강력하고, 직접적인 가열을 제공할 수도 있는 파장의 단일 또는 협대역 파장을 제공하도록, 450 ㎚ 파장을 갖는 청색 광과 같은 가시적인 비-백색 광을 사용하는 것이 유리할 수도 있다.
다양한 타입들의 LED가 채용될 수도 있다. 예들은 COB (chip on board) LED 또는 표면 장착 다이오드 (SMD) LED를 포함한다. SMD LED들에 대해, LED 칩은 칩 상의 다이오드 각각의 제어를 허용하는 복수의 전기적 콘택트들을 가질 수도 있는 인쇄 회로 기판 (PCB) 에 융합될 수도 있다. 예를 들어, 단일 SMD 칩은 통상적으로 예를 들어 상이한 컬러들을 생성하도록 개별적으로 제어될 수 있는 3 개의 다이오드들 (예를 들어, 적색, 청색, 또는 녹색) 을 갖는 것으로 제한된다. SMD LED 칩들은 2.8 x 2.5 ㎜, 3.0 x 3.0 ㎜, 3.5 x 2.8 ㎜, 5.0 x 5.0 ㎜, 및 5.6 x 3.0 ㎜와 같은 크기의 범위일 수도 있다. COB LED들에 대해, 칩 각각은 동일한 PCB 상에 인쇄된 3 개 초과의, 예컨대 9 개, 12 개, 수십, 수백 개 이상의 다이오드들을 가질 수 있다. COB LED 칩들은 통상적으로 다이오드들의 수와 무관하게 1 개의 회로와 2 개의 콘택트들을 갖고, 따라서 단순한 설계 및 효율적인 단일 컬러 애플리케이션을 제공한다. 기판을 가열하는 LED들의 능력 및 성능은 LED 각각에 의해 방출된 열의 와트에 의해 측정될 수도 있다; 이들 열의 와트는 기판 가열에 직접적으로 기여할 수도 있다.
도 6은 복수의 LED들을 갖는 기판 히터의 평면도를 도시한다. 이 기판 히터 (122) 는 인쇄 회로 기판 (126) 및 일부가 라벨링된 복수의 LED들 (124) 을 포함하고; 이 도시된 복수의 LED들은 대략 1,300 개의 LED들을 포함한다. 외부 연결부들 (128) 은 복수의 LED들 (124) 에 전력을 제공하도록 트레이스들에 의해 연결된다. 도 6에 예시된 바와 같이, LED들은 상이한 반경들에 의해 기판 히터 (122) 의 중심 (130) 으로부터 방사상으로 오프셋되는 수많은 아크들을 따라 배열될 수도 있고; 각각의 아크에서, LED들은 서로로부터 동일하게 이격될 수도 있다. 예를 들어, 하나의 아크 (132) 는 부분적으로 음영 처리된 점선 형상으로 둘러싸이고, 16 개의 LED들 (124) 을 포함하고, 중심 (130) 둘레로 연장하는 반경 (R) 을 갖는 원의 일부이다. 16 개의 LED들 (124) 은 이 아크 (132) 를 따라 서로 균등하게 이격된 것으로 간주될 수도 있다.
일부 실시 예들에서, LED들은 또한 기판 히터의 중심 둘레에 원들을 따라 배열될 수도 있다. 일부 예들에서, 일부 LED들은 원들을 따라 배열될 수도 있는 한편 다른 LED들은 아크들을 따라 배열될 수도 있다. 도 7은 복수의 LED들을 갖는 기판 히터의 또 다른 예의 평면도를 도시한다. 이 기판 히터 (322) 는 인쇄 회로 기판 (326) 및 일부가 라벨링된 복수의 LED들 (324) 을 포함한다. 여기서, LED들 (324) 은 상이한 반경들에 의해 기판 히터 (322) 의 중심 (330) 으로부터 방사상으로 오프셋되는 수많은 원들을 따라 배열되고; 원 각각에서, LED들은 서로 균등하게 이격될 수도 있다. 예를 들어, 하나의 원 (334) 이 부분적으로 음영 처리된 링으로 둘러싸이고, 78 개의 LED들 (324), 중심 (330) 둘레로 연장하는 반경 (R) 을 포함한다. 78 개의 LED들 (324) 은 이 원 (334) 을 따라 서로 균등하게 이격된 것으로 간주될 수도 있다. 도 7의 LED들의 배열은, 외부 연결부들을 포함하는 도 6의 기판 히터 (122) 의 영역들은 웨이퍼 상에 가열되지 않은 콜드 스폿들을 제공할 수도 있기 때문에, 특히 기판 및 히터가 프로세싱 동안 서로에 대해 정지된 (stationary) 채로 남아 있고; 기판 및 기판 히터는 회전하지 않기 때문에, 기판의 전체 후면에 걸쳐 보다 균일한 광 및 열 분포 패턴을 제공할 수도 있다.
일부 실시 예들에서, 복수의 LED들은 예를 들어, 약 1,200, 1,500, 2,000, 3,000, 4,000, 5,000 개, 또는 6,000 개 초과를 포함하는 적어도 약 1,000 개의 LED들을 포함할 수도 있다. 일부 예들에서, LED 각각은 100 % 전력에서 3 W 및 100 % 전력에서 1 W를 포함하여, 100 % 전력에서 4 W 이하를 사용하도록 구성될 수도 있다. 이들 LED들은 기판에 걸쳐 온도 조정 및 미세 튜닝을 인에이블하도록 배열되고 개별적으로 제어 가능한 존들 내로 전기적으로 접속될 수도 있다. 일부 예들에서, LED들은 예를 들어, 적어도 약 25, 50, 75, 80, 85, 90, 95, 또는 100 개의 존들을 포함하는, 적어도 20 개의, 예를 들어, 독립적으로 제어 가능한 존들로 그룹화될 수도 있다. 이들 존들은 방사상 방향 및 방위각 (즉, 각도) 방향으로 온도 조정을 허용할 수도 있다. 이들 존들은 직사각형 그리드, 육각형 그리드, 또는 목표된 바와 같이 온도 프로파일을 생성하기 위한 다른 적합한 패턴과 같은 규정된 패턴으로 배열될 수 있다. 존들은 또한 정사각형, 사다리꼴, 직사각형, 삼각형, 오브라운드형 (obround), 타원형, 원형, 환형 (예를 들어, 링), 부분적으로 환형 (즉, 환형 섹터), 아크, 세그먼트 및 히터의 중심에 센터링될 수도 있고 기판 히터의 PCB의 전체 반경보다 보다 작거나 같은 반경을 가질 수도 있는 섹터와 같은 가변하는 형상들을 가질 수도 있다. 예를 들어, 도 6에서 LED들은 20 개 또는 21 개와 같이 적어도 20 개의 동심 링들로 구성된 88 개의 존들을 갖는다. 이들 존들은 기판의 중심에서보다 기판의 에지 둘레에서 보다 높은 온도들과 같은 목표된 온도 프로파일들뿐만 아니라 보다 고른 온도 분포를 생성하기 위해 웨이퍼에 걸쳐 수 많은 위치들에서 온도를 조정할 수 있다. 이들 존들의 독립적인 제어는 또한 존 각각의 전력 출력을 제어하는 능력을 포함할 수도 있다. 예를 들어, 존 각각은 적어도 15, 20, 또는 25 개의 조정 가능한 전력 출력들을 가질 수도 있다. 일부 예들에서, 존 각각은 하나의 LED를 가질 수도 있어서, LED 각각이 개별적으로 제어되고 조정될 수 있게 하고, 이는 기판 상에서 보다 균일한 가열 프로파일을 야기할 수 있다. 이에 따라, 일부 실시 예들에서, 기판 히터 내의 복수의 LED들의 LED 각각은 개별적으로 제어 가능할 수도 있다.
특정한 실시 예들에서, 기판 히터 (122) 는 기판을 복수의 온도들로 가열하고 다양한 지속 기간 동안 이러한 온도들 각각을 유지하도록 구성된다. 이들 지속 기간들은 적어도 약 1 초, 적어도 약 5 초, 적어도 약 10 초, 적어도 약 30 초, 적어도 약 60 초, 적어도 약 90 초, 적어도 약 120 초, 적어도 약 150 초, 또는 적어도 약 180 초의 비제한적인 예들을 포함할 수도 있다. 기판 히터는 예를 들어 약 130 ℃를 포함하는 약 50 ℃ 내지 150 ℃, 또는 약 150 ℃ 내지 350 ℃를 포함하는, 약 50 ℃ 내지 600 ℃로 기판을 가열하도록 구성될 수도 있다. 다른 가능한 온도 범위들은 상기 논의되었다. 기판 히터는 다음의 비제한적인 예들: 예를 들어, 적어도 약 1 초, 적어도 약 5 초, 적어도 약 10 초, 적어도 약 30 초, 적어도 약 60 초, 적어도 약 90 초, 적어도 약 120 초, 적어도 약 150 초, 또는 적어도 약 180 초를 포함하는, 다양한 지속 기간들 동안 이들 범위들 내의 온도로 기판을 유지하도록 구성될 수도 있다. 부가적으로, 일부 실시 예들에서, 기판 히터 (122) 는 예를 들어, 약 60 초 미만, 약 45 초 미만, 약 30 초 미만, 또는 약 15 초 미만의 이들 범위들 내의 임의의 온도로 기판을 가열하도록 구성된다. 특정한 실시 예들에서, 기판 히터 (122) 는 예를 들어 적어도 약 0.1 ℃/초 내지 적어도 약 20 ℃/초와 같은 하나 이상의 가열 레이트들로 기판을 가열하도록 구성된다.
기판 히터는 LED들로 하여금 적어도 약 80 %, 적어도 약 90 %, 적어도 약 95 %, 또는 적어도 약 100 % 전력을 포함하는 하나 이상의 전력 레벨들로 가시광을 방출하게 함으로써 기판의 온도를 상승시킬 수도 있다. 일부 실시 예들에서, 기판 히터는 적어도 약 10 W, 적어도 약 30 W, 적어도 약 0.3 킬로와트 (kW), 적어도 약 0.5 ㎾, 적어도 약 2 ㎾, 적어도 약 3kW, 또는 적어도 약 4 ㎾를 포함하는, 약 10 W 내지 4000 W을 방출하도록 구성된다. 장치는 약 0.1 ㎾ 내지 9 ㎾의 전력을 페데스탈에 공급하도록 구성되고; 전력 공급부는 페데스탈을 통해 기판 히터에 연결되지만 도면들에는 도시되지 않는다. 온도 램프 (temperature ramp) 동안, 기판 히터는 고전력들에서 동작할 수도 있고, 가열된 기판의 온도를 유지하기 위해 보다 낮은 전력 레벨들 (예를 들어, 약 5 W 내지 약 0.5 ㎾를 포함함) 에서 동작할 수도 있다.
페데스탈은 동작 동안 LED들에 의해 방출된 광을 페데스탈에 의해 지지된 기판의 후면 상으로 반사하고 지향시키는 내부 표면들 상에 반사성 재료를 포함할 수도 있다. 일부 이러한 실시 예들에서, 기판 히터는 도 5에 도시된 바와 같이, 복수의 LED들 (124) 이 포지셔닝되는 PCB (126) 의 상단 표면 (140) 상에 포지셔닝된 이러한 반사성 재료를 포함할 수도 있다. 반사성 재료는 폴리싱된 알루미늄, 스테인리스 스틸, 알루미늄 합금들, 니켈 합금들, 및/또는 금속의 산화를 방지할 수 있고 그리고/또는 특정 파장들에 대해 99 % 초과 반사율에 도달하는 것과 같이 특정한 파장들에서 반사율을 향상시킬 수 있는 다른 보호 층들 및 다른 내구성 있는 반사 코팅들로 구성될 수도 있다. 부가적으로 또는 대안적으로, 페데스탈 (104) 은 기판 히터 (122) 가 적어도 부분적으로 포지셔닝되는 보울 (146) 을 가질 수도 있다. 보울 (146) 은 그 위에 반사성 재료가 포지셔닝될 수도 있는 페데스탈 측벽들 (149) 의 노출된 내부 표면들 (148) 을 가질 수도 있다. 이 반사성 재료는 기판 히터의 가열 효율을 상승시키고, 그렇지 않으면 PCB (126) 및 페데스탈 (104) 에 의해 흡수될 광을 기판 상으로 유리하게 다시 지향시킴으로써 PCB (126) 및 페데스탈 (104) 의 원치 않은 가열을 감소시킨다.
일부 실시 예들에서, 기판 히터는 또한 복수의 LED들에 의해 생성된 열이 LED들로부터 페데스탈 냉각기로 전달될 수 있도록 LED들에 열적으로 연결되는 페데스탈 냉각기를 포함할 수도 있다. 이 열적 연결은 열이 이들 컴포넌트들 사이의 하나 이상의 열 플로우 경로들을 따라 복수의 LED들로부터 페데스탈 냉각기로 전도될 수 있도록 한다. 일부 예들에서, 페데스탈 냉각기는 기판 히터의 하나 이상의 엘리먼트들과 직접적으로 콘택트하지만, 다른 예들에서 (예를 들어, 금속을 포함하는) 열 전도성 플레이트들과 같은 다른 전도성 엘리먼트들이 기판 히터와 페데스탈 냉각기 사이에 개재된다. 다시 도 5를 참조하면, 기판 히터는 PCB (126) 의 하단부와 직접적으로 콘택트하는 페데스탈 냉각기 (136) 를 포함한다. 열은 LED들로부터 PCB (126) 로, 그리고 페데스탈 냉각기 (136) 로 흐르도록 구성된다. 페데스탈 냉각기 (136) 는 또한 복수의 유체 도관들 (138) 을 포함하고, 이를 통해 물과 같은 열 전달 유체가 열을 수용하고 따라서 기판 히터 (122) 내의 LED들을 냉각시키기 위해 흐르도록 구성된다. 유체 도관들 (138) 은 챔버 외부에 위치된, 도시되지 않은 저장조 (reservoir) 및 펌프에 연결될 수도 있다. 일부 예들에서, 페데스탈 냉각기는 약 5 ℃ 내지 20 ℃와 같이 냉각되는 물을 흘리도록 구성될 수도 있다.
본 명세서에 제공된 바와 같이, 프로세싱 챔버 (102) 의 외부 표면들을 능동적으로 가열하는 것이 유리할 수도 있다. 일부 예들에서, 페데스탈 (104) 의 외부 표면들 상의 원치 않은 응결 및 증착을 방지하기 위해 페데스탈 (104) 의 외부 표면들을 가열하는 것이 유사하게 유리할 수도 있다. 도 5에 예시된 바와 같이, 페데스탈 (104) 은 페데스탈 (104) 의 측면들 (142A) 및 하단부 (142B) 를 포함하여 페데스탈 (104) 의 외부 표면들을 가열하도록 구성된 페데스탈 (104) 의 내부에 페데스탈 히터 (144) 를 더 포함할 수도 있다. 페데스탈 히터 (144) 는 가열 유체가 흐르도록 구성된 하나 이상의 저항성 가열 엘리먼트들 및 유체 도관들과 같은 하나 이상의 가열 엘리먼트들을 포함할 수도 있다. 일부 예들에서, 페데스탈 냉각기 및 페데스탈 히터 모두는 동일한 열 전달 유체가 페데스탈 냉각기 및 페데스탈 히터 모두에서 흐를 수도 있도록 서로 유체로 연결된 (fluidically connect) 유체 도관들을 가질 수도 있다. 이들 실시 예들에서, 유체는 약 90 ℃ 내지 120 ℃를 포함하여 50 ℃ 내지 130 ℃로 가열될 수도 있다.
페데스탈은 또한 프로세싱 동작들 동안 사용된 압력들 및 프로세싱 화학 물질들에 대한 노출에 의해 유발된 손상으로부터 복수의 LED들을 포함하는 기판 히터를 보호하기 위한 윈도우를 포함할 수도 있다. 도 5에 예시된 바와 같이, 윈도우 (150) 는 기판 히터 (122) 위에 포지셔닝될 수도 있고 챔버 내부로부터 유체적으로 격리되는 페데스탈 내에 플레넘 볼륨을 생성하기 위해 페데스탈 (104) 의 측벽 (149) 에 시일링될 (sealing) 수도 있다. 이 플레넘 볼륨은 또한 보울 (146) 의 내부로 간주될 수도 있다. 윈도우는 400 ㎚ 내지 800 ㎚ 범위의 파장들을 갖는 광을 포함하는, LED들에 의해 방출된 가시광에 광학적으로 투과성인 하나 이상의 재료들로 구성될 수도 있다. 일부 실시 예들에서, 이 재료는 석영, 사파이어, 사파이어 코팅을 갖는 석영, 또는 CaF (calcium fluoride) 일 수도 있다. 윈도우는 또한 내부에 어떠한 홀 또는 개구부도 갖지 않을 수도 있다. 일부 실시 예들에서, 히터는 20 ㎜ 및 25 ㎜를 포함하는, 15 내지 30 ㎜의 두께를 가질 수도 있다.
도 8는 다양한 실시 예들에 따른 부가적인 피처들을 갖는 도 5의 페데스탈을 도시한다. 도 8에서 식별된 바와 같이, 윈도우 (150) 는 페데스탈 (104) 에 의해 지지된 기판 (118) 과 대면하는 상단 표면 (152), 및 기판 히터 (122) 와 대면하는 하단 표면 (154) 을 포함한다. 일부 실시 예들에서, 상단 표면 및 하단 표면 (152 및 154) 은 편평하고, 평면인 표면들 (또는 실질적으로 편평한, 예를 들어, 편평의 ±10 % 또는 5 % 이내) 일 수도 있다. 일부 다른 예들에서, 상단부 (152), 하단부 (154), 또는 상단부 (152) 및 하단부 (154) 모두는 비평면 표면들일 수도 있다. 이들 표면들의 비평면도 (nonplanarity) 는 웨이퍼를 보다 효율적으로 그리고/또는 효과적으로 가열하도록 기판 히터 (122) 의 LED들 (124) 에 의해 방출된 광을 굴절 및/또는 지향시키도록 구성될 수도 있다. 비평면도는 또한 표면의 일부 또는 전부를 따를 수도 있다. 예를 들어, 전체 하단 표면은 볼록한 곡률 또는 오목한 곡률을 가질 수도 있지만, 또 다른 예에서 하단 표면의 외측 환형 영역은 표면의 나머지 부분이 평면인 동안 볼록한 곡률 또는 오목한 곡률을 가질 수도 있다. 추가 예들에서, 이들 표면들은 원뿔 단면과 동일하거나 상이한 각도로 원뿔 절두체 (frustum) 표면에 인접한 평면 환형 섹션에 인접한 표면의 중심에 원뿔 섹션을 갖는 것과 같이, 복수이지만, 상이한 비평면 섹션들을 가질 수도 있다. 일부 실시 예들에서, 윈도우 (150) 는 LED 각각과 같이 하나 이상의 LED들에 의해 방출된 광을 포커싱하도록 배향되는 렌즈들의 어레이로서 작용하는 피처들을 가질 수도 있다.
기판 히터 (122) 위에 포지셔닝된 윈도우 (150) 를 사용하여, 윈도우 (150) 는 기판 주변의 열적 분위기에 영향을 줄 수 있는 기판 히터 (122) 에 의해 가열된다. 석영과 같은 윈도우 (150) 에 사용된 재료 또는 재료들에 따라, 윈도우는 열을 보유할 (retain) 수도 있고 하나 이상의 기판들을 프로세싱하는 동안 점진적으로 보다 많은 열을 보유할 수도 있다. 이 열은 기판으로 복사 전달될 수 있고 따라서 기판을 직접 가열한다. 일부 예들에서, 윈도우는 히터 온도보다 50 ℃ 내지 80 ℃ 높은 온도 상승을 유발할 수 있다. 이 열은 또한 윈도우의 두께를 통해, 또는 수직 방향으로 온도 기울기 (gradient) 를 생성할 수도 있다. 일부 예들에서, 상단 표면 (152) 은 하단 표면 (154) 보다 30 ℃ 보다 고온이다. 따라서 윈도우의 열적 효과들을 해결하고 (account for) 감소시키도록 챔버를 조정하고 구성하는 것이 유리할 수도 있다. 이하에 보다 상세히 기술된 바와 같이, 이는 기판의 온도를 검출하고 윈도우에 의해 보유된 열을 해결하도록 기판 히터를 조정하는 것을 포함할 수도 있다.
이는 또한 윈도우를 능동적으로 냉각하는 것과 같은 다양한 구성의 페데스탈을 포함할 수도 있다. 일부 실시 예들에서, 도 5 및 도 8에 도시된 바와 같이, 윈도우 (150) 는 제 1 거리 (156) 만큼 기판 히터 (122) 로부터 오프셋될 수도 있다. 일부 실시 예들에서, 이 제 1 거리는 약 5 ㎜ 내지 40 ㎜를 포함하여, 약 2 ㎜ 내지 50 ㎜일 수도 있다. 불활성 가스와 같은 냉각 유체는 윈도우 (150) 및 기판 히터 (122) 모두를 냉각하기 위해 윈도우 (150) 와 기판 히터 (122) 사이에서 흐를 수도 있다. 페데스탈은 페데스탈 (104) 의 플레넘 볼륨 또는 보울 (146) 내에서 이 가스를 흘리기 위한 하나 이상의 유입구들 및 하나 이상의 유출구들을 가질 수도 있다. 하나 이상의 유입구들은 페데스탈 (104) 내부에 적어도 부분적으로 라우팅될 수도 있는 유체 도관들을 포함할 수도 있는 챔버 (102) 외부의 불활성 가스 소스에 유체로 연결된다. 하나 이상의 유출구들은 또한 페데스탈 내에서 진행하는 (running) 유체 도관들을 통과할 수도 있는 배기구 또는 챔버 (102) 의 외부 다른 분위기에 유체로 연결된다. 다양한 실시 예들에 따른 부가적인 피처들을 갖는 도 8의 페데스탈을 도시하는 도 18에서, 하나 이상의 유입구들 (151) 이 측벽들 (149) 내에 포지셔닝되고 표면 (148) 을 통해 연장하고; 하나 이상의 유입구들은 또한 부분적으로 페데스탈 (104) 을 통해 라우팅되는 유체 도관들 (155) 을 통해 불활성 가스 소스 (1472) 에 유체로 연결된다. 단일 유출구 (153) 는 기판 히터 (122) 의 중심 영역에, 즉, 정확한 중심이 아니라 중심 영역에 매우 근접하게 포지셔닝된다. 일부 실시 예들에서, 하나 이상의 가스 유입구들 및 하나 이상의 유출구들은, 하나 이상의 유출구들이 측벽들 (149) 을 통해 연장하고 (즉, 유출구들은 도 18의 아이템 (151)), 그리고 하나 이상의 유입구들이 기판 히터 (122) 의 중심 영역일 수도 있도록 (즉, 유입구들은 도 14의 아이템 (153)) 스위칭될 수도 있다. 일부 실시 예들에서, 2 개 이상의 유출구가 있을 수도 있고; 일부 실시 예들에서, 단일 가스 유입구만이 있을 수도 있다. 일부 실시 예들에서, 하나 이상의 가스 유입구들은 LED 히터 (122) 밑 (underneath) 의 페데스탈 측벽 (149) 의 내부 표면 (148) 을 통해 연장하고 그리고 하나 이상의 가스 유출구들은 LED 히터 (122) 와 페데스탈 측벽 (149) 사이의 마운팅 브래킷과 같은 페데스탈 측벽 (149) 의 또 다른 부분을 통해 연장한다.
일부 실시 예들에서, 윈도우는 기판 히터와 직접, 열적으로 콘택트하게 배치될 수도 있고, 페데스탈 냉각기는 PCB 및 윈도우 모두를 냉각하도록 구성될 수도 있다. 일부 실시 예들에서, 또한 도 5 및 도 8에 도시된 바와 같이, 윈도우 (150) 는 윈도우 (150) 내의 보유된 열의 일부를 페데스탈 (104) 로 전달하기 위해 페데스탈 (104) 의 측벽들 (149) 에 열적으로 연결될 수도 있다. 이 전달된 열은 예를 들어, 약 20 ℃ 내지 100 ℃로 가열되는 페데스탈 (104) 을 통해 유체를 흘릴 수도 있는, 예를 들어, 페데스탈 히터 (144) 를 사용하여 페데스탈로부터 더 전달될 수도 있다. 이 가열된 유체는 윈도우 (150) 와의 열적 연결에서 페데스탈 (104) 의 온도보다 보다 낮을 수도 있다. 일부 실시 예들에서, 윈도우 (150) 는, 윈도우 (150) 내에 하나 이상의 유체 도관들을 가질 수도 있고, 이를 통해 투과성인 냉각 유체가 흐르도록 구성될 수도 있다. 이들 도관들은 단일 유입구, 단일 유출구, 및 구불 구불한 (serpentine) 섹션을 갖는 단일 플로우 경로와 같이, 윈도우 내에서 고른 냉각 및 온도 분포를 제공하도록 다양한 배열들로 되어 있을 수도 있다. 유체는 챔버 외부의 저장조 또는 유체 소스로부터 페데스탈을 통해 윈도우로 라우팅될 수도 있다.
도 5 및 도 8에 도시된 바와 같이, 페데스탈의 기판 지지부들 (108) 은 위에 있는 기판 (118) 을 지지하고 윈도우 (150) 및 기판 히터 (122) 로부터 오프셋되도록 구성된다. 특정한 실시 예들에서, 기판의 온도는 챔버 내에서 기판을 열적으로 플로팅하거나 열적으로 격리함으로써 신속하고 정확하게 제어될 수 있다. 기판의 가열 및 냉각은 기판의 열적 매스 및 기판과 콘택트하는 다른 아이템들의 열적 매스들 모두에 지향된다. 예를 들어, 기판이 많은 종래의 에칭 장치들에서와 같이 페데스탈 또는 정전 척의 큰 표면 상에 놓인 기판의 배면 전체와 같은 대형 바디와 열적으로 콘택트한다면, 이 바디는 기판 온도를 정확하게 제어하고 기판 가열 및 냉각의 신속성을 감소시키는 능력에 영향을 주는 기판에 대한 열 싱크로서 작용한다. 따라서 가장 작은 열적 매스가 가열되고 냉각되도록 기판을 포지셔닝시키는 것이 바람직하다. 이 열적 플로팅은 챔버 내의 다른 바디들과 (직접 콘택트 및 복사 콘택트를 포함하는) 최소 열적 콘택트를 갖도록 기판을 포지셔닝하도록 구성된다.
따라서 페데스탈 (104) 은 일부 실시 예들에서, 챔버 내부 (114) 내에서 기판을 열적으로 플로팅하거나 열적으로 격리함으로써 기판 (118) 을 지지하도록 구성된다. 페데스탈의 복수의 기판 지지부들 (108) 은 기판 (118) 의 열적 매스가 가능한 한 많이 기판 (118) 만의 열적 매스로 감소되도록 기판 (118) 을 지지하도록 구성된다. 기판 지지부 (108) 각각은 기판 (118) 과의 최소 콘택트를 제공하는 기판 지지 표면 (120) 을 가질 수도 있다. 기판 지지부들 (108) 의 수는 적어도 3 내지 예를 들어, 적어도 6 이상의 범위일 수도 있다. 지지 표면들 (120) 의 표면적은 또한 프로세싱 동작들 동안 (예를 들어, 기판의 중량을 지지하고 기판의 비탄성적인 변형 (inelastic deformation) 을 방지하기 위해) 기판을 적절히 지지하는데 필요한 최소 면적일 수도 있다. 일부 실시 예들에서, 일 지지부 표면 (120) 의 표면적은 예를 들어, 약 0.1 %보다 보다 작고, 약 0.075 %보다 보다 작고, 약 0.05 % 보다 보다 작고, 약 0.025 %보다 보다 작고, 또는 약 0.01 %보다 보다 작을 수도 있다.
기판 지지부들은 또한 기판 밑의 피처들 및 페데스탈의 표면들을 포함하는, 페데스탈의 다른 엘리먼트들과 기판이 콘택트하는 것을 방지하도록 구성된다. 도 5 및 도 8에 도시된 바와 같이, 기판 지지부들 (108) 은 위에 기판 (118) 을 홀딩하며 윈도우 (150) 의 상단 표면 (152) (도 8에서 식별됨) 인, 기판 (118) 아래의 페데스탈 (104) 의 다음 인접한 표면으로부터 오프셋된다. 이들 도면들에서 알 수 있는 바와 같이, 기판 지지부들과의 콘택트를 제외하고, 기판 밑에 볼륨 또는 갭이 존재한다. 도 8에 예시된 바와 같이, 기판 (118) 은 윈도우 (150) 의 상단 표면 (152) 으로부터 거리 (158) 만큼 오프셋된다. 이 거리 (158) 는 윈도우 (150) 에 의해 기판 (118) 에 유발된 열적 효과들에 영향을 줄 수도 있다. 거리 (158) 가 보다 클수록 효과는 보다 적다. 2 ㎜ 이하의 거리 (158) 는 윈도우와 기판 사이에 상당한 열적 커플링을 발생시킨다는 것이 발견되었고; 따라서, 예를 들어 적어도 약 5 ㎜, 약 10 ㎜, 약 15 ㎜, 약 20 ㎜, 약 30 ㎜, 약 50 ㎜, 또는 약 100 ㎜와 같은 2 ㎜보다 보다 큰 거리 (158) 를 갖는 것이 바람직하다.
기판 (118) 은 또한 (일부 예들에서 LED들 (124) 의 상단 표면일 수도 있는 기판 히터 (122) 의 상단 표면으로부터 측정된 바와 같이) 기판 히터 (122) 로부터 거리 (160) 만큼 오프셋된다. 이 거리 (160) 는 기판 (118) 을 가열하는 수많은 양태들에 영향을 준다. 일부 예들에서, LED들 (124) 은 거리 (160) 가 감소함에 따라 증가하는 불균일한 가열 패턴을 제공하고; 반대로, 이 불균일한 가열 패턴은 거리 (160) 를 증가시킴으로써 감소된다. 일부 예들에서, 거리 (160) 가 증가함에 따라, 가열 효율은 기판에 걸쳐 감소하고 에지 영역에서 보다 감소하고 기판의 불균일한 가열을 유발한다. 일부 실시 예들에서, 약 5 ㎜ 내지 약 100 ㎜, 예를 들어 약 10 ㎜ 내지 약 90 ㎜, 또는 약 10 ㎜ 내지 약 30 ㎜, 또는 약 15 ㎜ 내지 25 ㎜의 거리 (160) 는 실질적으로 균일한 가열 패턴 및 허용 가능한 가열 효율을 제공한다.
언급된 바와 같이, 기판 지지부들 (108) 은 윈도우 위에 기판 (118) 을 지지하도록 구성된다. 일부 실시 예들에서, 이들 기판 지지부들은 정지되고 제자리에 고정되며; 리프트 핀들 또는 지지 링이 아니다. 일부 실시 예들에서, 지지 표면 (120) 을 포함하는 기판 지지부 (108) 각각의 적어도 일부는 적어도 LED들 (124) 에 의해 방출된 광에 대해 투과성인 재료로 구성될 수도 있다. 이 재료는 일부 예들에서 석영 또는 사파이어일 수도 있다. 이들 기판 지지부들 (108) 의 투명도는, 기판 지지부 (108) 가 이 광을 차단하지 않고 기판 (118) 이 지지되는 영역들에서 가열될 수 있도록, 기판 히터 (122) 의 LED들에 의해 방출된 가시광으로 하여금 기판 지지부 (108) 를 통해 기판 (118) 으로 통과하게 할 수도 있다. 이는 가시광에 불투명한 재료를 포함하는 기판 지지부를 사용하는 것보다 기판 (118) 의 보다 균일한 가열을 제공할 수도 있다. 일부 다른 실시 예들에서, 기판 지지부들 (108) 은 지르코늄 다이옥사이드 (ZrO2) 와 같은 불투과성인 재료로 구성될 수도 있다.
도 8에 도시된 바와 같은 일부 실시 예들에서, 기판 지지부들 (108) 은 윈도우 (150) 의 외경 (164) 보다 윈도우의 중심 축 (162) 에 보다 가깝게 포지셔닝될 수도 있다. 일부 예들에서, 이들 기판 지지부들의 부분들은 기판 표면들 (120) 이 윈도우 (150) 위에 있도록 상기 기판 지지부의 부분들이 윈도우 (150) 와 오버랩하도록 윈도우 (150) 에 걸쳐서 그리고 윈도우 (150) 위로 연장할 수도 있다.
일부 실시 예들에서, 기판 지지부들 각각은 기판 지지부들의 지지부 표면 상에 포지셔닝된 기판의 온도를 검출하도록 구성된 온도 센서를 포함할 수도 있다. 도 9는 개시된 실시 예들에 따른 도 5 및 도 8의 기판 지지부를 도시한다. 여기서, 기판 지지부 (108) 의 지지 표면 (120) 은 온도 센서 (166) 와 함께 식별된다. 일부 실시 예들에서, 이 온도 센서 (166) 는 온도 센서 (166) 가 지지 표면 (120) 에 의해 홀딩된 기판과 직접적으로 콘택트하도록 지지 표면 (120) 을 통해 연장한다. 일부 다른 실시 예들에서, 온도 센서 (166) 는 기판 지지부 (108) 내에 그리고 지지 표면 (120) 아래에 포지셔닝된다. 일부 실시 예들에서, 이 온도 센서 (166) 는 서모커플 (thermocouple) 이다. 일부 다른 실시 예들에서, 온도 센서 (166) 는 서미스터 (thermistor), 저항 온도 검출기 (resistance temperature detector; RTD), 및 반도체 센서일 수도 있다. 온도 센서 (166) 를 위한 전기 배선 (168) 은 기판 지지부 (108) 를 통해 라우팅될 수도 있고 또한 페데스탈 (104) 을 통해 라우팅될 수도 있다.
다시 도 5를 참조하면, 일부 실시 예들에서, 페데스탈은 또한 수직으로 이동하도록 구성된다. 이는 가스 분배 유닛 (110) 의 대면 플레이트 (176) 와 기판 (118) 사이의 갭 (186) 이 2 ㎜ 내지 70 ㎜의 범위 내에 있을 수 있도록 페데스탈을 이동시키는 것을 포함할 수도 있다. 이하에 보다 상세히 제공되는 바와 같이, 페데스탈을 수직으로 이동시키는 것은 가스 분배 유닛 (110) 과 기판 (118) 사이에 생성된 낮은 볼륨으로 인해, 가스를 흘리고 퍼지하는 것을 포함하는, 프로세싱 동작들의 신속한 사이클링 시간뿐만 아니라 기판의 능동 냉각을 인에이블할 수도 있다. 이 이동은 또한 보다 작은 퍼지 및 프로세스 볼륨을 발생시킬 수 있는 기판과 가스 분배 유닛 사이에 작은 프로세스 볼륨의 생성을 인에이블할 수도 있고 따라서 퍼지 및 가스 운동 (movement) 시간들을 감소시키고 쓰루풋을 증가시킨다.
가스 분배 유닛 (110) 은 액체들 및/또는 가스들, 예컨대 반응 물질, 개질 분자들, 변환 분자들, 또는 제거 분자들을 포함할 수도 있는, 프로세스 가스들을 챔버 내부 (114) 의 기판 (118) 상으로 흘리도록 구성된다. 도 5에 도시된 바와 같이, 가스 분배 유닛 (110) 은 하나 이상의 가스 소스들 (172) 및/또는 하나 이상의 증기 소스들 (174) 에 유체로 연결된 하나 이상의 유체 유입구들 (170) 을 포함한다. 일부 실시 예들에서, 가스 라인들 및 혼합 챔버는 내부로 흐르는 증기들 및 가스들의 원치 않은 응결을 방지하도록 가열될 수도 있다. 이들 라인들은 적어도 약 40 ℃, 적어도 약 80 ℃, 적어도 약 90 ℃, 적어도 약 120 ℃, 적어도 약 130 ℃, 또는 적어도 약 150 ℃로 가열될 수도 있다. 하나 이상의 증기 소스들은 가스 및/또는 기화되는 액체의 하나 이상의 소스들을 포함할 수도 있다. 기화는 직접 주입 기화기 (direct inject vaporizer), 플로우 오버 (flow over) 기화기, 또는 둘 다일 수도 있다. 가스 분배 유닛 (110) 은 또한 가스 분배 유닛 (110) 을 챔버 내부 (114) 와 유체로 연결하는 복수의 쓰루-홀들 (178) 을 포함하는 대면 플레이트 (176) 를 포함한다. 이들 쓰루-홀들 (178) 은 하나 이상의 유체 유입구들 (170) 에 유체 연결되고 또한 대면 플레이트 (176) 의 전면 (177) 을 통해 연장하고, 전면 (177) 은 기판 (118) 과 대면하도록 구성된다. 일부 실시 예들에서, 가스 분배 유닛 (110) 은 상단 플레이트로 간주될 수도 있고, 일부 다른 실시 예들에서, 샤워헤드로 간주될 수도 있다.
쓰루-홀들 (178) 은 기판 상으로 균일한 가스 플로우를 전달하기 위해 다양한 방식들로 구성될 수도 있다. 일부 실시 예들에서, 이들 쓰루-홀들은 약 0.04 인치 (1.016 mm) 를 포함하는, 약 0.03 인치 내지 0.05 인치와 같은 모두 동일한 외경을 가질 수도 있다. 이들 대면 플레이트 쓰루-홀들은 또한 대면 플레이트로부터 균일한 플로우를 생성하기 위해 대면 플레이트 전반에 배치될 수도 있다.
도 10은 전면 (177) (기판과 대면하도록 구성된 표면) 및 가시적인 쓰루 홀들 (178) 을 갖는 제 1 예시적인 대면 플레이트 (176) 의 평면도를 도시한다. 알 수 있는 바와 같이, 대면 플레이트 (176) 쓰루 홀들 (178) 은 대면 플레이트 (176) 및 전면 (177) 을 통해 연장한다. 이들 쓰루 홀들은 또한 대면 플레이트의 중심 축을 중심으로 센터링되어, 서로 홀들을 오프셋하는 복수의 원들을 따라 배열된다. 예를 들어, 대면 플레이트 (176) 는 대면 플레이트 (176) 의 중심 축에 센터링되는 쓰루-홀 (178A) 을 가질 수도 있다. 이 중심 쓰루 홀 (178A) 에 바로 인접하여 제 1 직경을 갖는 제 1 원 (179) 을 따라 동일하게 이격되어 배열된 복수의 홀들이 있을 수도 있고; 이 원으로부터 바로 방사상 외향으로 복수의 홀들보다 보다 많은 홀들을 갖는 제 2 복수의 홀들을 갖는 또 다른 원 (181) 일 수도 있고, 이 제 2 복수의 홀들은 이 제 2 원을 따라 동일하게 이격될 수도 있다. 이 동일한 간격은 항상 정확하지 않을 수도 있고 실질적으로 동일한 간격으로 간주될 수도 있고, 이는 간격이 동일에서 약 +/-5 % 이내일 수도 있도록 제작 또는 다른 불일치로 인한 것일 수도 있다. 예시된 바와 같이, 쓰루-홀들 (178) 의 일부 원들은 기준 데이텀 (datum) (183) 상에 센터링될 수도 있는 한편, 쓰루-홀들의 다른 원들은 약 15 °, 7.5 ° 등과 같은 각도만큼 기준 데이텀 (183) 으로부터 오프셋된다. 여기서, 제 1 원 (179) 을 따른 쓰루-홀들은 데이텀 상에 센터링되는 2 개의 쓰루-홀 들인 반면, 제 2 원을 따른 쓰루-홀들은 기준 데이텀 (183) 상에 센터링되지 않고 그리고 데이텀 (183) 으로부터 약 15 °만큼 오프셋된다. 쓰루-홀들의 동심원들은 데이텀 (183) 상에 센터링되고 데이텀 (183) 으로부터 오프셋된 홀들 사이에서 교번할 수도 있다.
도 11은 전면 (177) (기판과 대면하도록 구성된 표면) 및 가시적인 쓰루 홀들 (178) 을 갖는 제 2 예시적인 대면 플레이트 (176) 의 평면도를 도시한다. 알 수 있는 바와 같이, 대면 플레이트 (176) 쓰루 홀들 (178) 은 대면 플레이트 (176) 및 전면 (177) 을 통해 연장한다. 이들 쓰루-홀들은 도 10에서와 상이하게 배열되고, 일 쓰루-홀 (178) 이 대면 플레이트 (176) 의 중심 축에 센터링되고, 쓰루-홀들 (178) 은 섹터 각각에서, 쓰루-홀들이 섹터에서 아크들을 따라 동일하게 이격되도록 6 개의 섹터들에 배열된다. 예를 들어, 일 섹터 (191) 는 대시된 형상으로 포함되고 홀들은 대면 플레이트 (176) 의 중심으로부터 방사상 거리가 증가함에 따라 증가하는 섹터 내 복수의 아크들을 따라 배열된다. 6 개의 쓰루-홀들 (178) 이 동일하게 이격되는 제 1 예시적인 아크 (193A) 가 식별되고, 12 개의 쓰루-홀들이 동일하게 이격되는 제 2 예시적인 아크 (193B) 가 식별된다. 제 2 예시적인 아크 (193B) 는 제 1 예시적인 아크 (193A) 보다 보다 크고 제 1 아크 (193A) 의 방사상 거리 (R1) 보다 보다 큰 방사상 거리 (R2) 를 갖는다.
다시 도 5를 참조하면, 가스 분배 유닛 (110) 은 또한 대면 플레이트 (176) 와 유닛 히터 (180) 사이에서 열이 전달될 수 있도록 대면 플레이트 (176) 에 열적으로 연결되는 유닛 히터 (180) 를 포함할 수도 있다. 유닛 히터 (180) 는 열 전달 유체가 흐를 수 있는 유체 도관들을 포함할 수도 있다. 상기와 유사하게, 열 전달 유체는 예를 들어 약 20 ℃ 내지 120 ℃의 온도 범위로 가열될 수도 있다. 일부 예들에서, 유닛 히터 (180) 는 증기들 및 가스들의 원치 않은 응결을 방지하도록 가스 분배 유닛 (110) 을 가열하도록 사용될 수도 있고; 일부 이러한 예들에서, 이 온도는 적어도 약 90 ℃ 또는 120 ℃일 수도 있다.
일부 실시 예들에서, 가스 분배 유닛 (110) 은 대면 플레이트 (176) 를 가열하도록 구성된 제 2 유닛 히터 (182) 를 포함할 수도 있다. 이 제 2 유닛 히터 (182) 는 하나 이상의 저항성 가열 엘리먼트들, 가열 유체를 흘리기 위한 유체 도관들, 또는 모두를 포함할 수도 있다. 가스 분배 유닛 (110) 내에서 2 개의 히터들 (180 및 182) 을 사용하는 것은 가스 분배 유닛 (110) 내에서 다양한 열 전달들을 인에이블할 수도 있다. 이는 가스 분배 유닛 (110) 의 엘리먼트들 상의 원치 않은 응결을 감소시키거나 방지하기 위해, 상기 기술된 바와 같이, 온도-제어된 챔버를 제공하기 위해 대면 플레이트 (176) 를 가열하도록 제 1 유닛 히터 및/또는 제 2 유닛 히터 (180 및 182) 를 사용하는 것을 포함할 수도 있다.
장치 (100) 는 또한 기판을 냉각하도록 구성될 수도 있다. 이 냉각은 기판 상으로 냉각 가스를 흘리는 것, 기판과 대면 플레이트 사이의 열 전달을 허용하도록 기판을 대면 플레이트에 가깝게 이동시키는 것, 또는 모두를 포함할 수도 있다. 기판을 능동적으로 냉각시키는 것은 보다 정확한 온도 제어 및 온도들 사이의 보다 빠른 전이를 인에이블하고, 이는 프로세싱 시간을 감소시키고 쓰루풋을 개선한다. 일부 실시 예들에서, 유체 도관들을 통해 열 전달 유체를 흘리는 제 1 유닛 히터 (180) 는 기판 (119) 으로부터 전달되는 대면 플레이트 (176) 로부터 열을 전달함으로써 기판 (118) 을 냉각하도록 사용될 수도 있다. 따라서 기판 (118) 은 기판 (118) 의 열이 대면 플레이트 (176) 로 복사 전달되고 제 1 유닛 히터 (180) 의 열 전달 유체에 의해 대면 플레이트 (176) 로부터 이격되어 전달되도록 5 ㎜ 또는 2 ㎜보다 보다 작거나 같은 갭 (186) 에 의해, 대면 플레이트 (176) 에 매우 근접하게 포지셔닝시킴으로써 냉각될 수도 있다. 따라서 대면 플레이트 (176) 는 기판 (118) 을 냉각하기 위한 기판 (118) 을 을 위한 열 싱크로 간주될 수도 있다.
일부 실시 예들에서, 장치 (100) 는 냉각 유체 (가스 또는 액체) 를 포함할 수도 있는 냉각 유체 소스 (173) 및 냉각 유체를 목표된 온도, 예를 들어 적어도 약 90 ℃, 적어도 약 70 ℃, 적어도 약 50 ℃, 적어도 약 20 ℃, 적어도 약 10 ℃, 적어도 약 0 ℃, 적어도 약 -50 ℃, 적어도 약 -100 ℃, 적어도 약 -150 ℃, 적어도 약 -190 ℃, 적어도 약 -200 ℃, 또는 적어도 약 -250 ℃보다 보다 작거나 같은 온도로 냉각하도록 구성된 냉각기 (미도시) 를 더 포함할 수도 있다. 장치 (100) 는 냉각 유체를 하나 이상의 유체 유입구들 (170) 로 전달하기 위한 파이핑 (piping), 및 기판 상으로 냉각 유체를 흘리도록 구성되는 가스 분배 유닛 (110) 을 포함한다. 일부 실시 예들에서, 유체는 챔버 (102) 로 흐를 때 액체 상태일 수도 있고, 예를 들어 챔버 내부 (114) 가 상기 기술된 바와 같이, 예를 들어, 약 0.1 Torr 내지 100 Torr, 또는 약 20 Torr 내지 200 Torr, 또는 약 0.1 Torr 내지 10 Torr와 같이 저압 상태에 있다면, 챔버 내부 (114) 에 도달할 때 증기 상태로 바뀔 수도 있다. 냉각 유체는 질소, 아르곤, 또는 헬륨과 같은 불활성 원소일 수도 있다. 일부 예들에서, 냉각 유체는 수소 가스와 같은 비불활성 원소 또는 혼합물을 포함할 수도 있거나 단지 가질 수도 있다. 일부 실시 예들에서, 챔버 내부 (114) 내로의 냉각 유체의 플로우 레이트는 예를 들어 적어도 약 0.25 리터/분, 적어도 약 0.5 리터/분, 적어도 약 1 리터/분, 적어도 약 5 리터/분일 수도 있고, 적어도 약 10 리터/분, 적어도 약 50 리터/분, 또는 적어도 약 100 리터/분일 수도 있다. 특정한 실시 예들에서, 장치는 적어도 약 5 ℃/초, 적어도 약 10 ℃/초, 적어도 약 15 ℃/초, 적어도 약 20 ℃/초, 적어도 약 30 ℃/초, 또는 적어도 약 40 ℃/초와 같은 하나 이상의 냉각 레이트로 기판을 냉각하도록 구성될 수도 있다.
일부 실시 예들에서, 장치 (100) 는 기판을 대면 플레이트에 가깝게 이동시키고 냉각 가스를 기판 상으로 흘림으로써 기판을 능동적으로 냉각할 수도 있다. 일부 예들에서, 능동 냉각은 기판이 대면 플레이트에 매우 근접하게 있는 동안 냉각 가스를 흘림으로써 보다 효과적일 수도 있다. 냉각 가스의 유효성은 또한 사용된 가스의 타입에 종속될 수도 있다. 도 12는 4 개의 상이한 능동 냉각 실험들의 그래프를 도시한다. 이들 4 개의 실험들에서 기판은 기판과 대면 플레이트 사이에 상이한 가스들 및 갭들을 사용하여 약 400 ℃에서 약 25 ℃로 냉각된다. 제 1 실험에서, 400 ℃ 기판은 대면 플레이트로부터 2 ㎜ 이격되게 기판을 포지셔닝시키고 기판 상으로 헬륨 가스를 흘림으로써 능동적으로 냉각되고 ("He 2 ㎜"), 제 2 실험에서, 400 ℃ 기판은 대면 플레이트로부터 20 ㎜ 이격되게 기판을 포지셔닝시키고 헬륨 가스를 기판 상으로 흘림으로써 능동적으로 냉각되고 ("He 20 ㎜"), 제 3 실험에서, 400 ℃ 기판은 대면 플레이트로부터 2 ㎜ 이격되게 기판을 포지셔닝시키고 기판 상으로 질소 가스를 흘림으로써 능동적으로 냉각되고 ("N2 2 ㎜"), 제 4 실험에서, 400 ℃ 기판은 대면 플레이트로부터 20 ㎜ 이격되게 기판을 포지셔닝시키고 질소 가스를 기판 상으로 흘림으로써 능동적으로 냉각된다 ("N2 20 ㎜"). 알 수 있는 바와 같이, 제 1 실험은 대략 150 초인 가장 빠른 시간에 기판을 냉각하고, 그리고 제 3 실험은 대략 450 초에서 다음으로 가장 빨랐다. 이들 제 1 실험 및 제 3 실험은 냉각 가스 및 2 ㎜의 갭 모두를 사용하는 한편, 보다 느린 제 2 실험 및 제 4 실험은 20 ㎜ 갭을 갖는다.
따라서 본 명세서에 제공된 장치들은 기판을 신속하게 가열하고 냉각할 수 있다. 도 13은 예시적인 온도 제어 시퀀스를 제공한다. 시간 0에서, 기판은 대략 20 또는 25 ℃에 있고, 본 명세서에 제공된 기판 히터의 LED들은 400 ㎚ 내지 800 ㎚의 파장들을 갖는 가시광을 방출하고 기판 온도를 대략 30 초 동안 400 ℃로 상승하게 한다. 이 가열은 대략 9 ㎾의 기판 히터로 공급된 전력에 의해 제공되는 1 ㎾ 내지 2 ㎾의 가열 전력을 사용하여 달성된다. 약 30 초 내지 약 95 초, 기판 히터 (122) 는 대략 2 ㎾의 공급된 전력에 의해 제공된 0.3 내지 약 0.5 ㎾의 가열 전력과 같은 보다 적은 전력을 사용하여 기판을 400 ℃로 홀딩한다. 약 30 내지 60 초 동안, 기판은 기판 상으로 흐른 냉각 가스 (예를 들어, 수소 또는 헬륨) 및 대면 플레이트로의 열 전달 모두를 사용하여 능동적으로 냉각된다. 일단 냉각되면, 기판 히터는 약 100 W의 공급된 전력에 의해 제공된 약 10 내지 30 W의 가열 전력을 사용하여 대략 70 ℃의 온도를 홀딩하도록 기판을 가열한다. 다양한 프로세싱 기법들은 기판을 프로세싱하기 위해 한번 또는 반복적으로 이 타입의 시퀀스를 사용할 수도 있다.
일부 실시 예들에서, 장치 (100) 는 유체 유입구들 (170) 에 도달하기 전에 전달을 위해 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 플레넘을 포함할 수도 있다. 하나 이상의 혼합 플레넘 유입구 밸브들은 혼합 플레넘으로의 프로세스 가스들의 도입을 제어할 수도 있다. 일부 다른 실시 예들에서, 가스 분배 유닛 (110) 은 가스 분배 유닛 (110) 내에 하나 이상의 혼합 플레넘들을 포함할 수도 있다. 가스 분배 유닛 (110) 은 또한 기판 상으로 균일한 플로우를 제공하기 위해 수용된 유체를 쓰루-홀들 (178) 로 동일하게 분배할 수도 있는 쓰루-홀들 (178) 에 유체로 연결된 하나 이상의 환형 플로우 경로들을 포함할 수도 있다.
장치 (100) 는 또한 기판의 온도를 검출하기 위한 하나 이상의 부가적인 비콘택트 센서들을 포함할 수도 있다. 이러한 센서 중 하나는 실리콘 기판의 수많은 온도 범위들을 검출할 수 있는 새로운 고온계일 수도 있다. 상이한 온도 범위들, 예컨대 약 200 ℃ 이하, 약 200 ℃ 초과 그리고 약 600 ℃ 미만, 또는 600 ℃ 이상과 같이, 프로세싱 동작들이 일어날 수도 있는 상이한 온도들 범위들에서, 실리콘이 도핑되거나 도핑되지 않은, 상이한 처리들을 갖는 기판의 온도를 검출하는 것이 바람직하다. 그러나, 종래 고온계들은 이들 범위들 내에서 상이한 기판들을 검출할 수 없다. 종래 고온계들은 일부 캘리브레이션에 따라 오브젝트의 온도를 결정하기 위해 오브젝트의 표면에 의해 반사되거나 방출된 광 신호들을 측정한다. 그러나, 많은 실리콘 웨이퍼들은 실리콘이 다양한 온도들에서 그리고 다양한 처리들로 광학적으로 투과성이기 때문에 이들 고온계들에 의해 측정될 수 없다. 상기 논의된 바와 같이, 도 17은 다양한 온도들에서 기판들에 대해 상이한 흡수 레이트들을 도시한다. 예를 들어, 종래 고온계들은 약 8 내지 15 ㎛ 범위의 방출을 검출할 수 있지만, 적어도 약 200 ℃ 이하의 대부분의 실리콘 기판들은 약 8 내지 15 ㎛ 범위의 일관된 방출 신호를 갖지 않으며, 약 200 ℃ 이하일 때 종래 고온계에 의해 검출될 수 없다.
약하게 도핑되거나 도핑되지 않은 실리콘 기판들은 기판들이 약 300 ℃ 이하일 때 대략 0.95 내지 1.1 ㎛의 방출 신호를 갖고, 도핑된 실리콘 기판들은 기판들이 약 200 ℃ 이하일 때 약 1 내지 4 ㎛의 방출 신호를 갖고, 실리콘 기판들은 예를 들어 20 ℃를 포함하는 약 100 ℃ 이하와 같이 상온에서 대략 1 ㎛의 방출 신호를 갖고, 실리콘 기판들은 약 600 ℃ 이상의 온도들에서 약 8 내지 15 약 8 ㎛의 방출 신호를 갖는다. 따라서, 새로운 고온계는 다양한 온도 범위들에서, 예를 들어, 도핑되거나, 저 도핑되거나, 도핑되지 않은, 복수의 기판들을 검출하기 위해 복수의 방출 범위들을 검출하도록 구성된다. 이는 약 0.95 ㎛ 내지 약 1.1 ㎛, 약 1 ㎛, 약 1 내지 약 4 ㎛, 및/또는 약 8 내지 15 ㎛의 방출 범위들을 검출하기 위한 구성을 포함한다. 새로운 고온계는 또한 챔버의 열적 노이즈로부터 신호를 구별하기 위해 보다 짧은 파장의 기판의 온도를 검출하도록 구성된다.
새로운 고온계는 적외선 신호들을 방출하도록 구성된 방출기 (emitter) 및 방출을 수신하도록 구성된 검출기를 포함할 수도 있다. 도 5를 참조하면, 장치는 고온계 (188) 내에 방출기를 갖는 새로운 고온계 (188) 및 검출기 (190) 를 포함한다. 새로운 고온계는 상단 또는 하단의 기판의 일 측면 상에서 신호들을 방출하도록 구성될 수도 있고, 기판의 다른 측면 상에서 신호들을 수신하도록 구성될 수도 있다. 예를 들어, 방출기는 기판의 상단부 상에서 신호들을 방출할 수도 있고 검출기는 기판 아래에 있고 기판을 통해 그리고 기판 아래에서 방출된 신호들을 수신한다. 따라서 장치는 가스 분배 유닛 (110) 의 중심을 통한 포트 (192A) 와 같은 챔버 (102) 의 상단 상에 적어도 제 1 포트 (192A), 및 페데스탈 (104) 및 기판 히터 (122) 를 관통하는 제 2 포트 (192B) 를 가질 수도 있다. 고온계 (188) 내의 방출기는 도 5에 도시된 바와 같이 제 1 포트 (192A) 와 같은 광섬유 연결부를 통해 포트들 (192A 또는 192B) 중 하나에 연결될 수도 있고, 검출기는 도 5의 제 2 포트 (192B) 와 같은 다른 포트에 광학적으로 연결된다. 제 1 포트 (192A) 는 챔버 내부 (114) 내의 화학 물질들로부터 제 1 포트 (192A) 를 시일링하기 위한 포트 윈도우 (194) 를 포함할 수도 있다. 제 2 포트 (192B) 는 도 5에서 방출기의 방출들이 기판을 통해, 윈도우 (150) 를 통해, 제 2 포트 (192B) 내로 그리고 제 2 포트에 포지셔닝되고 또는 또 다른 광섬유 연결부 (미도시) 를 통해 제 2 포트에 광학적으로 연결될 수도 있는 검출기 (190) 로 통과할 수 있도록 페데스탈 (104) 및 기판 히터를 통해 연장하는 것이 도시된다. 일부 다른 실시 예들에서, 방출기 및 검출기는 방출기가 제 2 포트 (192B) 를 통해 방출하고 검출기가 제 1 포트 (192A) 를 통해 검출하도록 플리핑된다 (flipped).
장치 (100) 는 또한 LED들에 의해 방출된 가시광의 하나 이상의 메트릭들을 검출하기 위해 하나 이상의 광학 센서들 (198) 을 포함할 수도 있다. 일부 실시 예들에서, 이들 광학 센서들은 기판 히터의 LED들에 의해 방출된 가시 광의 광 강도 및/또는 열 복사선을 검출하도록 구성된 하나 이상의 광 검출기일 수도 있다. 도 5에서, 단일 광학 센서 (198) 는 광학 센서 (198) 가 기판 히터 (122) 에 의해 방출된 광을 검출할 수 있도록 광섬유 연결부를 통해 챔버 내부 (114) 에 연결된 것으로 도시된다. 광학 센서 (198) 및 부가적인 광학 센서들은 챔버 (102) 내의 다양한 위치들에서 방출된 광을 검출하기 위해, 예를 들어, 챔버 (102) 의 상단부 및 측면들의 다양한 위치들에 포지셔닝될 수 있다. 이하에 논의된 바와 같이, 이는 LED들의 하나 이상의 독립적으로 제어 가능한 존들의 조정과 같은 기판 히터의 측정 및 조정을 인에이블할 수도 있다. 일부 실시 예들에서, 챔버 (102) 전체에 걸쳐 LED들의 다양한 영역들을 측정하기 위해 원 (circle) 또는 복수의 동심원들을 따라 배열된 복수의 광학 센서들 (198) 이 있을 수도 있다. 일부 실시 예들에서, 광학 센서들은 챔버 내부 (114) 내에 포지셔닝될 수도 있다.
일부 실시 예들에서, 장치는 다양한 실시 예들에서 플라즈마를 생성하고 일부 프로세싱을 위해 플라즈마를 사용하도록 더 구성될 수도 있다. 이는 CCP (capacitively coupled plasma), ICP (inductively coupled plasma), 상부 리모트 플라즈마, 및 하부 리모트 플라즈마와 같은, 챔버 내부에 내에서 플라즈마를 생성하도록 구성된 플라즈마 소스를 갖는 것을 포함할 수도 있다.
본 명세서에 기술된 장치들은 ALE 에칭 동작들로 제한되지 않는다. 이들 장치들은 임의의 에칭 기법과 함께 사용될 수도 있다.
열적 프로세싱을 위한 기법들
이 섹션은 다양한 실시 예들에 적용될 수도 있는 열적 프로세싱 방법들에 관한 부가적인 상세들을 제시한다. 일부 구현 예들에서, 상기 기술된 화학 물질은 이 섹션에 기술된 방법들을 수행하는 맥락에서 사용된다. 일부 실시 예들에, 도 1 내지 도 4와 관련하여 기술된 방법들은 이 섹션에 제공된 상세들 중 일부 또는 전부에 따라 실시될 수도 있다.
도 14는 개시된 실시 예들에 따른 열적 프로세싱을 위한 제 1 기법을 도시한다. 동작 (1001) 에서, 기판이 챔버에 제공되고 페데스탈의 기판 지지부들 상에 기판을 포지셔닝시킴으로써 챔버 내에서 열적으로 플로팅되고; 상기 기술된 바와 같이, 기판 지지부만이 기판과 콘택트하고; 이는 프로세싱 챔버의 다른 엘리먼트들과 콘택트하지 않는다. 기판 지지부 각각은 본 명세서에 제공되고 예를 들어 도 5 및 도 8에 도시된 바와 같이 기판의 에지 영역과 콘택트한다.
동작 (1003) 에서, 기판은, 기판이 챔버 내에서 열적으로 플로팅되는 동안, 즉, 기판 지지부들에 의해서만 지지되는 동안 기판이 복수의 LED들로부터 400 ㎚ 내지 800 ㎚ 파장을 갖는 가시광을 방출하는 본 명세서에 기술된 기판 히터를 사용하여 제 1 온도로 가열된다. 제 1 온도는 예를 들어, 약 50 ℃ 내지 약 600 ℃를 포함하여, 약 20 ℃ 내지 약 500 ℃를 포함하여, 약 100 ℃ 내지 약 500 ℃를 포함하여, 약 20 ℃ 내지 약 200 ℃, 약 50 ℃ 내지 약 150 ℃, 약 130 ℃를 포함하여, 또는 약 150 ℃ 내지 약 350 ℃의 본 명세서에 제공된 임의의 온도 일 수도 있다. 기판은 예를 들어, 약 60 초 미만, 약 45 초 미만, 약 30 초 미만, 또는 약 15 초 미만과 같은 제 1 온도로 신속하게 가열될 수도 있다. 이는 함께 적어도 약 1 ㎾, 적어도 약 2 ㎾, 적어도 약 3 ㎾, 적어도 약 4 ㎾, 또는 적어도 약 9 ㎾ 이상의 전달된 전력일 수도 있는 최대 전력으로 LED들에 전력을 공급하는 것을 포함할 수도 있다. 본 명세서에 제공된 바와 같이, 이 가열은 플라즈마 또는 플라즈마 생성을 포함하지 않는다.
동작 (1005) 에서, 기판은 제 1 온도로 유지된다. 이는 기판을 특정한 온도로 유지하기 위해 보다 낮은 전력으로 동작하는 기판 히터를 포함할 수도 있다. 따라서, LED들은 일부 가열을 제공하고 기판을 목표된 온도로 유지하도록 온도 램프 동안보다 보다 낮은 0이 아닌 전력 레벨일 수도 있다. 예들은 적어도 약 10 W, 적어도 약 30 W, 적어도 약 0.3 ㎾, 또는 적어도 약 0.5 ㎾를 포함하는 약 5 W 내지 약 0.5 ㎾를 포함할 수도 있다.
동작 (1007) 에서, 기판은 제 1 온도에 있는 동안 에칭된다. 이 에칭은 재료의 하나 이상의 개질된 층들을 제거하기 위해 하나 이상의 가스들을 흘리는 것을 포함할 수도 있다. 이 에칭은 또한 플라즈마 또는 플라즈마 생성을 포함하지 않는다. 본 명세서의 다양한 실시 예들에서, 에칭 화학 물질은 상기 기술된 종, 예를 들어 HF, 유기 용매 및/또는 물, 첨가제, 및 캐리어 가스를 포함한다.
일부 실시 예들에서 선택 가능할 (optional) 수도 있는 동작 (1009) 에서, 기판은 능동적으로 냉각된다. 이 능동 냉각은 본 명세서에 기술된 바와 같이 기판 상으로 가스를 흘리는 것, 대면 플레이트에 매우 근접하게 기판을 이동시키는 것, 또는 모두를 포함할 수도 있다. 일부 예들에서, 이 근접성은 2 ㎜를 포함하는 5 ㎜ 이하이다. 냉각 가스는 또한 예를 들어, 헬륨 및 질소를 포함할 수도 있다. 동작 (1009) 에 이어서, 일부 예들에서, 동작들 (1003 내지 1009) 이 반복될 수도 있고, 시퀀스 각각은 사이클로 간주된다.
일부 실시 예들에서, 동작들 (1003, 1005, 및 1007) 은 또한 챔버 벽들, 대면 플레이트, 및/또는 페데스탈의 외부 표면들이 상기 기술된 바와 같이 능동적으로 가열되는 동안 수행될 수도 있다. 이들 아이템들은 적어도 약 90 ℃ 또는 적어도 약 120 ℃를 포함하여, 약 80 ℃ 내지 약 130 ℃로 가열될 수도 있다. 동작들 (1003, 1005, 1007, 및 1009) 은 또한 챔버 내부가 약 0.1 Torr 내지 약 10 Torr, 또는 약 0.2 Torr 내지 약 10 Torr의 압력일 수도 있는 진공에 있는 동안 수행될 수도 있다.
본 명세서에 제공된 기법들은 프로세싱 조건들에 대한 다양한 조정들을 할 수도 있다. 일부 실시 예들에서, 이들 조정들은 기판의 온도 및 LED들의 측정들과 같은 다양한 수신된 측정 값들에 기초할 수도 있다. 일부 다른 실시 예들에서, 이들 조정들은 경험적 또는 계산된 데이터에 기초하여 개방 루프 방식으로 수행될 수도 있다. 일부 실시 예들에서, 기법들은 예를 들어 도 13 및 도 14에서와 유사한 시퀀스를 따를 수도 있다. 일부 다른 실시 예들에서, 시퀀스는 제 1 온도에서 기판을 사용하여 일 에칭 사이클의 일부 또는 에칭을 수행할 수도 있고, 이어서, 또 다른 에칭 사이클 또는 동일한 에칭 사이클의 또 다른 사이클이 수행되는 보다 높은, 제 2 온도로의 온도 상승이 따른다. 그 후, 기판은 능동적으로 냉각될 수도 있고, 에칭은 동일한 기판 또는 새로운 기판 상에서 반복될 수도 있다.
도 15는 개시된 실시 예들에 따른 제 2 기법을 도시한다. 여기서, 동작 (1101) 내지 동작 (1107) 은 동작 (1001) 내지 동작 (1007) 과 동일하다. 동작 (1007) 의 에칭 후, 히터 전력은 동작 (1115) 에서 제공된 바와 같이 기판을 제 2, 보다 높은 온도로 가열하기 위해 동작 (1005) 의 유지 동안 사용된 전력과 상이한 전력으로 동작 (1113) 에서 조정된다. 기판의 온도는 동작들 (1117 및 1119) 에 의해 나타낸 바와 같이 기판의 또 다른 에칭 또는 개질 동안 이 제 2 온도로 유지될 수도 있다. 본 명세서의 다양한 실시 예들에서, 동작 (1119) 에 대한 에칭 화학 물질은 상기 기술된 종, 예를 들어 HF, 유기 용매 및/또는 물, 첨가제, 및 캐리어 가스를 포함한다. 일부 경우들에서, 기판은 예를 들어 도 2의 동작 (205) 과 관련하여 기술된 바와 같이, 제 2 온도에서 개질될 수도 있다. 이러한 경우들에서, 동작 (1107) 에 사용된 화학 물질은 목표된 방식으로 기판의 표면 상의 재료를 개질하도록 선택될 수도 있다. 이들 동작들에 이어, 기판은 동작 (1109) 에서 능동적으로 냉각될 수도 있다. 일부 예들에서, 에칭 동작들 (1103 내지 1109) 은 동일한 기판 상에서, 또는 상이한 기판 상에서 반복될 수도 있다. 제 1 온도가 제 2 온도보다 보다 낮은 것으로 기술되지만, 이 특징은 제한되지 않는다. 일부 실시 예들에서, 예를 들어, 도 2 및 도 4와 관련하여 기술된 바와 같이, (기판 표면 상의 재료의 개질을 구동하도록 사용될 수도 있는) 제 1 온도는 (기판 표면 상의 개질된 재료의 에칭을 구동하도록 사용될 수도 있는) 제 2 온도보다 보다 높을 수도 있다.
일부 실시 예들에서, 가열 동작 및 유지 동작은 페데스탈의 윈도우와 같은, 장치의 경험적으로 도출된 온도 드리프트 (drift) 와 같은 경험적 데이터 및 측정된 데이터에 기초할 수도 있다. 상기 언급된 바와 같이, 윈도우는 프로세싱 내내 열을 보유할 수도 있고 기판에 대한 독립적인 히터로서 작용할 수도 있다. (1005, 1105, 1007, 및 1107) 과 같은, 유지 동작 및 에칭 동작 동안 기판 히터의 LED들에 전달된 전체 전력을 감소시키는 것과 같이, 이 드리프트를 해결하기 위해 기판 히터에 대한 조정들이 이루어질 수도 있다. 이들 조정들은 선형 또는 비선형, 예컨대 계단형 또는 곡선형일 수도 있다. 이는 또한 하나 이상의 독립적으로 제어된 존들과 같은 일부 LED들에 대한 조정들을 포함할 수도 있다. 예를 들어, 윈도우의 중심은 열이 제거될 수 없기 때문에 시간이 흐름에 따라 가장 많은 열을 생성할 수도 있는 반면, 이 열의 일부가 페데스탈로 전달되기 때문에 윈도우의 에지들이 가장 적은 열을 생성한다. 따라서, 균일한 가열을 유지하기 위해, 기판 히터의 중심에 있는 LED들의 하나 이상의 독립적으로 제어 가능한 존들은 윈도우의 중심에서 상승된 열을 해결하도록 하강될 수도 있다. 이는 윈도우 및 기판 히터 모두에 의해 생성된 열과 함께, 중심 영역의 기판으로 전달된 동일한 열을 발생시킬 수도 있다. 유사하게, 기판 히터의 외측 영역 내의 LED들의 하나 이상의 독립적으로 제어 가능한 존들은 윈도우의 외부 에지에 의해 유발된 임의의 부가적인 가열을 해결하기 위해 하강되거나 동일하게 유지될 수도 있다.
상기 언급된 바와 같이 일부 실시 예들에서, LED 각각은 개별적으로 제어 가능할 수도 있고, 일부 이러한 실시 예들에서, 단일 LED는 하나 이상의 다른 LED들보다 보다 많거나 보다 적은 광을 방출하도록 조정될 수도 있다. 이 조정은 기판 상의 핫 스팟 또는 콜드 스팟을 해결하도록 이루어질 수도 있다. 예를 들어, 웨이퍼 상의 스폿은 기판의 다른 부분들보다 보다 고온 또는 보다 저온을 가질 수도 있고, 기판 상의 스폿 밑 또는 해당 스폿에 매우 근접한 일 LED는 해당 스폿에서 온도를 조정하도록 조정될 수도 있다. 이는 해당 스폿에서 온도를 감소시키기 위해 일 LED에 의해 방출된 광을 감소시키는 것 또는 해당 스폿에서 온도를 상승시키기 위해 일 LED에 의해 방출된 광을 증가시키는 것을 포함할 수도 있다.
본 명세서에 제공된 기법들은 또한 LED들의 하나 이상의 존들의 전력과 같은 동작 파라미터들을 조정하기 위한 피드백 제어 루프들을 포함할 수도 있다. 이들 피드백 루프들은 본 명세서에 기술된 가열 동작, 유지 동작, 및 에칭 동작 동안 구현될 수도 있다. 이는 에지에서 그리고 기판 내부 상의 하나 이상의 위치들에서 온도들을 결정하고 이들 측정 값들에 기초하여 기판 히터를 조정하기 위해 본 명세서에 기술된 센서들 중 하나 이상을 사용하는 것을 포함할 수도 있다.
도 16은 개시된 실시 예들에 따른 제 3 기법을 도시한다. 여기서, 동작들 (1201 내지1211) 은 여기서 기법이 이들 동작들 중 하나 이상 동안 기판 온도를 측정하고 이들 측정 값들에 기초하여 기판 히터를 조정하는 것을 제외하고 동작들 (1001 내지 1011) 과 동일하다. 온도 측정들은 동작 (1221) 으로 나타내고 조정(들)은 동작 (1223) 으로 나타낸다. 기판 히터에 대한 조정들은 모든 LED들을 포함하는 LED들의 독립적으로 제어 가능한 존들 중 하나 이상에 대한 전력을 증가시키거나 감소시키는 것을 포함할 수도 있다. 예를 들어, 기판 지지부들 내의 온도 센서들은, 도 9에 대해 상기 기술된 바와 같이, 기판 에지가 동작들 (1203, 1205, 및 1207) 중 하나 이상 동안 제 1 온도에 도달했거나 그 이상임을 나타낼 수도 있고, 그리고 모든 LED들로 전달된 전력은 기판의 온도를 하강시키기 위해 감소될 수도 있다. 이는 센서들 중 적어도 하나가 기판의 온도가 특정한 문턱 값 이상, 예컨대 제 1 온도 이상임을 나타낸다는 결정을 나타낼 수도 있다. 또 다른 예에서, 기판 지지부들 중 하나만이 기판 온도가 제 1 온도보다 보다 높다는 것을 나타낼 수도 있고, 전체 기판과 반대되는 위치에서 전달된 열을 감소시키도록 이 하나의 센서 주변의 독립적으로 제어 가능한 LED 존들에 대한 조정들이 이루어질 수도 있다.
유사하게, 상기 기술된 고온계는 또한 기판의 중심과 같은 기판 상의 위치에서 기판의 온도를 검출할 수도 있다. 이 온도 측정 값은 또한 기판 히터를 조정하기 위해, 단독으로 또는 기판 지지부들 내의 온도 센서들과 조합하여 사용될 수도 있다. 예를 들어, 고온계는 기판 중심이 제 1 온도보다 보다 높다는 것을 나타낼 수도 있고, 이 위치에서 기판의 온도를 감소시키기 위해 기판의 중심 주변에 독립적으로 제어 가능한 LED 존들, 또는 전체 기판에 대한 조정들이 이루어질 수도 있다. 이들 예들은 LED들의 전력 감소에 대해 이루어지지만, 조정들은 이러한 예들로 제한되지 않고; 하나 이상의 독립적으로 제어 가능한 LED 존들의 전력은 기판 상의 하나 이상의 위치들에서 온도를 상승시키도록 조정될 수도 있다.
또 다른 기법은 LED들에 의해 방출된 광을 측정할 수도 있고 해당 측정에 기초하여 하나 이상의 독립적으로 제어 가능한 LED 존들을 조정할 수도 있다. 이는 LED들로부터 400 ㎚ 내지 800 ㎚의 파장을 갖는 가시광을 방출하는 것, 및 복수의 LED들로부터 방출된 가시광을 검출하도록 구성된 하나 이상의 센서들을 사용하여 LED들에 의해 방출된 가시광의 하나 이상의 메트릭들을 측정하는 것을 포함할 수도 있다. 이들 센서들은 상기 기술된 광 검출기를 포함할 수도 있다. 이 측정된 가시광에 기초하여, 하나 이상의 LED 존들의 전력이 조정될 수도 있다.
일부 실시 예들에서, 본 명세서에 기술된 장치들은 본 명세서에 기술된 기법들을 수행하기 위해 장치의 다양한 양태들을 제어하도록 구성된 제어기를 포함할 수도 있다. 예를 들어, 다시 도 5를 참조하면, 장치 (100) 는 프로세싱 챔버와 통신 가능하게 연결되고 프로세싱 챔버의 동작들 중 일부 또는 전부를 제어하는 제어기 (131) (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있음) 를 포함한다. 시스템 제어기 (131) 는 하나 이상의 메모리 디바이스들 (133) 및 하나 이상의 프로세서들 (135) 을 포함할 수도 있다. 일부 실시 예들에서, 장치는 예를 들어, 개시된 실시 예들이 수행될 때, 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템, 기판 가열 유닛, 기판 냉각 유닛, 챔버 내 기판의 로딩 및 언로딩, 기판의 열적 플로팅, 및 프로세스 가스 유닛을 포함한다. 일부 실시 예들에서, 장치는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 제어기 (131) 는 상기 기술된 예들의 일부일 수도 있는, 장치 또는 시스템의 일부이다. 이러한 시스템들 또는 장치들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (가스 플로우 시스템, 기판 가열 유닛, 기판 냉각 유닛, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 제어기 (131) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기 (131) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달된 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 동작들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (131) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 검토하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들 (metrics) 을 검토하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱을 따르는 프로세싱 동작들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (131) 는 하나 이상의 동작들 동안 수행될 프로세싱 동작들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기 (131) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
상술한 바와 같이, 장치에 의해서 수행될 프로세스 동작 또는 동작들에 따라서, 제어기 (131) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들 (load ports) 로 및 툴 위치들 및/또는 로드 포트들로부터 웨이퍼들의 컨테이너들을 가져오는 재료 이송 시에 사용되는, 다른 장치 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
또한 상기 언급된 바와 같이, 제어기는 상기 기술된 임의의 기법을 수행하도록 구성된다. 이는, 기판 이송 로봇으로 하여금 챔버 내의 복수의 기판 지지부들 상에 기판을 포지셔닝시키게 하는 것, 기판을 예컨대 100 ℃ 내지 600 ℃와 같은 제 1 온도로 가열하기 위해, LED들이 400 ㎚ 내지 800 ㎚의 파장들을 갖는 가시광을 방출하도록, 전력이 LED들에 전달되게 하는 것 및 에천트 가스들로 하여금 챔버 내로 흐르고 기판을 에칭하게 하는 것을 포함할 수도 있다. 이는 또한 기판이 복수의 기판 지지부들에 의해서만 지지되는 동안, 기판 상으로 냉각 가스를 흘림으로써 기판을 냉각하는 것, 및/또는 기판이 제 1 넌제로 (nonzero) 거리 만큼 가스 분배 유닛의 대면 플레이트로부터 오프셋되도록 페데스탈을 수직으로 이동시키는 것, 그리고 따라서 열로 하여금 비콘택트 복사를 통해 기판으로부터 대면 플레이트로 전달되게 하는 것을 포함할 수도 있다. 이는 또한 본 명세서에 기술된 바와 같이, 반응 챔버로 전달된 화학 물질을 제어하는 것을 포함할 수도 있다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (57)

  1. 기판을 에칭하는 방법에 있어서,
    a. 반응 챔버 내에 기판을 제공하는 단계로서, 상기 기판은 에칭 동안 상기 기판으로부터 부분적으로 또는 전체적으로 제거될 타깃 재료를 포함하는, 상기 기판 제공 단계;
    b. 상기 반응 챔버의 압력이 약 0.2 내지 10 Torr인 동안 상기 반응 챔버 내에 가스 혼합물을 제공하고 상기 기판을 상기 가스 혼합물에 노출시키는 단계로서, 상기 가스 혼합물은 증기상 (vapor phase) 이고,
    i. 할로겐 소스,
    ii. 유기 용매 및/또는 물,
    iii. 첨가제, 및
    iv. 캐리어 가스를 포함하는, 상기 가스 혼합물 제공 및 노출 단계; 및
    c. 상기 기판으로부터 상기 타깃 재료를 부분적으로 또는 전체적으로 에칭하는 반응을 구동하기 위해 상기 반응 챔버로 열 에너지를 제공하는 단계로서, 상기 기판은 에칭 동안 플라즈마에 노출되지 않는, 상기 열 에너지 제공 단계를 포함하는, 기판 에칭 방법.
  2. 제 1 항에 있어서,
    상기 단계 (b) 전에, 상기 반응 챔버 내에 제 2 가스 혼합물을 제공하고 상기 기판을 열 에너지 및 상기 제 2 가스 혼합물에 노출하는 단계를 더 포함하고, 상기 열 에너지는 개질된 타깃 재료를 형성하도록 상기 제 2 가스 혼합물과 상기 타깃 재료 사이의 제 2 반응을 구동하고, 그리고 상기 단계 (c) 의 상기 반응은 상기 개질된 타깃 재료를 에칭함으로써 상기 타깃 재료를 부분적으로 또는 전체적으로 에칭하는, 기판 에칭 방법.
  3. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 알코올을 포함하는, 기판 에칭 방법.
  4. 제 3 항에 있어서,
    상기 알코올은 메탄올, 에탄올, 1-프로판올, 2-프로판올, 1-부탄올, 2-부탄올, t-부탄올, 1-펜탄올, 1-헥산올, 1-헵탄올, 1-옥탄올, 1-노난올, 1-데칸올, 및 이들의 조합들로 구성된 그룹으로부터 선택된 알코올을 포함하는, 기판 에칭 방법.
  5. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 실험실 용매 (laboratory solvent) 를 포함하는, 기판 에칭 방법.
  6. 제 5 항에 있어서,
    상기 실험실 용매는 아세토니트릴, 디클로로메탄, 탄소 테트라클로라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판 에칭 방법.
  7. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 케톤을 포함하는, 기판 에칭 방법.
  8. 제 7 항에 있어서,
    상기 케톤은 아세톤, 아세토페논, 및 이들의 조합들로 구성된 그룹으로부터 선택된 케톤을 포함하는, 기판 에칭 방법.
  9. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 물을 포함하는, 기판 에칭 방법.
  10. 제 9 항에 있어서,
    상기 유기 용매 및/또는 물은 어떠한 유기 용매도 포함하지 않는, 기판 에칭 방법.
  11. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 알칸을 포함하는, 기판 에칭 방법.
  12. 제 11 항에 있어서,
    상기 알칸은 펜탄, 헥산, 옥탄, 사이클로펜탄, 사이클로헥산, 및 이들의 조합들로 구성된 그룹으로부터 선택된 알칸을 포함하는, 기판 에칭 방법.
  13. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 방향족 용매를 포함하는, 기판 에칭 방법.
  14. 제 13 항에 있어서,
    상기 방향족 용매는 톨루엔 및 벤젠으로 구성된 그룹으로부터 선택된 방향족 용매인, 기판 에칭 방법.
  15. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 에테르를 포함하는, 기판 에칭 방법.
  16. 제 15 항에 있어서,
    상기 에테르는 테트라하이드로푸란을 포함하는, 기판 에칭 방법.
  17. 제 1 항에 있어서,
    상기 유기 용매 및/또는 물은 니트릴을 포함하는, 기판 에칭 방법.
  18. 제 17 항에 있어서,
    상기 니트릴은 아세토니트릴을 포함하는, 기판 에칭 방법.
  19. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 캐리어 가스는, N2, He, Ne, Ar, Kr 및 Xe로 구성된 그룹으로부터 선택된 가스를 포함하는, 기판 에칭 방법.
  20. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 헤테로사이클을 포함하는, 기판 에칭 방법.
  21. 제 20 항에 있어서,
    상기 헤테로사이클은 헤테로사이클릭 방향족 화합물인, 기판 에칭 방법.
  22. 제 21 항에 있어서,
    상기 헤테로사이클릭 방향족 화합물은 피콜린, 피리딘, 피롤, 이미다졸, 티오펜, N-메틸이미다졸, N-메틸피롤리돈, 벤즈이미다졸, 2,2-비피리딘, 디피콜로닉 산, 2,6-루티딘, 4-N,N-디메틸아미노피리딘, 아줄렌, 및 이들의 조합들로 구성된 그룹으로부터 선택된 헤테로사이클릭 방향족 화합물을 포함하는, 기판 에칭 방법.
  23. 제 21 항에 있어서,
    상기 헤테로사이클은 할로겐-치환된 방향족 화합물인, 기판 에칭 방법.
  24. 제 23 항에 있어서,
    상기 할로겐-치환된 방향족 화합물은, 4-브로모피리딘, 클로로벤젠, 4-클로로톨루엔 및 플루오로벤젠으로 구성된 그룹으로부터 선택된 할로겐-치환된 방향족 화합물을 포함하는, 기판 에칭 방법.
  25. 제 20 항에 있어서,
    상기 헤테로사이클은 헤테로사이클릭 지방족 화합물인, 기판 에칭 방법.
  26. 제 25 항에 있어서,
    상기 헤테로사이클릭 지방족 화합물은 피롤리딘인, 기판 에칭 방법.
  27. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 아민을 포함하는, 기판 에칭 방법.
  28. 제 27 항에 있어서,
    상기 아민은 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 이소프로필아민, 1,2-에틸렌 디아민, 아닐린, 아닐린 유도체, N-에틸디이소프로필아민, tert-부틸아민, 구아니딘, 및 이들의 조합로 구성된 그룹으로부터 선택된 아민을 포함하는, 기판 에칭 방법.
  29. 제 27 항에 있어서,
    상기 아민은 플루오로아민 (fluoroamine) 을 포함하는, 기판 에칭 방법.
  30. 제 29 항에 있어서,
    상기 플루오로아민은 4-트리플루오로메틸아닐린인, 기판 에칭 방법.
  31. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 아미노산을 포함하는, 기판 에칭 방법.
  32. 제 31 항에 있어서,
    상기 아미노산은 히스티딘 및 알라닌으로 구성된 그룹으로부터 선택된 아미노산을 포함하는, 기판 에칭 방법.
  33. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 유기 인 화합물 (organophosphorus compound) 을 포함하는, 기판 에칭 방법.
  34. 제 33 항에 있어서,
    상기 유기 인 화합물은 포스파젠 (phosphazene) 을 포함하는, 기판 에칭 방법.
  35. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 산화제를 포함하는, 기판 에칭 방법.
  36. 제 35 항에 있어서,
    상기 산화제는 과산화수소, 소듐 하이포클로라이트 (sodium hypochlorite), 테트라메틸 암모늄 하이드록사이드 (tetramethyl ammonium hydroxide), 및 이들의 조합들로 구성된 그룹으로부터 선택된 산화제를 포함하는, 기판 에칭 방법.
  37. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 바이플루오라이드 (bifluoride) 소스를 포함하는, 기판 에칭 방법.
  38. 제 37 항에 있어서,
    상기 바이플루오라이드 소스는 암모늄 플루오라이드, 수소 플루오라이드, 완충된 (buffered) 옥사이드 에칭 혼합물, 수소 플루오라이드 피리딘, 및 이들의 조합들로 구성된 그룹으로부터 선택된 바이플루오라이드 소스를 포함하는, 기판 에칭 방법.
  39. 제 38 항에 있어서,
    상기 바이플루오라이드 소스는 상기 반응 챔버로의 전달 전 또는 후에 HF2 - 를 형성하도록 반응하는, 기판 에칭 방법.
  40. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 알데하이드를 포함하는, 기판 에칭 방법.
  41. 제 40 항에 있어서,
    상기 알데하이드는 아크롤레인 (acrolein), 아세트알데하이드, 포름알데하이드, 벤즈알데하이드, 프로피온알데하이드, 부틸알데하이드, 신남알데하이드, 바닐린, 및 톨루알데하이드로 구성된 그룹으로부터 선택된 알데하이드를 포함하는, 기판 에칭 방법.
  42. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 카르벤을 포함하는, 기판 에칭 방법.
  43. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 유기산을 포함하는, 기판 에칭 방법.
  44. 제 43 항에 있어서,
    상기 유기산은 포름산, 아세트산, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판 에칭 방법.
  45. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 할로겐 소스는 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 불소 (F2), 염소 (Cl2), 브롬 (Br2), 염소 트리플루오라이드 (ClF3), 질소 트리플루오라이드 (NF3), 질소 트리클로라이드 (NCl3), 및 질소 트리브로마이드 (NBr3) 로 구성된 그룹으로부터 선택되는, 기판 에칭 방법.
  46. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 할로겐 소스는 유기 할라이드 (organohalide) 인, 기판 에칭 방법.
  47. 제 46 항에 있어서,
    상기 유기 할라이드는 플루오로포름 (CHF3), 클로로포름 (CHCl3), 브로모포름 (CHBr3), 탄소 테트라플루오라이드 (CF4), 탄소 테트라클로라이드 (CCl4), 탄소 테트라브로마이드 (CBr4), 퍼플루오로부텐 (C4F8) 및 퍼클로로부텐 (C4Cl8) 으로 구성된 그룹으로부터 선택되는, 기판 에칭 방법.
  48. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 할로겐 소스는 실리콘 할라이드인, 기판 에칭 방법.
  49. 제 48 항에 있어서,
    상기 실리콘 할라이드는 실리콘 테트라플루오라이드 (SiF4), 실리콘 테트라클로라이드 (SiCl4), 실리콘 테트라브로마이드 (SiBr4), 및 SiX6-함유 화합물들로 구성된 그룹으로부터 선택되고, 여기서 X는 할로겐인, 기판 에칭 방법.
  50. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 할로겐 소스는 금속 할라이드인, 기판 에칭 방법.
  51. 제 50 항에 있어서,
    상기 금속 할라이드는 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 헥사클로라이드 (MoCl6), 몰리브덴 헥사브로마이드 (MoBr6), 텅스텐 헥사플루오라이드 (WF6), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 헥사브로마이드 (WBr6), 티타늄 테트라플루오라이드 (TiF4), 티타늄 테트라클로라이드 (TiCl4), 티타늄 테트라브로마이드 (TiBr4), 지르코늄 플루오라이드 (ZrF4), 지르코늄 클로라이드 (ZrCl4), 및 지르코늄 브로마이드 (ZrBr4) 로 구성된 그룹으로부터 선택되는, 기판 에칭 방법.
  52. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제는 상기 첨가제와 상기 유기 용매 및/또는 물의 총량의 약 0.1 내지 5 %(중량 기준)인, 기판 에칭 방법.
  53. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 첨가제에 대한 상기 할로겐 소스의 체적비는 10 이하인, 기판 에칭 방법.
  54. 제 53 항에 있어서,
    상기 타깃 재료는 옥사이드이고, 상기 기판은 상기 타깃 재료와 상이한 제 2 재료를 더 포함하고, 그리고 상기 단계 (c) 는 상기 제 2 재료에 대해 상기 타깃 재료를 선택적으로 에칭하는 것을 포함하는, 기판 에칭 방법.
  55. 제 54 항에 있어서,
    상기 타깃 재료는 실리콘 옥사이드이고 상기 제 2 재료는 실리콘 나이트라이드인, 기판 에칭 방법.
  56. 제 54 항에 있어서,
    상기 타깃 재료는 실리콘 옥사이드이고 상기 제 2 재료는 실리콘 (Si) 또는 실리콘 게르마늄 (SiGe) 인, 기판 에칭 방법.
  57. 기판을 에칭하기 위한 장치에 있어서,
    a. 반응 챔버 내에서 약 0.2 내지 10 Torr의 압력을 견디도록 구성된 반응 챔버;
    b. 에칭 동안 기판을 지지하도록 구성된 기판 지지부;
    c. 가스 혼합물을 상기 반응 챔버로 도입하기 위한 유입구로서, 상기 가스 혼합물은 증기상인, 상기 유입구;
    d. 상기 반응 챔버로부터 증기상 종을 제거하기 위한 유출구; 및
    e. 본 명세서에 청구되거나 달리 기술된 임의의 방법들을 유발하도록 구성된 제어기를 포함하는, 기판 프로세싱 장치.
KR1020227038346A 2020-04-01 2021-03-29 반도체 재료의 선택적인 정밀 에칭 KR20220161474A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063003444P 2020-04-01 2020-04-01
US63/003,444 2020-04-01
US202063198299P 2020-10-08 2020-10-08
US63/198,299 2020-10-08
PCT/US2021/024708 WO2021202411A1 (en) 2020-04-01 2021-03-29 Selective precision etching of semiconductor materials

Publications (1)

Publication Number Publication Date
KR20220161474A true KR20220161474A (ko) 2022-12-06

Family

ID=77927504

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227038346A KR20220161474A (ko) 2020-04-01 2021-03-29 반도체 재료의 선택적인 정밀 에칭

Country Status (6)

Country Link
US (1) US20230207328A1 (ko)
JP (1) JP2023520218A (ko)
KR (1) KR20220161474A (ko)
CN (1) CN115668463A (ko)
TW (1) TW202205361A (ko)
WO (1) WO2021202411A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023091299A1 (en) * 2021-11-16 2023-05-25 Lam Research Corporation Silicon etch with organochloride
WO2023101915A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Selective etch using fluorocarbon-based deposition of a metalloid or metal
WO2023168170A1 (en) * 2022-03-03 2023-09-07 Lam Research Corporation Selective precision etching of semiconductor materials
WO2023201163A1 (en) * 2022-04-13 2023-10-19 Lam Research Corporation Selective oxide etch using liquid precursor
WO2023243569A1 (ja) * 2022-06-16 2023-12-21 セントラル硝子株式会社 エッチング方法、半導体デバイスの製造方法、エッチング装置及びエッチングガス

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6065481A (en) * 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
EP1680806A4 (en) * 2003-10-28 2008-07-30 Sachem Inc CLEANING SOLUTIONS AND MEDICAMENTS AND METHOD FOR THEIR USE
US20060054595A1 (en) * 2004-09-10 2006-03-16 Honeywell International Inc. Selective hafnium oxide etchant
JP5655296B2 (ja) * 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
KR20200090237A (ko) * 2017-11-28 2020-07-28 보드 오브 레젼츠, 더 유니버시티 오브 텍사스 시스템 촉매 유도 패턴 전사 기술

Also Published As

Publication number Publication date
US20230207328A1 (en) 2023-06-29
CN115668463A (zh) 2023-01-31
WO2021202411A1 (en) 2021-10-07
TW202205361A (zh) 2022-02-01
JP2023520218A (ja) 2023-05-16

Similar Documents

Publication Publication Date Title
KR20220161474A (ko) 반도체 재료의 선택적인 정밀 에칭
JP2018026555A (ja) 堆積期間にわたる基板温度を変化させることによる界面反応の抑制
JP6948797B2 (ja) 不揮発性金属をパターニングするためのチャンバ
TW201901794A (zh) 高深寬比低溫蝕刻期間用於側壁鈍化之氣體添加劑
US20230131233A1 (en) Rapid and precise temperature control for thermal etching
JP2009515366A (ja) バッチ式フォトレジスト乾式剥離・アッシングシステム及び方法
JP2013522883A (ja) 周期的な酸化およびエッチングのための装置と方法
JP2013522884A (ja) 周期的な酸化およびエッチングのための装置と方法
JP2013522882A (ja) 周期的な酸化およびエッチングのための装置と方法
KR101423019B1 (ko) 미세 패턴의 형성 방법
TW201729245A (zh) 透過紫外光驅動之光聚合作用的低介電常數介質沉積
KR20160009542A (ko) 플라즈마 에칭 장치 및 플라즈마 에칭 방법
KR20240035423A (ko) 고온 증기 전달 시스템 및 방법
US20230268189A1 (en) Selective silicon trim by thermal etching
WO2023168170A1 (en) Selective precision etching of semiconductor materials
WO2024049699A1 (en) Nitride thermal atomic layer etch
WO2023201163A1 (en) Selective oxide etch using liquid precursor
JP7277225B2 (ja) エッチング方法、及び、プラズマ処理装置
TW202318502A (zh) 電漿蝕刻中的原位除阻塞
KR20240046906A (ko) 반도체 웨이퍼의 에지 영역의 복사 가열을 위한 장치들
WO2023039382A1 (en) Atomic layer etching using boron trichloride
WO2023239617A1 (en) In situ declogging in plasma etching
JP2023515065A (ja) コア除去
KR20240055813A (ko) 칼코겐화물들 (chalcogenides) 을 프로세싱하기 위한 기법들 및 장치들
JP2024510255A (ja) インジウムガリウム亜鉛酸化物のエッチング

Legal Events

Date Code Title Description
A201 Request for examination