KR20240046906A - 반도체 웨이퍼의 에지 영역의 복사 가열을 위한 장치들 - Google Patents

반도체 웨이퍼의 에지 영역의 복사 가열을 위한 장치들 Download PDF

Info

Publication number
KR20240046906A
KR20240046906A KR1020247008894A KR20247008894A KR20240046906A KR 20240046906 A KR20240046906 A KR 20240046906A KR 1020247008894 A KR1020247008894 A KR 1020247008894A KR 20247008894 A KR20247008894 A KR 20247008894A KR 20240046906 A KR20240046906 A KR 20240046906A
Authority
KR
South Korea
Prior art keywords
wafer
light
substrate support
central axis
light emitting
Prior art date
Application number
KR1020247008894A
Other languages
English (en)
Inventor
시바난다 크리슈난 카나카사바파티
제레미 토드 터커
성 옹
제롬 에스. 후벡
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240046906A publication Critical patent/KR20240046906A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

광학적 수단을 사용하여 반도체 웨이퍼들의 에지 가열을 제공하기 위한 다양한 장치들 및 시스템들이 본 명세서에 제공된다. 이러한 시스템들은 반도체 웨이퍼의 에지 영역을 향해 복사 에너지를 지향시킬 수도 있다.

Description

반도체 웨이퍼의 에지 영역의 복사 가열을 위한 장치들
프로세싱 챔버에서 증착 동안, 막은 웨이퍼의 전면 상뿐만 아니라, 웨이퍼의 후면 상에도 증착될 수 있다. 예를 들어, 응결 프로세스를 사용하는 증착 동안, 프로세스 가스들은 웨이퍼의 전면 상 및 노출된 후면 상에 증착되는 입자들을 형성하도록 응결될 수도 있다. 이 후면 증착은 웨이퍼 및 다른 웨이퍼들에 다양한 역효과들을 유발할 수 있다. 그러나, 이 후면 증착물을 제거하는 것은 구현하기 어렵고 기존 솔루션들은 웨이퍼들에 수많은 단점들을 발생시킬 수도 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적들을 위한 것이다. 이 배경기술 섹션에 기술된 범위까지 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
관련 출원에 대한 교차 참조
출원 데이터 시트는 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 출원 데이터 시트에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 명세서에 기술된 (describe) 주제의 하나 이상의 구현 예들의 세부사항들은 첨부된 도면들 및 이하의 기술 (description) 에 제시된다. 다른 특징들, 양태들, 및 이점들은 기술, 도면들, 및 청구항들로부터 명백해질 것이다. 다음의 비 제한적인 구현 예들은 본 개시 (disclosure) 의 일부로 간주되고; 다른 구현 예들은 본 개시 전체 및 첨부된 도면들로부터 또한 자명할 것이다.
일부 구현 예들에서, 반도체 프로세싱을 위한 기판 지지부가 제공될 수도 있다. 기판 지지부는 베이스플레이트의 상단부 상에 웨이퍼 지지 영역을 갖는 베이스플레이트를 포함할 수도 있고, 웨이퍼 지지 영역은 베이스플레이트의 중심 축 둘레로 연장하는 외측 경계를 갖고 웨이퍼를 지지하도록 구성된다. 기판 지지부는 또한 하나 이상의 광원들 및 하나 이상의 발광 표면들 (light emission surfaces) 을 갖는 광학 웨이퍼 에지 가열 유닛을 포함할 수도 있고, 하나 이상의 발광 표면들은, 중심 축을 따라 볼 때 외측 경계를 둘러쌀 수도 있고 (encircle), 중심 축을 따라 볼 때 외측 경계로부터 방사상으로 외향으로 포지셔닝될 수도 있고, 중심 축에 수직인 축을 따라 볼 때 오프셋 거리만큼 외측 경계 아래로 방사상으로 오프셋되어 포지셔닝될 수도 있고, 그리고 중심 축에 평행한 지향성 컴포넌트를 갖는 방향으로 광을 지향시킬 수도 있다.
일부 구현 예들에서, 복수의 발광 다이오드들 (light emitting diodes; LEDs) 이고, 그리고 발광 표면 각각은 대응하는 발광 다이오드의 일부일 수도 있다.
일부 구현 예들에서, 발광 다이오드들은 VCSEL (vertical-cavity surface-emitting lasers) 일 수도 있다.
일부 구현 예들에서, 복수의 발광 다이오드들은 약 300 개 미만의 발광 다이오드들을 포함할 수도 있다.
일부 구현 예들에서, 발광 다이오드 각각은 1,300 ㎚ 이하의 파장들을 갖는 광을 방출하도록 구성될 수도 있다.
일부 구현 예들에서, 하나 이상의 발광 표면들 중 적어도 하나는 중심 축에 대해 평행하지 않은 각도로 배향될 수도 있다.
일부 구현 예들에서, 하나 이상의 광원들은 레이저 방출 소스일 수도 있고, 그리고 발광 표면 각각은 광섬유 케이블을 통해 레이저 방출 소스에 연결된 렌즈의 일부일 수도 있다.
일부 이러한 구현 예들에서, 레이저 방출 소스 각각은 1,300 ㎚ 이하의 파장들을 갖는 광을 방출하도록 구성될 수도 있다.
일부 구현 예들에서, 하나 이상의 발광 표면들 중 적어도 하나는 중심 축에 대해 평행하지 않은 각도로 배향될 수도 있다.
일부 구현 예들에서, 웨이퍼는 웨이퍼 외경을 가질 수도 있고, 그리고 웨이퍼 지지 영역의 외측 경계는 웨이퍼 외경보다 더 작을 수도 있다.
일부 구현 예들에서, 웨이퍼 지지부는 하나 이상의 광원들에 의해 방출된 광에 투과성인 (transparent) 재료를 포함하는 하나 이상의 윈도우들을 더 포함할 수도 있다. 하나 이상의 발광 표면들로부터의 광이 하나 이상의 윈도우들을 통과하도록 하나 이상의 발광 표면들 위에 포지셔닝될 수도 있고, 그리고 웨이퍼 지지 영역과 하나 이상의 발광 표면들 사이의 중심 축을 따라 포지셔닝될 수도 있다.
일부 이러한 구현 예들에서, 기판 지지부는 복수의 윈도우들을 포함할 수도 있다.
일부 구현 예들에서, 윈도우 각각은 하나 이상의 발광 표면들 각각에 대응할 수도 있다.
일부 구현 예들에서, 기판 지지부는 하나의 윈도우만을 포함할 수도 있다.
일부 구현 예들에서, 재료는 석영 또는 사파이어일 수도 있다.
일부 구현 예들에서, 기판 지지부는 하나 이상의 냉각제 채널들 및 하나 이상의 냉각제 채널들에 열적으로 연결된 원주형 냉각 핀을 포함하는 능동 냉각 유닛을 더 포함할 수도 있다. 원주형 냉각 핀은 중심 축을 중심으로 연장할 수도 있고; 하나 이상의 발광 표면들로부터 방사상 내향으로 포지셔닝될 수도 있고, 그리고 외측 경계로부터 4 ㎜ 이하의 방사상 거리에 포지셔닝될 수도 있다.
일부 구현 예들에서, 기판 지지부는 베이스플레이트 내에 포지셔닝되고 웨이퍼 지지 영역 상의 웨이퍼를 가열하도록 구성된 하나 이상의 가열 존들을 갖는 웨이퍼 가열 유닛을 더 포함할 수도 있다. 하나 이상의 발광 표면들은 하나 이상의 가열 존들로부터 방사상으로 오프셋되고 하나 이상의 가열 존들을 둘러쌀 수도 있고, 그리고 원주형 냉각 핀은 중심 축을 따라 볼 때 하나 이상의 가열 존들 둘레로 연장할 수도 있고, 중심 축을 따라 볼 때 하나 이상의 가열 존들과 하나 이상의 발광 표면들 사이에 방사상으로 개재될 수도 있다.
일부 구현 예들에서, 웨이퍼 지지부는 원주형 냉각 핀과 하나 이상의 가열 존들 사이에 방사상으로 개재된 열적 절연체를 더 포함할 수도 있다.
일부 구현 예들에서, 원주형 냉각 핀은 약 4 ㎜ 이하의 방사상 두께를 가질 수도 있다.
일부 구현 예들에서, 원주형 냉각 핀은 내측 반경 및 외측 반경에 의해 적어도 부분적으로 규정된 (define) 방사상 두께를 갖고, 그리고 내측 반경은 웨이퍼 지지 영역의 외측 경계로부터 약 4 ㎜ 이하일 수도 있다.
일부 구현 예들에서, 원주형 냉각 핀은 웨이퍼 지지 영역의 외측 경계에 열적으로 연결될 수도 있다.
일부 구현 예들에서, 냉각제 채널들은 외측 경계와 하나 이상의 발광 표면들 사이의 중심 축을 따라 포지셔닝될 수도 있고, 냉각제 채널들은 베이스플레이트의 일부 내에 포지셔닝될 수도 있고, 하나 이상의 포트들은 베이스플레이트의 일부를 통해 연장할 수도 있고, 그리고 하나 이상의 발광 표면들은 하나 이상의 발광 표면들로부터의 광이 웨이퍼에 도달하기 위해 하나 이상의 포트들을 통과하도록 하나 이상의 포트들에 연결될 수도 있다.
일부 구현 예들에서, 하나 이상의 발광 표면들은 외측 경계와 냉각제 채널들 사이의 중심 축을 따라 포지셔닝될 수도 있다.
일부 구현 예들에서, 웨이퍼 지지부는 원주형 냉각 핀 및 하나 이상의 발광 표면들의 방사상 내향으로 포지셔닝된 열적 절연체를 더 포함할 수도 있다.
일부 구현 예들에서, 오프셋 거리는 0이 아닐 수도 있고 10 ㎜ 이하일 수도 있다.
일부 구현 예들에서, 하나 이상의 광원들은 백색 광을 방출하도록 구성될 수도 있다.
일부 구현 예들에서, 하나 이상의 광원들은 하나 이상의 발광 표면들을 통해 광을 방출하고 적어도 80 ℃의 온도로 웨이퍼의 에지 영역을 가열하도록 구성될 수도 있다.
일부 구현 예들에서, 웨이퍼의 에지 영역은 3.5 ㎜ 이하의 방사상 두께를 가질 수도 있다.
일부 구현 예들에서, 온도는 적어도 100 ℃일 수도 있다.
일부 구현 예들에서, 기판 지지부는 정전 척일 수도 있다.
일부 구현 예들에서, 챔버 내부를 규정하는 프로세싱 챔버를 포함하는 장치가 제공될 수도 있다. 장치는 베이스플레이트의 상단부 상에 웨이퍼 지지 영역을 갖는 베이스플레이트를 포함하는 기판 지지부를 더 포함할 수도 있고, 웨이퍼 지지 영역은 베이스플레이트의 중심 축 둘레로 연장하는 외측 경계를 갖고 웨이퍼를 지지하도록 구성된다. 장치는 하나 이상의 광원들 및 하나 이상의 발광 표면들을 갖는 광학 웨이퍼 에지 가열 유닛을 더 포함할 수도 있다. 하나 이상의 발광 표면들은, 중심 축을 따라 볼 때 외측 경계를 둘러쌀 수도 있고, 중심 축에 평행하게 볼 때 외측 경계로부터 방사상으로 외향으로 포지셔닝될 수도 있고, 중심 축에 수직인 축을 따라 볼 때 오프셋 거리만큼 외측 경계 아래로 방사상으로 오프셋되어 포지셔닝될 수도 있고, 그리고 중심 축에 평행한 방향으로 광을 지향시킬 수도 있다. 장치는 베이스플레이트 내에 포지셔닝되고 웨이퍼 지지 영역 상의 웨이퍼를 가열하도록 구성된 하나 이상의 가열 존들을 갖는 기판 가열 유닛을 더 포함할 수도 있다. 하나 이상의 발광 표면들은 하나 이상의 가열 존들로부터 방사상으로 오프셋될 수도 있고, 하나 이상의 가열 존들을 둘러쌀 수도 있다.
일부 구현 예들에서, 장치는 기판 가열 유닛으로 하여금 제 1 온도의 웨이퍼 지지 영역 상에 포지셔닝된 웨이퍼를 유지하게 하고, 그리고 웨이퍼를 제 1 온도로 동시에 유지하는 동안, 광학 웨이퍼 에지 가열 유닛으로 하여금 제 1 온도보다 더 높은 제 2 온도로 웨이퍼의 에지 영역을 유지하게 하도록 구성된 인스트럭션들을 갖는 제어기를 더 포함할 수도 있다.
일부 구현 예들에서, 제 1 온도는 약 20 ℃ 내지 약 120 ℃일 수도 있고, 제 2 온도는 약 40 ℃ 내지 약 150 ℃일 수도 있다.
본 명세서에 개시된 (disclose) 다양한 구현 예들은 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면들에, 제한이 아니라 예로서 예시된다.
도 1a는 개시된 실시 예들에 따른 기판 지지부의 등각도를 도시한다.
도 1b는 도 1a의 기판 지지부의 평면도를 도시한다.
도 1c는 도 1a의 기판 지지부의 측단면도 (cross-sectional side view) 이다.
도 1d는 웨이퍼를 갖는 도 1c의 기판 지지부의 측면도를 도시한다.
도 1e는 도 1a의 기판 지지부 및 단일 레이저 소스의 측단면도를 도시한다.
도 1f는 도 1c의 기판 지지부의 확대된 부분을 도시한다.
도 1g는 부가적인 피처들을 갖는 도 1c의 측단면도를 도시한다.
도 1h는 도 1g의 기판 지지부의 단면 평면도 슬라이스를 도시한다.
도 2a는 웨이퍼와 함께 도 1a의 기판 지지부의 측면도를 도시한다.
도 2b는 도 1b의 평면도를 도시한다.
도 3은 개시된 실시 예들에 따른 또 다른 기판 지지부의 측단면도를 도시한다.
도 4는 예시적인 포토레지스트 막 증착 챔버를 도시한다.
도 5는 다양한 동작들의 흐름도를 도시한다.
도 6은 응결-기반 증착을 위한 증착 레이트들 및 온도들을 예시하는 그래프를 도시한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시 예들은 이 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 웨이퍼 상의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 반도체 웨이퍼들에 더하여, 개시된 실시 예들의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들 등과 같은 다양한 물품들을 포함한다.
도입 및 맥락
일부 반도체 증착 프로세스들에 대해, 원치 않은 후면 증착이 발생할 수도 있다. 이들 증착 프로세스들은 프로세스 가스들이 웨이퍼를 향해 흐르고 웨이퍼 표면 상으로 이동하고 웨이퍼 표면 상에 증착되는 미립자들로 응결되게 (condense) 하는 응결-기반 증착 프로세스들을 포함한다. 이들 증착 프로세스들 중 일부 동안, 웨이퍼는 웨이퍼와 ESC 사이의 상대적인 움직임 (relative movement) 을 방지하기 위해 웨이퍼에 대해 클램핑 힘이 가해지게 하는 정전 척 (electrostatic chuck; ESC) 에 의해 지지될 수도 있다. 일부 ESC들 또는 페데스탈과 같은 다른 웨이퍼 지지부들은 웨이퍼보다 더 작게 사이징되는 (sized) 웨이퍼 지지 영역을 갖고, 이는 웨이퍼의 원주 에지 영역이 ESC와 콘택트하지 (contact) 않게 하고 웨이퍼의 후면의 원주 영역을 프로세싱 챔버 분위기에 노출시킨다. 본 명세서에 사용된 바와 같이, 기판 지지부는 (클램핑 힘을 가하도록 구성된) ESC 또는 페데스탈을 포함하여, 웨이퍼 또는 기판을 지지하도록 구성된 이들 다양한 웨이퍼 지지 구조체들을 아우른다 (encompass). 응결-기반 증착 동작들 동안, 증착 재료는 이 노출된 후면 원주 영역으로 흐르고 이 노출된 후면 원주 영역 상에 증착될 수도 있다.
웨이퍼의 후면 상의 증착은 수많은 이유들로 원치 않은 것이다. 예를 들어, 응결-기반 증착들이 웨이퍼 상에서 수행되고 후면 증착을 유발한 후, 웨이퍼는 FOUP (Front-Opening Unified Pod) 에서 이송될 수도 있다. 많은 FOUP들이 웨이퍼의 에지 영역들과 콘택트하는 구조체들을 사용하여 웨이퍼를 홀딩하고 지지하고, 이들 구조체들은 후면 증착물을 콘택트하고 마모시킬 수도 있고, 그리고 플레이크 오프되고 동일한 FOUP의 다른 웨이퍼들 상으로 이동하게 한다. 또한, FOUP 지지 구조체들의 콘택트 및 마모에 의해 제거된 후면 증착물 중 일부는 FOUP 내에 남아 있을 수도 있고 나중에 FOUP 내로 로딩된 다른 웨이퍼들을 오염시킬 수도 있다.
많은 장치들 및 기법들 (techniques) 은 이 원치 않은 후면 증착을 적절히 처리할 수 없다. 예를 들어, 장치들 및 기법들은 이 증착을 방지하지 않고 그리고/또는 방지할 수 없다. 또한, 원치 않은 재료가 일단 증착되면, 습식 세정 동작들을 사용하여 제거될 수 있지만, 이들 세정 동작들은 쓰루풋을 감소시킬 수 있고, 비용을 증가시킬 수 있고, 구현하기 어려울 수 있다. 예를 들어, 많은 습식 세정 동작들은 증착 챔버 또는 툴에 통합되지 않고; 오히려, 이들은 웨이퍼를 이러한 세정 모듈들 또는 툴들로 이송해야 하는 별도의 모듈들 또는 툴들이다. 이 이송에 수반된 웨이퍼 핸들링은 웨이퍼와 더 콘택트하고 연마할 수도 있고, 이에 따라 후면 재료를 제거하고 (dislodge) 추가 오염을 유발할 수도 있다. 세정 모듈들 또는 툴들을 사용하는 것은 또한 모두 처리량을 감소시키는 부가적인 이송 및 프로세싱 시간을 필요로 하고, 또한 비용이 많이 들고 동작하고 유지하기 어려울 수 있는 복잡한 액체 저장 및 전달 시스템을 필요로 하는 상당한 재료들을 필요로 한다.
본 발명자들은 응결-기반 증착 프로세스들 동안 발생하는 원치 않은 후면 증착을 감소시키고 그리고/또는 방지하기 위해 신규하고 특유의 (unique) 장치들 및 기법들을 결정하였다. 많은 응결-기반 증착 프로세스들은 온도 종속적이고 따라서 특정한 온도 이상에서 0에 접근하거나 도달할 수도 있는 증착 레이트를 갖는다. 응결-기반 증착 프로세스들 동안, 웨이퍼는 재료로 하여금 웨이퍼 상에 증착되게 하도록 목표된 증착 레이트를 갖는 하나 이상의 설정점 온도들로 유지된다. 본 발명자들은 증착 레이트가 0이거나 거의 0인 온도 이상에서 웨이퍼의 노출된 원주 에지 영역을 가열하고 유지함으로써 재료의 증착이 감소되거나 방지될 수 있다고 결정하였다. 이는 약 0.5 ㎜ 내지 5 ㎜의 방사상 두께를 가질 수도 있는 원주 에지 영역과 웨이퍼의 나머지 내측 영역 사이에 온도 차를 생성한다. 일부 구현 예들에서, 내측 영역은 300 ㎜ 웨이퍼와 같이 대부분의 웨이퍼일 수도 있고, 이 내측 영역의 반경은 예를 들어 약 145 ㎜ 내지 149 ㎜일 수도 있다. 원주 에지 영역은 내측 영역의 외측 경계와 웨이퍼의 에지 사이의 웨이퍼의 나머지 부분일 수도 있다.
본 명세서에 제공된 장치들은 복수의 발광 다이오드들에 의해 방출된 광, 예를 들어, VCSEL (vertical-cavity surface-emitting lasers), 또는 하나 이상의 레이저 소스들에 광섬유 케이블을 통해 연결된 렌즈들을 통해 방출된 레이저와 같은 하나 이상의 광원들을 사용하여 노출된 원주 에지 영역을 복사 가열함 (radiatively heating) 으로써 웨이퍼의 내측 영역과 원주 에지 영역 사이에 이 온도 차를 생성한다.
기판 지지부들
본 개시의 양태들은 응결-기반 증착 프로세스들 동안 원치 않은 후면 증착을 방지하기 위해 웨이퍼의 원주 에지 영역을 복사 가열하기 위한 장치들에 관한 것이다. 상기 언급된 바와 같이, 웨이퍼의 온도가 재료로 하여금 특정한 증착 레이트로 웨이퍼의 전면 상에 증착되게 하는 하나 이상의 설정점 온도들로 유지되는 동안, 재료는 웨이퍼의 내측 영역 상에 증착된다. 증착 동안, 웨이퍼는 ESC 또는 페데스탈과 같은 웨이퍼 지지 구조체에 의해 지지될 수도 있고, 이 구조체는 목표된 증착 레이트가 발생하는 설정점 온도로 웨이퍼의 내측 영역을 가열하고 유지하도록 구성된 하나 이상의 가열 존들을 갖는 웨이퍼 가열 유닛을 가질 수도 있다. 본 명세서에 사용된 바와 같이, 기판 지지부는 (클램핑 힘을 가하도록 구성된) ESC 또는 페데스탈을 포함하여, 웨이퍼 또는 기판을 지지하도록 구성된 이들 다양한 웨이퍼 지지 구조체들을 아우른다. 웨이퍼의 내측 영역 상에서의 이 증착 동안 동시에, 원주 에지 영역은 증착이 원주 에지 영역에서 발생하는 것을 감소시키거나 방지하기 위해, 증착 레이트가 0이거나 거의 0인 온도와 같은 설정점 온도보다 더 높은 온도로 가열되고 유지될 수도 있다.
상기 주지된 바와 같이, 많은 응결-기반 증착 프로세스들은 하나 이상의 온도 범위들에서 0 또는 거의 0의 증착 레이트를 가질 수도 있는 온도-기반 증착 프로세스들이다. 이 지점 이하의 온도 범위들에서, 증착 레이트는 양수 (positive) 일 수도 있고 재료 증착을 유발할 수도 있다. 도 6은 응결-기반 증착을 위한 증착 레이트들 및 온도들을 예시하는 그래프를 도시한다. 수직 축은 증착 레이트이고 수평 축은 온도이고, 여기서 알 수 있는 바와 같이, 증착 레이트는 웨이퍼의 온도가 상승함에 따라 감소한다. 온도 T1 내지 T2에서, 증착 레이트는 양수이지만, 온도 T3 이상에서, 증착 레이트는 0이 된다. 온도와 증착 사이의 이 관계는 웨이퍼 상의 원치 않은 후면 증착을 방지하거나 감소시키도록 사용된다. 특정한 증착 프로세스 화학 물질에 대해, 원주 에지 영역은 증착 레이트가 0 인 연관된 T3 온도 근방, 또는 그 이상으로 가열된다. 일부 구현 예들에서, T1 및 T2는 약 30 ℃ 내지 150 ℃의 범위일 수도 있고, T3은 약 60 ℃ 내지 약 200 ℃ 이상일 수도 있다.
상기 언급된 바와 같이, 본 명세서의 장치들은 복수의 발광 다이오드들, 예를 들어, 복수의 VCSEL들, 또는 광섬유 케이블을 통해 하나 이상의 레이저 소스에 연결된 복수의 렌즈들을 통해 방출된 레이저와 같은 하나 이상의 광원들에 의해 방출된 광을 사용하여 노출된 원주 에지 영역을 복사 가열한다. 이 원주 에지 영역을 복사 가열하는 것은 웨이퍼의 이 영역을 전도성으로 가열하는 것보다 더 균일할 수도 있는 웨이퍼 두께를 통해 열 경사 (gradient) 를 생성하고; 일부 예들에서, 전도성 가열을 사용하는 것은 웨이퍼 상에 하나 이상의 바람직하지 않은 국부화된 핫 스폿들을 생성하고 하나 이상의 광원들보다 램핑 업 (ramp up) 및 램핑 다운 (ramp down) 하는데 더 오래 걸린다.
하나 이상의 광원들은 실리콘 웨이퍼들에 의해 흡수될 수 있는 파장들을 갖는 광을 방출하도록 구성된다. 도핑되거나 도핑되지 않은 실리콘을 사용한 복사 히터들의 사용은 광의 파장들이 실리콘을 가열하기 위해 적어도 부분적으로 실리콘에 의해 흡수되어야 하기 때문에 신중한 선택 및 구성을 필요로 한다. 그러나, 실리콘은 특정한 파장들만을 흡수하고 공통 복사 히터들에서 사용되는 적외선과 같은 많은 파장들에 대해 투과성 (transparent) 이다. 여기서, 하나 이상의 광원들에 의해 방출된 광은 실리콘에 의해 흡수되도록 선택되어 광으로 하여금 실리콘을 가열하게 한다. 일부 구현 예들에서, 원주 에지 영역을 가열하기 위해 하나 이상의 광원들에 의해 방출된 광의 이들 파장들은 예를 들어, 약 400 ㎚ (나노미터) 내지 약 800 ㎚, 약 200 ㎚ 내지 약 1,300 ㎚, 약 500 ㎚ 내지 약 1,100 ㎚, 약 800 ㎚ 내지 약 1,300 ㎚, 및 약 700 ㎚ 내지 약 1,000 ㎚를 포함한다. 예를 들어, 실리콘은 약 800 ㎚의 파장을 갖는 광을 실리콘 내 약 1 ㎜의 깊이로 흡수할 수 있다.
일부 실시 예들에서, 장치들은 원주 에지 영역의 열 에너지가 내측 영역을 향해 전도되는 것을 방지하기 위해 기판 지지부 내에 능동 냉각 존을 사용함으로써 내측 영역과 원주 에지 영역 사이에 온도 차를 더 생성할 수도 있다. 원주 에지 영역에서 상승된 온도, 따라서 상승된 열 에너지는 자연적으로 내향으로 복사되지만, 내측 영역의 임의의 부가적인 열 에너지는 이 영역의 엄격하고 정밀한 온도 제어를 방해하고 내부의 증착에 부정적인 영향을 줄 수도 있기 때문에 내측 영역으로의 이 열 전도는 원치 않은 것이다. 능동 냉각 존은 냉각제 채널들에 열적으로 연결되고 웨이퍼 가열 유닛의 하나 이상의 가열 존들 둘레로 연장하고 외부로 방사상으로 오프셋되는 원주형 냉각 핀 (fin) 을 갖는 능동 냉각 유닛에 의해 인에이블될 수도 있다. 원주형 냉각 핀은 열적 배리어 및 열 교환기로서 작용하고; 원주 에지 영역으로부터의 열은 원주 냉각 핀 및 냉각제 채널들로 전도되어 웨이퍼로부터 과잉 열을 제거한다. 일부 구현 예들에서, 열적 절연체는 원주형 냉각 핀에 의해 내측 영역으로부터 원치 않은 열 제거를 방지하도록 원주형 냉각 핀과 웨이퍼 가열 유닛의 하나 이상의 가열 존들 사이에 방사상으로 개재된다.
도 1a는 개시된 실시 예들에 따른 기판 지지부의 등각도를 도시한다. 기판 지지부 (100) 는 베이스플레이트 (102) 의 상단부 상에 웨이퍼 지지 영역 (104) 을 갖는 베이스플레이트 (102) 를 포함한다. 웨이퍼 지지 영역 (104) 은 상부에 배치된 (place) 웨이퍼를 지지하도록 구성되고 도시된 바와 같이, 원형이거나 실질적으로 원형 (예를 들어, 제작 공차들 (manufacturing tolerances) 로 인해 원형의 5 % 이내) 일 수도 있는 외측 경계 (106) 에 의해 적어도 부분적으로 규정된다 (define). 일부 예들에서, 웨이퍼 지지 영역 (104) 은 도 1a에 도시된 바와 같이 평면형 표면을 가질 수도 있지만, 일부 다른 예들에서 웨이퍼 지지 영역 (104) 은 홈들 또는 실린더형 콘택트 영역들과 같은 비 평면형 토포그래피를 갖는다.
많은 기판 지지부들에 대해, 웨이퍼 지지 영역 (104) 은 지지하는 웨이퍼보다 더 작은 표면적 및 직경을 갖고, 이는 기판 지지부와 콘택트하지 않거나 기판 지지부에 의해 지지되지 않는 웨이퍼의 원주 에지 영역을 발생시킨다. 도 2a는 웨이퍼와 함께 도 1a의 기판 지지부의 측면도를 도시하고 도 2b는 도 1b의 평면도를 도시한다. 도 2a에서, 웨이퍼 (108) 는 웨이퍼 지지 영역 (104) 상에 포지셔닝되고 웨이퍼의 표면적 (110) 및 외경 (112) 은 웨이퍼 지지 영역의 표면적 (114) 및 외측 경계 (106) 보다 더 크고 이는 웨이퍼 지지 영역 (104) 의 외측 경계 (106) 를 지나 연장하고 기판 지지부와 콘택트하거나 기판 지지부에 의해 지지되지 않는 웨이퍼 (108) 의 원주 에지 영역 (116) 을 발생시킨다. 따라서 웨이퍼의 외경 (112), 또는 외측 에지는 웨이퍼 지지 영역 (104) 의 외측 경계 (106) 보다 기판 지지부의 중심 축 (111) 으로부터 멀리 방사상으로 오프셋된다.
이들 웨이퍼 및 기판 지지 기하 구조들은 웨이퍼 지지 영역 위의 웨이퍼 (108) 및 웨이퍼가 웨이퍼 (108) 아래에 있고 이 도면의 각도에서 보이지 않는 것을 예시하기 위해 점선으로 도시된 경계 (106) 를 도시하는 도 1b에 더 예시된다. 원주 에지 영역 (116) 은 또한 음영으로 강조된다. 알 수 있는 바와 같이, 웨이퍼 (108) 의 외경 (112), 또는 외측 에지는 반경 R1보다 더 작은 반경 R2만큼 중심 축 (111) 으로부터 방사상으로 오프셋되는 웨이퍼 지지 영역 (104) 의 외측 경계 (106) 보다 (도면의 중심에 X로 예시된) 기판 지지부의 중심 축 (111) 으로부터 더 멀리 반경 R1만큼 방사상으로 오프셋된다. 중심 축 (111) 은 기판 지지부 (100) 를 따라 종방향으로 (longitudinally) 연장하는 것으로 간주될 수도 있고 웨이퍼 지지 영역 (104) 에 수직일 수도 있다. 일부 구현 예들에서, 원주 에지 영역 (116) 은 예를 들어 약 4 ㎜, 약 3.5 ㎜, 약 3 ㎜, 약 2.5 ㎜, 약 2 ㎜, 약 1.5 ㎜, 또는 약 1 ㎜을 포함하는, 약 5 ㎜ 내지 약 0.5 ㎜의 방사상 두께 (117) 를 가질 수도 있다.
도 2a 및 도 2b에 도시된 바와 같이, 웨이퍼 (108) 의 이 원주 에지 영역 (116) 은 기판 지지부를 오버행하고 이 영역의 웨이퍼 상의 원치 않은 후면 증착을 야기할 수 있는 프로세싱 챔버 분위기에 노출된다. 예를 들어, 도 2a에서, 프로세스 가스들 및 재료는 웨이퍼 에지 (112) 둘레로 흐를 수 있고 화살표들 (120) 로 예시된 바와 같이 원주 에지 영역 (116) 내 웨이퍼의 후면 (118) 상에 증착될 수 있다. 이 후면 증착을 방지하기 위해, 본 명세서에 제공된 기판 지지부들은 응결-기반 증착이 발생하지 않거나, 용인할 수 있게 (acceptably) 낮은 레이트로 발생하고, 이에 따라 원치 않은 후면 증착을 감소시키고 그리고/또는 방지하는 온도 또는 온도 범위로 웨이퍼의 원주 에지 영역을 복사 가열하도록 구성된 광학 웨이퍼 에지 가열 유닛을 갖는다. 광학 웨이퍼 에지 가열 유닛은 개별 발광 다이오드들 또는 레이저 소스와 같은 하나 이상의 광원들로부터 특정한 파장들의 광을 방출함으로써 복사 가열한다. 방출된 광은 웨이퍼의 원주 에지 영역 상으로 그리고 원주 에지 영역 둘레에 광을 분배하는 하나 이상의 발광 표면들을 통과한다. 일부 실시 예들에서, 하나의 발광 표면이 있을 수도 있고, 다른 실시 예들에서, 복수의 발광 표면들이 있을 수도 있다.
다시 도 1a를 참조하면, 기판 지지부 (100) 는 하나 이상의 광원들 및 복수의 발광 표면들을 갖는 광학 웨이퍼 에지 가열 유닛 (120) 을 포함한다. 일부가 식별되는 발광 표면들 (122) 은 원형 표면들로 나타낸다. 이들 표면들은 광이 통과하는 표면, 예컨대 광섬유 케이블에 연결된 렌즈, LED의 표면, VCSEL의 표면, 및/또는 LED를 커버하는 외부 표면 (예를 들어, LED 위의 케이스) 일 수도 있다는 것이 이해될 것이다. 도 1a의 기판 지지부의 평면도를 도시하는 도 1b에서, 복수의 발광 표면들 (122) 이 더 가시적이다. 복수의 발광 표면들 (122) 은 기판 지지부의 중심 축 (111) 을 따라 볼 때 베이스플레이트 (102) 의 외측 경계 (106) 둘레로 연장하고 둘러싸고 (encircle); 이들 발광 표면들 (122) 은 또한 외측 경계 (106) 보다 중심 축 (111) 으로부터 방사상 외향으로 포지셔닝되고, 따라서 중심 축 (111) 으로부터 더 큰 방사상 거리를 갖는다. 일부 구현 예들에서, 발광 표면들 (122) 각각은 동일하거나 실질적으로 동일한 (예를 들어, 10 % 이내), 방사상 거리 R3만큼 중심 축 (111) 으로부터 방사상으로 오프셋될 수도 있다. 이 방사상 거리 R3은 발광 표면 (122) 각각의 중심 지점, 또는 평균 공칭 지점으로부터 측정될 수도 있다. 일부 다른 구현 예들에서, 적어도 하나의 발광 표면 (122) 은 하나 이상의 다른 발광 표면들 (122) 과 상이한 방사상 거리에서 오프셋될 수도 있다.
발광 표면들 (122) 은 또한 기판 지지부를 측면에서 볼 때, 예를 들어 중심 축 (111) 에 수직인 축을 따라 볼 때 웨이퍼 지지 영역 (104) 아래에 수직으로 포지셔닝된다. 도 1c는 도 1a의 기판 지지부의 측단면도 (cross-sectional side view) 이다. 웨이퍼 지지 영역 (104), 외측 경계 (106), 및 2 개의 발광 표면들 (122) 이 도 1c에 도시된다. 발광 표면들 (122) 은 제로가 아닌 거리인 제 1 오프셋 거리 D1만큼 외측 경계 (106) 로부터 그리고 외측 경계 아래로 오프셋되도록 중심 축 (111) 을 따라 포지셔닝된다. 이 제 1 오프셋 거리 D1은 예를 들어 약 0.5 ㎜ 내지 약 20 ㎜, 약 1 ㎜ 내지 약 10 ㎜, 약 3 ㎜ 내지 약 20 ㎜, 및 약 5 ㎜ 내지 약 10 ㎜의 범위일 수도 있다.
발광 표면들 (122) 은 웨이퍼 지지 영역 (104) 상에 포지셔닝된 웨이퍼 (본 명세서에서 도 1c에 미도시; 도 1d 참조) 의 원주 에지 영역 (116) 의 후면 (118) 을 향하여 광을 지향시키도록 포지셔닝되고 구성된다. 광은 확산 광뿐만 아니라 상이한 정도의 시준된 광과 같은 다양한 방식들로 발광 표면들 (122) 로부터 방출될 수도 있다. 시준 정도는 예를 들어, 렌즈가 사용되는지 여부를 포함하여, 광학 웨이퍼 에지 가열 유닛 및 광원의 상이한 양태들에 기초하여 가변할 수도 있다. 예를 들어, VCSEL과 같은 레이저 다이오드는 렌즈를 통해 방출된 가스 또는 결정 레이저로부터의 레이저 광보다 덜 시준된 광을 갖는다. 부가적으로, 가시 광을 방출하는 LED는 확산 광을 방출할 수도 있다. 이로 인해, 발광 표면들 (122) 로부터 방출된 광은 적어도 중심 축 (111) 에 평행한 지향성 컴포넌트를 갖는 방향을 포함하는 상이한 지향성 컴포넌트들을 가질 수도 있다. 예를 들어, 도 1c에서, 2 개의 예시적인 빔들이 중심 축 (111) 에 평행한 벡터인 광 빔 (126A) 및 중심 축 (111) 에 평행하지 않은 벡터인 광 빔 (126B) 으로 예시되지만, 중심 축 (111) 에 평행한 제 1 지향성 컴포넌트 (128A) 및 중심 축 (111) 에 수직인 제 2 지향성 컴포넌트 (128B) 를 갖는다.
일부 구현 예들에서, 발광 표면들 (122) 은 웨이퍼 상으로 방출된 광의 오버랩하는 빔들을 생성하기 위해 중심 축 (111) 에 대해 그리고/또는 외측 경계 (106) 에 대해 접선으로 기울어질 수도 있다. 도 1c에서, 이 기울어짐 (angling) 은 도면의 페이지에 수직인 축을 중심으로 그리고 중심 축 (111) 에 수직인 또 다른 축을 중심으로 할 수도 있다.
웨이퍼를 갖는 도 1c의 기판 지지부의 측면도를 도시하는 도 1d는 광을 웨이퍼 상으로 지향시키는 웨이퍼 에지 가열 유닛을 예시한다. 여기서, 웨이퍼 (108) 는 웨이퍼 지지 영역 (104) 상에 포지셔닝되고, 원주 에지 영역 (116) 은 상기 논의된 바와 같이 외측 경계를 지나 연장하고 복수의 발광 표면들 (122) 위에 포지셔닝되는 것으로 보인다. 광학 웨이퍼 에지 가열 유닛 (120) 은 원주 에지 영역 (116) 을 가열하기 위해, 광이 발광 표면들 (122) 을 통해 또는 발광 표면들 (122) 에 의해 그리고 웨이퍼 (108) 의 후면 (118) 상을 포함하여, 원주 에지 영역 (116) 상으로 방출되게 하는 것으로 보인다. 방출된 광은 원주 에지 영역 (116) 을 가열하기 위해 광이 웨이퍼 (108) 상으로 방출될 수도 있는 방법의 비 제한적인 예들을 도시하기 위해 빔들 (126C) 및 확산부 (126D) 로서 예시된다.
상기 제공된 바와 같이, 일부 구현 예들에서, 광학 웨이퍼 에지 가열 유닛 (120) 은 가시 광을 방출하는 발광 다이오드들 (LEDs) 및 VCSEL과 같은 레이저를 방출하는 LED들을 포함하는, LED들인 복수의 광원들을 가질 수도 있다. 일부 이러한 실시 예들에서, 발광 표면들 (122) 은 발광 표면 각각이 대응하는 광원의 일부이도록 LED 광원들의 일부로 간주될 수도 있다. 예를 들어, 발광 표면은 광을 방출하는 다이오드일 수도 있고, 또는 VCSEL에 대해, 발광 표면은 레이저가 재료들의 스택, 예를 들어, 미러들과 옥사이드 층들 사이에서 생성되기 때문에 VCSEL의 외부 표면일 수도 있다. 일부 실시 예들에서, 이들 LED들은 예를 들어, 약 400 ㎚ 내지 약 800 ㎚, 약 800 ㎚ 내지 약 1,300 ㎚, 약 200 ㎚ 내지 약 1,200 ㎚, 약 500 ㎚ 내지 약 1,100 ㎚, 약 800 ㎚ 내지 약 1,300 ㎚, 및 약 700 ㎚ 내지 약 1,000 ㎚를 포함하는 파장을 갖는 광을 방출한다. 이들 파장들은 실리콘 웨이퍼에 의해 흡수될 수 있고, 이에 따라 예를 들어 적어도 약 80 ℃, 약 100 ℃, 약 110 ℃, 약 120 ℃, 약 130 ℃, 약 140 ℃, 150 ℃, 약 160 ℃, 약 170 ℃, 약 180 ℃, 약 190 ℃, 및 약 200 ℃로 웨이퍼를 가열할 수 있다.
LED들을 사용함으로써, 발광 소스들 및 발광 표면들은 모두 도 1c에 예시된 바와 같이 광학 웨이퍼 에지 가열 유닛 (120) 내에 포지셔닝될 수도 있다. 여기서, 발광 소스 (124) 는 발광 표면 (122) 을 포함하는 박스로 나타내고, 이들 모두는 광학 웨이퍼 에지 가열 유닛 (120) 의 하우징 (125) 내에 포함된다. 일부 구현 예들에서, 이들 발광 소스들 (124) 각각은 이들의 전력 공급 (powering) 을 단순화하고 통합하기 위해 직렬로 서로 전기적으로 접속될 수도 있다. 일부 다른 구현 예들에서, 이들 발광 소스들 (124) 의 서브-그룹들은 상이한 전력 공급 스킴들 (schemes), 따라서 광학 웨이퍼 에지 가열 유닛 (120) 내에 조정 가능한 가열 영역들을 생성하기 위해 상이한 가열 스킴들을 용이하게 하도록 함께 전기적으로 접속될 수도 있다. 이 조정 가능성은 웨이퍼의 원주 에지 영역에서 온도 프로파일의 더 정밀한 튜닝을 가능하게 할 수도 있다.
광학 웨이퍼 에지 가열 유닛에 포함된 광원들의 수는 가변할 수도 있다. 일부 구현 예들에서, 광원들, 예를 들어, LED들 또는 VCSEL들의 수는 예를 들어, 약 100 개, 약 150 개, 약 200 개, 약 250 개, 약 300 개, 약 350 개, 약 400 개, 약 450 개, 또는 약 500 개 미만일 수도 있다.
일부 구현 예들에서, 광학 웨이퍼 에지 가열 유닛의 광원은 기판 지지부가 배치되는 프로세싱 챔버 외부와 같이, 기판 지지부로부터 분리된 단일 레이저 소스일 수도 있다. 단일 레이저 소스는 레이저 소스와 기판 지지부 사이에 걸쳐 있는 (span) 복수의 광섬유 케이블들에 연결될 수도 있다. 광섬유 케이블 각각은 발광 표면일 수도 있는 렌즈에서 종단될 수도 있다. 도 1e는 도 1a의 기판 지지부 및 단일 레이저 소스의 측단면도를 도시한다. 알 수 있는 바와 같이, 단일 레이저 소스 (130) 는 기판 지지부 (100) 와는 별도의 구조체이고, 각각이 2 개가 도시되고 렌즈로 간주될 수도 있는 발광 표면 (122) 에서 종단되고, 복수의 광섬유 케이블들 (132) 에 연결된다.
도 1e의 광학 웨이퍼 에지 가열 유닛을 위한 단일 레이저 소스 (130) 에 연결된 발광 표면들, 예를 들어, 렌즈들의 수는 가변할 수도 있다. 일부 구현 예들에서, 발광 표면들, 예를 들어, 렌즈들의 수는 예를 들어, 약 100, 약 150, 약 200, 약 250, 약 300, 약 350, 약 400, 약 450, 또는 약 500 개 미만일 수도 있다. 이는 하나의 발광 표면을 포함할 수도 있다.
이들 실시 예들 중 일부에서, 광섬유 케이블에 연결된 발광 표면들 (122) 은 도 1c에 도시된 바와 같이 중심 축에 대해 수직 각도로 배향될 수도 있다. 일부 다른 실시 예들에서, 발광 표면들 (122) 은 중심 축 (111) 에 대해 예각 또는 둔각과 같은 비 수직 각도로 배향될 수도 있다.
LED들과 유사하게, 레이저 소스 (130) 는 예를 들어, 약 400 ㎚ 내지 약 800 ㎚, 약 200 ㎚ 내지 약 1,200 ㎚, 약 800 ㎚ 내지 약 1,300 ㎚, 약 500 ㎚ 내지 약 1,100 ㎚, 및 약 700 ㎚ 내지 약 1,000 ㎚를 포함하는 파장들을 갖는 레이저 광을 방출한다. 이들 파장들은 실리콘 웨이퍼에 의해 흡수될 수 있고, 이에 따라 예를 들어, 적어도 약 80 ℃, 약 100 ℃, 약 110 ℃, 약 120 ℃, 약 130 ℃, 약 140 ℃, 150 ℃, 약 160 ℃, 약 170 ℃, 약 180 ℃, 약 190 ℃, 또는 약 200 ℃로 웨이퍼를 가열할 수 있다.
프로세싱 챔버들에서 사용된 일부 프로세스 가스들 및/또는 세정 가스들이 하나 이상의 광원들 및/또는 발광 표면들에 유해할 수도 있기 때문에, 일부 구현 예들은 이들 가스들로부터 하나 이상의 광원들 및/또는 발광 표면들을 커버하고 보호하기 위해 윈도우를 사용할 수도 있다. 윈도우는 예를 들어, 약 400 ㎚ 내지 1,500 ㎚ 범위의 파장들을 갖는 광을 포함하는, 하나 이상의 광원들에 의해 방출된 광 파장들에 투과성인 재료로 구성될 수도 있다. 일부 실시 예들에서, 이 재료는 석영, 사파이어, 사파이어 코팅을 갖는 석영, 또는 칼슘 플루오라이드 (calcium fluoride; CaF) 일 수도 있다.
일부 실시 예들에서, 기판 지지부는 복수의 발광 표면들 위에 포지셔닝되는 단일 윈도우를 가질 수도 있다. 도 1b를 참조하면, 기판 지지부 (100) 는 점선 내측 경계 (131) 및 외측 경계 (133) 를 갖는 음영으로 나타낸 환형, 링 형상을 갖는 윈도우 (134) 를 포함한다. 알 수 있는 바와 같이, 윈도우 (134) 는 중심 축 (111) 주위로 연장하고 발광 표면들 (122) 을 커버한다. 윈도우 (134) 의 내측 경계 (131) 의 내측 반경 R4는 발광 표면들 (122) 의 반경 R3보다 더 작을 수도 있고, 윈도우 (134) 의 외측 경계 (133) 의 반경 R5는 반경 R3보다 더 클 수도 있다. 윈도우 및 기판 지지부의 다른 피처들은 도 1c의 기판 지지부의 확대된 부분을 도시하는 도 1f에 더 예시된다. 여기서 알 수 있는 바와 같이, 윈도우는 외측 경계 (106) 와 발광 표면들 (122) 사이의 중심 축 (111) 을 따라 포지셔닝되도록 발광 표면들 (122) 위에 있다. 부가적으로, 윈도우 (134) 는 외측 경계 (106) 로부터 발광 표면들 (122) 의 오프셋 거리 D1보다 더 작은, 중심 축 (111) 에 평행한 거리 D2만큼 외측 경계 (106) 로부터 오프셋된다.
일부 실시 예들에서, 기판 지지부는 하나 이상의 발광 표면들 위에 포지셔닝된 복수의 윈도우들을 가질 수도 있다. 이는 예를 들어, 각각 중심 축을 중심으로 부분적으로 연장하는 복수의 환형 섹터 형상 부분들을 포함할 수도 있다. 이는 또한 예를 들어, 정사각형, 원형, 오브라운드 (obround), 타원형 (elliptical), 또는 다른 기하학적 형상의 윈도우들을 포함할 수도 있다. 일부 예들에서, 기판 지지부는 윈도우 각각이 하나의 발광 표면과 대응하도록, 즉, 발광 표면 각각이 고유의 대응하는 윈도우를 갖도록 발광 표면들의 수에 대응하는 다수의 윈도우들을 가질 수도 있다. 광섬유 케이블 및 레이저 소스들에 연결된 렌즈를 갖는 일부 실시 예들에서, 렌즈 자체는 윈도우일 수도 있고 따라서 석영, 사파이어, 사파이어 코팅된 석영, 또는 CaF (Calcium fluoride) 과 같은 하나 이상의 광원들에 의해 방출된 광 파장들에 투과성인 재료로 구성될 수도 있다. 일부 구현 예들에서, 윈도우의 두께는 예를 들어 약 0.5 ㎜ 내지 약 15 ㎜, 또는 약 1 ㎜ 내지 10 ㎜일 수도 있다.
기판 지지부의 하나 이상의 윈도우들은 또한 발광 표면들을 프로세싱 챔버 분위기로부터 유체적으로 격리시키도록 발광 표면들 둘레에 하나 이상의 플레넘 볼륨들을 생성하기 위해 광학 웨이퍼 에지 가열 유닛을 포함하여, 기판 지지부에 연결될 수도 있다. 이는 하우징 (125) 또는 하나 이상의 윈도우들과 함께 시일링된 플레넘 볼륨 (들)을 생성하는 다른 구조체 내에 발광 표면들을 포지셔닝하는 것을 포함할 수도 있다. 일부 실시 예들에서, 유체는 아르곤, 질소, 또는 헬륨과 같은 불활성 가스일 수도 있는 냉각 유체와 같은 이 플레넘 볼륨 내에서 흐를 수도 있다. 이 유체는 광학 웨이퍼 에지 가열 유닛의 온도를 제어하도록 사용될 수도 있다. 기판 지지부는 플레넘 볼륨 내에서 이 가스를 흘리기 위한 하나 이상의 유입구들 및 하나 이상의 유출구들을 가질 수도 있다. 하나 이상의 유입구들은 기판 지지부 내부에 적어도 부분적으로 라우팅될 수도 있는 유체 도관들을 포함할 수도 있는 챔버 외부의 불활성 가스 소스에 유체로 연결된다. 하나 이상의 유출구들은 또한 페데스탈 내에서 진행하는 (run) 유체 도관들을 통과할 수도 있는 배기구 또는 챔버 외부의 다른 분위기에 유체로 연결된다.
본 명세서에 제공된 기판 지지부는 또한 다른 온도 제어 엘리먼트들을 포함할 수도 있다. 예를 들어, 상기 제공된 바와 같이, 증착이 발생하도록 의도된 웨이퍼의 원주 에지 영역과 내측 영역 사이에 온도 차를 생성하는 것이 바람직하지만, 광학 웨이퍼 에지 가열은 원주 에지 영역을 내측 영역보다 더 높은 온도로 가열하기 때문에, 에지 영역의 부가된 열 에너지는 내측 영역에 방사상 내향으로 자연적으로 전도될 수도 있다. 이 내향 열 전도는 증착 프로세스들에 대한 내측 영역의 정밀한 온도 제어에 영향을 줄 수도 있고 이에 따라 웨이퍼 불균일도를 상승시키는 것과 같이 증착에 부정적으로 영향을 줄 수도 있기 때문에 원치 않은 것이다. 따라서 기판 지지부는 원주 에지 영역에서 부가된 열 에너지를 제거하는 열 브레이크 (thermal break), 또는 열 싱크를 생성하기 위한 능동 냉각 유닛을 포함할 수도 있다. 능동 냉각 유닛은 냉각제 채널들 및 중심 축 둘레로 연장하고, 복수의 발광 표면들로부터 방사상 내향으로, 그리고 냉각기에 열적으로 연결되는 기판 지지부의 베이스플레이트 내의 원주형 냉각 핀을 포함할 수도 있다.
도 1g는 부가적인 피처들을 갖는 도 1c의 측단면도를 도시한다. 여기서, 기판 지지부 (100) 는 점선 형상 내에 둘러싸이는 (encompass) 능동 냉각 유닛 (136) 을 갖고, 이는 하우징 (142) 내 하나 이상의 냉각 채널들 (140) 에 열적으로 연결된 (예를 들어, 금속 또는 금속 합금과 같은 열 전도성 재료에 물리적으로 연결되거나 이를 통해 연결된) 원주형 냉각 핀 (138) 을 포함한다. 원주형 냉각 핀 (138) 은 원주형 냉각 핀 (138) 이 발광 표면들 (122) 보다 중심 축 (111) 에 더 가깝도록 복수의 발광 표면들 (122) 로부터 방사상 내향으로 포지셔닝되고; 일부 예들에서, 원주형 냉각 핀 (138) 은 또한 도 1g에 예시된 바와 같이 광학 웨이퍼 에지 가열 유닛 (120) 의 방사상 내향으로 있을 수도 있다. 냉각 채널들 (140) 은 약 -20 ℃와 같은 저온으로 냉각되는, 물과 같은 열 전달 유체를 수용하도록 구성된다. 이는 기판 지지부가 위치되는 프로세싱 챔버 외부에 있는 냉각기 (chiller) 또는 냉각기 (cooler) 에 유체적으로 연결된 냉각 채널들 (140) 을 갖는 것을 포함할 수도 있다. 냉각 채널들 (140) 을 갖는 하우징 (142) 은 원주형 냉각 핀 (138) 에 의해 수용된 열을 기판 지지부 (100) 로부터 제거하기 위해 원주형 냉각 핀 (138) 과 냉각 채널들 (140) 사이에 열적 경로가 존재하도록 원주형 냉각 핀 (138) 에 열적으로 연결된다.
원주형 냉각 핀 (138) 은 상대적으로 작은 방사상 두께를 가질 수도 있고 가열된 원주 에지 영역에 가깝게 기판 지지부의 외측 경계에 가깝게 포지셔닝될 수도 있다. 이러한 방식으로 구성되고 포지셔닝된 원주형 냉각 핀 (138) 을 갖는 것은 증착이 발생하는 웨이퍼의 영역이 외측 경계 (106) 에 더 가깝게 하고, 따라서 더 커지게 하고, 이는 유리하게 증착 및 디바이스 생성을 위한 더 큰 영역을 제공하여, 웨이퍼의 수율을 상승시킨다. 이에 따라, 일부 구현 예들에서, 원주형 냉각 핀 (138) 의 방사상 두께 (144) 는 약 4 ㎜, 약 3 ㎜, 약 2 ㎜, 또는 약 1 ㎜ 이하일 수도 있다. 일부 실시 예들에서, 원주형 냉각 핀 (138) 은 예를 들어 약 4 ㎜, 약 3 ㎜, 약 2 ㎜, 약 1 ㎜, 약 0.5 ㎜, 또는 약 4 ㎜, 또는 약 0.25 ㎜ 이하일 수도 있는 외측 경계 (106) 로부터 방사상 거리 내에 포지셔닝될 수도 있다.
기판 지지부 및 원주형 냉각 핀의 구성은 도 1g의 기판 지지부의 단면 평면도 슬라이스를 도시하는 도 1h에 더 예시된다. 광학 웨이퍼 에지 가열 유닛 (120) 및 발광 표면들 (122) 은 기판 지지부 (100) 의 외측 경계 (106) 의 외부에서 방사상으로 오프셋되고 둘레에서 연장하는 것으로 보이고; 명확성을 위해, 외측 경계 (106) 는 두꺼운 굵은 선으로 도시된다. 교차 해칭 (cross-hatch) 으로 도시된, 원주형 냉각 핀 (138) 은 또한 기판 지지부 (100) 의 중심 축 (111) 둘레로 연장하고 둘러싸며 외측 경계 (106) 로부터 중심 축 (111) 을 향해 방사상 내향으로 포지셔닝되는 것으로 보인다. 원주형 냉각 핀 (138) 은 원주형 냉각 핀 (138) 의 방사상 두께 (144) 를 함께 적어도 부분적으로 규정하는 내측 반경 R6을 갖는 내측 경계 (141) 및 외측 반경 R7을 갖는 외측 경계 (143) 를 갖는다.
도 1h에 예시된 바와 같이, 일부 구현 예들에서, 원주형 냉각 핀 (138) 은 외측 경계 (106) 의 반경 R2가 원주형 냉각 핀 (138) 의 외측 반경 R7보다 더 크도록 외측 경계 (106) 로부터 방사상으로 오프셋될 수도 있다. 일부 다른 구현 예들에서, 원주형 냉각 핀 (138) 은 예를 들어, 기판 지지부의 외부 구조체의 일부인 원주형 냉각 핀 (138) 을 포함할 수도 있는 외측 경계 (106) 와 오버랩할 수도 있다. 원주형 냉각 핀 (138) 의 방사상 내향으로 기판 지지부 (100) 의 영역은 증착이 발생하는 웨이퍼의 영역일 수도 있다. 기판 지지부의 음영 처리된 원형 영역 (146) 에 의해 나타낸 이 내향 영역은 하나 이상의 가열 존들을 갖는 웨이퍼 가열 유닛을 사용하여 원주 에지 영역과 상이한 온도로 상부에 포지셔닝된 웨이퍼를 가열할 수도 있다.
상기 제공된 바와 같이, 증착이 발생하는 영역을 확대하기 위해 원주형 냉각 핀 (138) 의 방사상 두께를 감소시키는 것이 바람직하다. 따라서, 일부 구현 예들에서, 원주형 냉각 핀 (138) 의 방사상 두께 (144) 는 예를 들어 약 4 ㎜, 약 3 ㎜, 약 2 ㎜, 또는 약 1 ㎜ 이하일 수도 있다. 또한, 외측 경계 (106) 와 오버랩하는 것을 포함하여, 원주형 냉각 핀 (138) 을 외측 경계 (106) 에 가깝게 포지셔닝시키는 것이 유리할 수도 있다. 일부 이러한 실시 예들에서, 도 1h에 도시된 바와 같이, 원주형 냉각 핀 (138) 의 내측 반경 R6은 예를 들어, 약 4 ㎜ 미만, 약 3 ㎜ 미만, 약 2 ㎜ 미만, 또는 약 1 ㎜ 미만인, 중심 축 (111) 에 수직인 방사상 거리 RD1만큼 외측 경계 (106) 로부터 방사상으로 오프셋될 수도 있다. 이 거리는 능동 냉각 존이 원주형 환형 영역에 가까워지게 할 수 있고, 이는 증착 온도로 가열될 수도 있고 증착을 위해 사용될 수도 있는 원주형 냉각 핀 (138) 으로부터 방사상 내향으로 웨이퍼의 나머지 영역을 확대하고, 이에 따라 웨이퍼의 수율을 상승시키기 때문에 바람직하다.
다시 도 1g를 참조하면, 프로세싱 동안 웨이퍼의 온도를 제어하기 위한 열적 제어 시스템으로 간주될 수도 있는 웨이퍼 가열 유닛 (148) 을 포함하는, 기판 지지부 (100) 의 부가적인 피처들이 도시된다. 도 1g에서, 웨이퍼 가열 유닛 (148) 은 서로 동심이고 클램핑 전극들 (152) 밑에 포지셔닝된 3 개의 환형 저항 히터 트레이스들 (150a, 150b, 및 150c) 을 특징으로 하는 멀티-존 열 제어 시스템이다. 중심 저항 히터 트레이스들 (150a, 150b, 및 150c) 은, 일부 구현 예들에서, 일반적으로 원형 영역을 충진할 (fill) 수도 있고, 그리고 저항 히터 트레이스 (150a, 150b, 및 150c) 각각은 대응하는 환형 영역 내에서 일반적으로 구불 구불한 (serpentine) 경로 또는 그렇지 않으면 구불 구불한 (meander) 경로를 따를 수도 있다. 저항 히터 트레이스 (150a, 150b, 및 150c) 각각은 기판 지지부 내에 다양한 방사상 가열 프로파일들을 제공하도록 개별적으로 제어될 수도 있고; 일부 경우들에서, 이러한 3-존 가열 시스템은 예를 들어, ± 0.5 ℃의 온도 균일성을 갖도록 웨이퍼를 유지하기 위해 제어될 수도 있다. 기판 지지부 (100) 가 3-존 가열 시스템을 특징으로 하는 동안, 다른 구현 예들은 3 개 초과 또는 미만의 존들을 갖는 단일-존 또는 멀티-존 가열 시스템들을 사용할 수도 있다.
도 1g에 도시된 바와 같이, 일부 구현 예들에서 웨이퍼 가열 유닛 (148) 은 광학 웨이퍼 에지 가열 유닛 (120) 으로부터 분리된다. 웨이퍼 가열 유닛 (148) 은 기판 지지 베이스플레이트 내부에 포지셔닝되는 한편, 발광 표면들 (122) 은 웨이퍼 가열 유닛 (148) 의 하나 이상의 가열 존들로부터 방사상으로 오프셋되고 둘러싸는 것으로 보인다. 원주형 냉각 핀 (138) 은 또한 중심 축 (111) 에 대해, 웨이퍼 가열 유닛 (148) 과 발광 표면들 (122) 사이에 방사상으로 개재된다.
일부 구현 예들에서, 예를 들어, 웨이퍼 가열 유닛 (148) 의 온도 제어 메커니즘들은 저항 가열 트레이스들 대신 열 펌프들을 사용할 수도 있다. 예를 들어, 일부 구현 예들에서, 저항 히터 트레이스들은 일 측면으로부터 또 다른 측면으로 열을 "펌핑"하도록 제어될 수도 있는 펠티에 (Peltier) 접합부들 또는 다른 유사한 디바이스들에 의해 대체되거나 증강될 (augment) 수도 있다. 이러한 메커니즘들은, 예를 들어, 웨이퍼 지지 영역 (104) (및 따라서 웨이퍼) 로부터 열을 인출하고 베이스플레이트 (102) 및 열 교환 통로들 (154) 내로 열을 지향시키도록 사용될 수도 있고, 이에 따라, 원한다면 웨이퍼로 하여금 더 신속하고 더 효과적으로 가열 또는 냉각되게 한다.
기판 지지부 (100) 는 또한 베이스플레이트 (102) 전체에 걸쳐 일반적으로 분포된 방식으로 배치되는 하나 이상의 열 교환 통로들 (154) 을 포함할 수도 있고, 예를 들어, 열 교환 통로들 (154) 은 베이스플레이트 (102) 의 중심 둘레의 구불 구불한, 원형 스위치 백 (switchback), 또는 나선형 패턴을 따를 수도 있다. 열 교환 매체, 예를 들어, 물 또는 불활성 플루오르화된 액체는 사용 동안 열 교환 통로들 (154) 을 통해 순환될 수도 있다. 열 교환 매체의 플로우 레이트 및 온도는 베이스플레이트 (102) 에서 특정한 가열 또는 냉각 거동 (behavior) 을 발생시키도록 외부적으로 제어될 수도 있다.
일부 구현 예들에서, 냉각 시스템 (153) 이 기판 지지 베이스플레이트 (102) 의 내측 영역 (146) 에 대해, 예를 들어, 증착이 발생하는 웨이퍼의 내측 부분 및 능동 냉각 유닛 (136) 모두에 대해 냉각 시스템으로서 작용하는 것은 실현 가능하지 않을 수도 있다. 상기 언급된 바와 같이, 원주 에지 영역과 웨이퍼의 내측 영역 사이에 웨이퍼 온도 차를 생성하기 위해, 일부 구현 예들은 이 에지 영역의 과잉 열을 제거하도록 구성된 온도들을 사용하여 웨이퍼의 원주 영역을 능동적으로 냉각함으로써 원주 에지 영역의 부가적인 열을 제거한다. 반대로, 냉각 시스템 (153) 은 원주 에지 영역의 온도들보다 더 낮은 웨이퍼 가열 유닛 (148) 의 온도들의 온도 제어를 제공하고 냉각하도록 구성된다. 이 때문에, 프로세싱 동작들 동안, 냉각 시스템 (153) 은 웨이퍼 가열 유닛 (148) 의 온도들을 냉각하도록 구성되고, 따라서 웨이퍼 가열 유닛 (148) 으로부터 원주 에지 영역의 열 및 열 에너지 (heat and thermal energy) 보다 더 적은 목표된 양의 열 및 열 에너지를 제거한다. 따라서 냉각 시스템 (153) 은 웨이퍼의 내측 부분보다 더 높은 온도에 있는 원주 에지 영역으로부터 충분한 열을 제거하지 못할 수도 있다.
예를 들어, 웨이퍼 가열 유닛 (148) 은 50 ℃로 웨이퍼를 가열할 수도 있고 냉각 시스템 (153) 은 이 온도를 유지하기 위해 웨이퍼 가열 유닛 (148) 을 냉각하도록 구성된다. 웨이퍼의 내측 부분이 50 ℃에 있는 동안, 광학 웨이퍼 에지 가열 유닛은 웨이퍼의 원주 에지 영역을 75 ℃로 가열할 수도 있다. 능동 냉각 유닛 (136) 은 75 ℃에서 50 ℃로 웨이퍼를 냉각하도록 구성되는 한편, 냉각 시스템 (153) 은 50 ℃ 이하를 유지하기 위해 웨이퍼 가열 유닛 (148) 을 냉각하도록 구성된다. 이 때문에, 냉각 시스템 (153) 은 75 ℃로부터 50 ℃로 원주 에지 영역을 적절히 냉각시키기에 충분한 열을 제거하지 못할 수도 있다.
일부 구현 예들에서, 웨이퍼 가열 시스템으로부터 능동 냉각 유닛을 열적으로 격리시키기 위해 원주형 냉각 핀과 웨이퍼 가열 시스템 사이에 열적 절연체를 포함하는 것이 유리할 수도 있다. 상기 언급된 바와 같이, 웨이퍼 가열 시스템은 증착 동안 웨이퍼를 정밀하고, 정확하고, 균일하게 가열하도록 사용되고, 원주형 냉각 핀은 웨이퍼 가열 시스템으로부터 열을 제거하고 웨이퍼 상의 목표된 온도 프로파일 및 발생되는 증착에 부정적으로 영향을 줄 수도 있다. 다시 도 1g를 참조하면, 기판 지지부 (100) 는 중심 축 (111) 에 대해, 원주형 냉각 핀 (138) 과 웨이퍼 가열 유닛 (148) 사이에 방사상으로 개재된 열적 절연체 (156) 를 포함한다. 도 1h는 또한이 열적 절연체 (156) 를 도시한다. 일부 실시 예들에서, 열적 절연체는 에어 갭일 수도 있지만, 일부 예들에서 이는 알루미늄 옥사이드와 같은 세라믹, PEEK (polyether ether ketone) 와 같은 폴리머, 실리콘 고무와 같은 엘라스토머, 에어로겔, 또는 석영일 수도 있다.
도 1g에서, 기판 지지부 (100) 는 또한 기판으로 하여금 웨이퍼 지지 영역 (104) 에 대고 (against) 인출되게 하는 웨이퍼 지지 영역 (104) 상에 포지셔닝된 웨이퍼 내에 전하를 생성하도록 사용될 수도 있는 하나 이상의 클램핑 전극들 (152) 을 가질 수도 있는 정전 클램핑 전극 시스템을 포함한다. 일부 예들에서, 쌍극성 정전 클램핑 시스템을 제공하는 2 개의 클램핑 전극들이 있고 다른 구현 예들에서 단극성 정전 클램핑 시스템을 제공하기 위해 단일 클램핑 전극만이 사용될 수도 있다.
능동 냉각 유닛 및 광학 웨이퍼 에지 가열 유닛의 구성은 상이한 구현 예들에서 가변할 수도 있다. 도 1f에 도시된 바와 같이, 복수의 발광 표면들 (122) 을 포함하는 광학 웨이퍼 에지 가열 유닛 (120) 은 능동 냉각 유닛 (136) 의 냉각 채널들 (140) 과 함께 하우징 (142) 위에 포지셔닝된다. 이러한 실시 예들에서, 복수의 발광 표면들 (122) 은 웨이퍼 지지 영역 (104) 과 냉각 채널들 (140) 사이에 개재된 중심 축 (111) 을 따라 포지셔닝된다.
일부 다른 실시 예들에서, 복수의 발광 표면들은 냉각 채널들과 함께 하우징 아래에 위치될 수도 있고 하우징 내의 하나 이상의 포트들을 통해 웨이퍼 상으로 광을 방출하도록 구성될 수도 있다. 도 3은 개시된 실시 예들에 따른 또 다른 기판 지지부의 측단면도를 도시한다. 여기서, 기판 지지부 (300) 는 주지된 차이점들을 제외하고 상기 제공된 바와 유사하게 또는 동일하게 구성될 수도 있다. 도 3의 기판 지지부 (300) 는 중심 축 (311) 둘레로 연장하고 도 1g의 원주 냉각 핀 (138) 의 높이 H1보다 중심 축 (311) 을 따라 더 작은 높이 H2를 갖는 원주 냉각 핀 (338) 을 갖는 능동 냉각 유닛 (336) 을 포함한다. 더 짧은 원주형 냉각 핀 (338) 이 광학 웨이퍼 에지 가열 유닛 (320) 보다 웨이퍼 지지 영역 (304) 에 더 가깝게 냉각 채널들 (340) 을 갖는 하우징 (342) 을 포지셔닝함으로써 가능하다. 일부 구현 예들에서, 능동 냉각 유닛 (336) 의 이 포지셔닝 및 구성은 유리하게 기판 지지부 (300) 베이스플레이트 (302) 를 더 적게 냉각할 수도 있고, 이에 따라 기판 지지부의 나머지에 대한 열 효과를 감소시킬 수도 있고, 또한 하우징 (342) 과 웨이퍼 지지 영역 (304) 사이의 열적 경로 때문에 웨이퍼 지지 영역 (304) 상에서 목표된 온도를 달성하기 위해 더 적은 냉각을 사용하거나 요구할 수도 있다.
또한 도 3에서, 복수의 발광 표면들 (322) 을 포함하는 광학 웨이퍼 에지 가열 유닛 (320) 은 능동 냉각 유닛 (336) 아래에 포지셔닝된다. 이는 외측 경계 (306) 와 광학 웨이퍼 에지 가열 유닛 (320) 및 복수의 발광 표면들 (322) 사이의 중심 축 (311) 을 따라 포지셔닝되는 냉각제 채널들 (340) 및 하우징 (342) 을 발생시킨다. 이 포지셔닝을 인에이블하기 위해, 능동 냉각 유닛 (336) 은 복수의 발광 표면들 (322) 에 의해 방출된 광이 하우징 (342) 을 통해 그리고 웨이퍼 상으로 통과할 수 있게 하도록 구성된다. 도 3에 도시된 바와 같이, 하우징 (342) 은 복수의 발광 표면들 (322) 로부터의 광이 웨이퍼 지지 영역 (304) 상에 포지셔닝된 웨이퍼로 통과할 수도 있는 홀, 슬롯, 또는 다른 개구부와 같은 하나 이상의 포트들 (358) 을 포함한다. 하나 이상의 포트들 (358) 은 발광 표면들 (322) 을 통과하는 광, 예컨대 광 (326) 이 웨이퍼 지지 영역 (304) 상의 웨이퍼에 도달하기 위해 하나 이상의 포트들 (358) 을 통과하도록 발광 표면들 (322) 에 연결된다.
일부 구현 예들에서, 상기와 유사하게, 하나 이상의 포트들 (358) 은 프로세스 가스들로부터 하나 이상의 포트들 (158) 및/또는 발광 표면들 (322) 을 보호하기 위해 그 위 및/또는 내부에 포지셔닝된 윈도우 (334) 를 가질 수도 있다. 윈도우 (334) 는 발광 표면들 (322) 로부터의 광에 대해 투과성인 것을 포함하고, 다양한 방식으로 광을 지향시키는 렌즈를 갖고, 그리고 석영 또는 사파이어와 같은 재료로 제조되는 것을 포함하여, 상기 논의된 바와 같이 구성될 수도 있다. 도 3에서, 윈도우 (360) 가 포트들 (358) 의 단부에 도시된다.
본 명세서에 기술된 기판 지지부의 피처들은 하나 이상의 클램핑 전극들을 갖는 정전 척 (ESC) 또는 클램핑 전극들을 갖지 않는 페데스탈과 같은 기판을 지지하도록 사용된 임의의 구조체에 적용 가능하다.
장치들 및 증착 기법들
본 명세서에 제공된 기판 지지부들은 다양한 증착 프로세싱 챔버들 및 기법들의 일부로서 사용될 수도 있다. 일부 이러한 프로세싱은 극 자외선 (Extreme ultraviolet; EUV) 리소그래피이다. EUV 리소그래피는 아래에 놓인 (underlying) 층들을 에칭하는 데 사용하기 위해 마스크들을 형성하도록 패터닝된 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 폴리머-기반 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 일 수도 있다.
"습식" 막 형성 기법의 일 형태인 스핀-온 기법들은 매우 균일한 두께의 막을 생성하기 위해, 턴테이블 상에 편평한 기판을 배치하고, 기판의 중심에 일정량의 액체 막 구성 성분 (constituent) 을 증착하고, 이어서 일반적으로 높은 속도, 예를 들어, 30 내지 60 초 동안 초당 20 내지 80의 회전 수로 기판을 회전시키는 것을 수반한다. 딥-코팅은 또 다른 타입의 습식 막 형성 기법으로서, 기판이 수직 방향에 평행한 주 면들로 배향되고 이어서 액체 막 구성 성분의 배스 (bath) 에 침지되고 이어서 인출된다. 그러나, 액체 구성 성분의 사용으로 인해, "습식" 막 형성 기법들은 비 편평한 기판들, 예를 들어 노출된 상부 표면에 에칭된 기존의 피처 패턴들을 갖는 기판들을 코팅하는데 잘 맞지 않을 수도 있다. 예를 들어, 기판이 편평하지 않다면, 예를 들어, 코팅될 표면 내로 패터닝된 기존 피처들을 갖고, 액체 구성 성분은 이들 피처들을 충진하는 경향이 있을 것이고, 기판의 피처링되지 않은 부분들과 기판의 피처 부분들 사이의 가변 막 두께를 야기할 것이다 (증착된 막의 최상부 표면이 명목상 평탄하고 균일할 수도 있지만, 증착된 막의 깊이들은 아래에 놓인 피처 존재에 따라 가변할 수도 있다).
이와 대조적으로, 다른 유사한 기법들뿐만 아니라, 기상 증착 기법들로도 지칭되는 건식 증착 기법들은 막 구성 성분을 증기상 (vapor-phase) 반응 물질로서 기판에 전달하고, 이어서 일반적으로 컨포멀한 (conformal), 균일한 두께의 층의 기판의 노출된 표면 상에 흡착하거나 응결한다. 그 결과, 증착된 막 층의 두께는 일반적으로 기판의 피처링되지 않은 영역이든 피처링되지 않은 영역이든 상관 없이 기판에 걸쳐 균일하게 유지될 수도 있다. 이러한 증착 기법들은 일부 경우들에서 타깃 기판 상에 막 구성 성분의 응결이 있을지라도 "습식" 기법들로 간주되지 않는다는 것이 이해되어야 한다. 본 명세서에 논의된 바와 같은 건식 증착 프로세스들에 대한 또 다른 주요 이점은 이러한 프로세스들이 상이한 온도 및 압력 분위기들의 범위에서 수행될 수도 있고, 종종 부압 (sub-atmospheric) 조건들에서 수행된다는 것이다. 이는 습식 증착 프로세스를 사용하여 등가의 막을 생성하는데 필요한 것보다 훨씬 더 적은 양의 반응 물질들이 미리 결정된 (given) 포토레지스트 막을 생성하도록 사용되게 한다. 이는 습식 증착 기법들을 사용하여 등가의 막들을 제공하는 것보다 이러한 막들을 제공하기 위한 재료 비용을 감소시킨다. 건식 증착 프로세스들은 또한 생성되는 기판들이 포토레지스트 층을 도포한 후 기판을 건조할 필요가 거의 없거나 전혀 없기 때문에 더 높은 레이트로 후속 프로세싱 페이즈들을 위해 준비될 수 있기 때문에 더 낮은 쓰루풋 패널티를 발생시킨다.
금속 옥사이드-함유 막은 30 ㎚ 이하의 패터닝 분해능 (patterning resolution) 을 제공하는 진공 분위기에서 EUV 노출에 의해 바로 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있다. 일반적으로, 패터닝은 레지스트 내에 포토패턴을 형성하기 위해 EUV 복사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다. 이어서 마스크는 후속 프로세싱 동작들, 예를 들어, 에칭 프로세스들에서 사용될 수도 있다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드-함유 재료들은 EUV 광자 흡착을 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다.
EUV-감응성 (sensitive) 금속 또는 금속 옥사이드-함유 막은 기판 상에 건식 증착될 수도 있다. 본 개시에 따른 적합한 조성들, 재료들 및 건식 증착 프로세싱 동작들의 일부 특성들은 본 개시에 적용 가능한 이들 방법들 및 재료들의 개시를 위해 본 명세서에 참조로서 인용된다. 이러한 방법들은 중합된 (polymerized) 유기금속 재료들이 증기상 (vapor phase) 으로 생성되고, 기판 상에 증착되는 것을 포함한다. 특히, 반도체 기판의 표면 상에 EUV-패터닝 가능한 박막들을 제조하기 위한 방법들은: 중합된 유기금속 재료를 형성하도록 유기금속 전구체의 증기 스트림을 대응-반응물질 (counter-reactant) 의 증기 스트림과 혼합하는 단계; 및 반도체 기판의 표면 상에 유기금속 중합체-유사 재료를 증착하는 단계를 포함할 수도 있다. 일부 실시 예들에서, 2 개 이상의 유기금속 전구체가 증기 스트림에 포함된다. 일부 실시 예들에서, 2 개 이상의 대응-반응 물질이 증기 스트림에 포함된다. 일부 실시 예들에서, 혼합 및 증착 동작들은 연속적인 CVD (chemical vapor deposition), ALD (atomic layer deposition) 프로세스, 또는 CVD 컴포넌트를 사용한 ALD, 예컨대, 금속 전구체들 및 대응-반응 물질들이 시간 또는 시간 및 공간에서 분리되는 불연속적인 ALD-유사 프로세스로 수행되고, 예를 들어, 일부 ALD-타입 프로세스들에서, 하나 이상의 유기금속 전구체들이 기판 상으로 흐를 수도 있고 기판은 이어서 하나 이상의 대응-반응 물질들이 기판 상으로 흐를 수도 있는, 또 다른 프로세싱 스테이션 또는 또 다른 프로세싱 챔버로 이동될 수도 있다. 본 명세서에서 단순히 "반응 물질들"에 대한 참조는 유기금속 전구체 및 대응-반응 물질 모두를 지칭하도록 의도된다는 것이 이해될 것이다, 예를 들어, "반응 물질들의 동시 플로우"는 유기금속 전구체 및 대응-반응 물질의 동시 플로우를 지칭할 것이다.
증착에 이어서, EUV-패터닝 가능한 박막은 웨이퍼 상에 패터닝될 피처들을 갖는 광학 마스크를 통과하는 EUV 광의 빔에 박막을 갖는 웨이퍼를, 통상적으로 상대적으로 고 진공 하에 노출시키고, 이어서 진공으로부터 웨이퍼를 제거하고 선택 가능하게 (optionally) 주변 공기 (ambient air) 에서 노출 후 소성 (post exposure bake) 을 수행함으로써 패터닝된다. 노출은 막이 EUV 광에 노출되지 않은 하나 이상의 비노출 (unexposed) 영역들을 포함하도록, 하나 이상의 노출된 영역들을 발생시킨다. 코팅된 기판의 추가 프로세싱은 노출된 영역들 및 비노출 영역들에서 화학적 및 물리적 차이들을 활용할 (exploit) 수도 있다.
기판들은 포토리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체-기반 디바이스들의 생산에 적합한 임의의 재료 구성을 포함할 수도 있다. 일부 실시 예들에서, 이러한 기판들은 실리콘 웨이퍼들일 수도 있다. 피처들이 생성된 기판들 ("아래에 놓인 피처들") 은 불규칙한 표면 토포그래피 (본 명세서에 언급된 바와 같이, "표면"은 본 개시의 막이 증착되거나 프로세싱 동안 EUV에 노출될 표면이다) 를 가질 수도 있다. 이러한 아래에 놓인 피처들은 이 개시의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 개시의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에서 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다.
앞서 논의된 바와 같이, EUV-감응성 박막들은 마스크 층을 생성하도록 기판 상에 증착될 수도 있다. 이러한 EUV-감응성 막들은 후속 EUV 리소그래피 및 프로세싱을 위한 레지스트로서 동작할 수도 있고, EUV에 노출될 때, 더 치밀한 M-O-M 결합된 금속 옥사이드 재료들로의 교차 결합을 허용하는 저 밀도 M-OH 풍부 재료들에서 금속 원자들에 결합된 벌크 펜던트 치환기들의 손실과 같은 변화들을 겪는 재료들을 포함할 수도 있고, 여기서 M은 높은 EUV 흡수 단면을 갖는 금속이다. EUV 패터닝을 통해, 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출 영역 또는 노출되지 않은 영역을 용해시키도록, 또는 노출 영역 또는 노출되지 않은 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 노출되지 않은 막은 이러한 후속 프로세싱이 수행되는 조건들 하에서 노출된 막보다 더 소수성인 (hydrophobic) 표면을 갖는다. 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이를 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 이하에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
다양한 실시 예들에서, 박막들은 유기금속 (organometallic) 재료들, 예를 들어 SnO x 를 포함하는 유기 주석 재료들, 또는 다른 금속 옥사이드 재료들/모이어티들 (moieties) 이다. 유기금속 화합물들은 대응-반응 물질 (counter-reactant) 과 유기금속 전구체의 증기상 (vapor phase) 반응으로 제조될 수도 있다. 다양한 실시예들에서, 유기금속 화합물들은 대응-반응물질들과 벌키 알킬기 또는 플루오로알킬을 갖는 유기금속의 특정한 조합을 혼합하고, 기판 상에 증착하는 저밀도, EUV-감응성 재료를 생성하기 위해 증기상의 혼합물을 중합하여 형성된다.
다양한 실시예들에서, 유기금속 전구체들은 증기상 반응에서 살아남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함할 수도 있지만, 금속 원자에 배위결합된 다른 리간드들 (ligands) 또는 이온들은 대응-반응물질에 의해 대체될 수 있다. 유기금속 전구체들은 M a R b L c 의 화학식을 포함하고, M은 고 EUV 흡수 단면을 갖는 금속이고; R은 CnH2n+1과 같은 알킬이고, 바람직하게 여기에서 n ≥ 2이고; L은 대응-반응 물질과 반응하는 리간드, 이온 또는 다른 모이어티이고; a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다.
다양한 실시 예들에서, M은 1 x 1072/㏖ 이상의 원자 흡수 단면을 갖는다. M은 예를 들어, 주석, 비스무트, 안티몬, 텔루륨, 또는 이들의 둘 이상의 조합들과 같은 재료일 수도 있다. 일부 실시 예들에서, M은 주석이다. R은 플루오르화될 수도 있고, 예를 들어, 화학식 CnFxH2n+1을 갖는다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 또는 이들 중 2 개 이상의 혼합물일 수도 있다. L은 M-OH 모이어티, 예컨대 아민 (예컨대 디알킬아미노 또는 모노알킬아미노기), 알콕시기, 카르복실레이트, 할로겐, 또는 이들 중 2 개 이상의 혼합물들인 모이어티를 생성하도록 대응-반응 물질에 의해 쉽게 치환될 수도 있다.
유기금속 전구체들은 임의의 폭넓고 다양한 후보 금속-유기 전구체들일 수도 있다. 예를 들어, M이 주석이면, 이러한 전구체들은 t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 (트리스)디메틸아미노 주석, sec-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스)디메틸아미노 주석, n-프로필 트리스(디에틸아미노) 주석, 및 t-부틸 트리스(t-부톡시) 주석과 같은 유사한 알킬(트리스)(t-부톡시) 주석 화합물들을 포함한다. 일부 실시 예들에서, 유기금속 전구체들은 부분적으로 플루오르화될 수도 있다.
대응-반응 물질들은 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 상기 화학식 1의 L) 을 대체하는 능력을 갖도록 선택될 수도 있다. 대응-반응 물질들은 물, 과산화물들 (예를 들어, 과산화수소), 디하이드록시 알코올들 또는 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올들 또는 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 및 하이드록실 모이어티들의 다른 소스들을 포함할 수 있다. 다양한 실시 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 유기금속 전구체와 반응한다. 다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합할 수 있는 수소 설파이드 및 수소 디설파이드를 포함한다.
박막들은 막의 화학적 또는 물리적 특성들을 수정하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 유기금속 전구체 및 대응-반응 물질들에 더하여 선택 가능한 (optional) 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 예를 들어, 기판 상의 막의 증착 전, 막의 증착 후, 또는 모두에 증기상 형성 동안 도핑에 의해 도입될 수도 있다. 일부 실시 예들에서, 순한 리모트 H2 플라즈마는 일부 Sn-L 결합들을 Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 상승시킬 수 있다.
다양한 실시 예들에서, EUV-패터닝 가능한 막들이 당업계에 공지된 기상 증착 장비 및 프로세스들을 사용하여 기판 상에 증착될 수도 있다. 이러한 프로세스들에서, 중합된 유기금속 재료는 증기상으로 또는 기판의 표면 상에 인 시츄 (in situ) 형성될 수도 있다. 기판 상에 이러한 중합된 유기금속 재료를 형성하기 위한 적합한 프로세스들은 예를 들어, CVD (chemical vapor deposition), ALD (atomic layer deposition), 또는 CVD 컴포넌트를 사용한 ALD, 예컨대 금속 전구체들 및 대응-반응 물질들은 시간 또는 시간 및 공간에서 분리된 불연속적인 ALD-유사 프로세스를 사용하여 증착하는 것을 포함한다.
일반적으로, 방법들은 중합된 유기금속 재료를 형성하기 위해 유기금속 전구체의 증기 스트림을 대응-반응 물질 (counter-reactant) 의 증기 스트림과 혼합하는 단계 및 이어서 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함할 수도 있다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들을 형성하기 위해 개별적인 유입구 경로들 내의, 유기금속 전구체의 2 개 이상의 가스 스트림들 및 대응-반응 물질의 소스는, 이들이 가스상으로 혼합되고 반응할 수도 있는, CVD 장치의 증착 챔버 내로 도입될 수도 있다. 스트림들은 예를 들어, 별도의 주입 유입구들을 사용하여 또는 듀얼-플레넘 샤워헤드를 통해 증착 챔버 내로 개별적으로 도입될 수도 있다. 장치는 유기금속 전구체의 플로우들 및 대응-반응 물질이 증착 챔버에서 혼합되도록 구성될 수도 있고, 중합된 유기금속 재료를 형성하기 위해 유기금속 전구체 및 대응-반응 물질로 하여금 반응하게 한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응 물질에 의해 교차 결합되기 때문에 이러한 증기상 반응으로부터의 생성물이 분자량이 더 무거워지고, 이어서 응결되거나 그렇지 않으면 기판 상에 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크들의 형성을 방지하고, 다공성, 저 밀도 막들을 생성한다.
CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 10 mTorr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 기판의 온도는 바람직하게 반응 물질 스트림들의 온도 이하로 유지될 수도 있다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃, 또는 주변 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에서 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생할 수도 있다.
기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 증착 지속 기간 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 0.5 ㎚ 내지 100 ㎚의 범위일 수도 있고 레지스트 막의 전체 흡수는 30 % 이하 (예를 들어, 10 % 이하, 또는 5 % 이하) 일 수도 있어서 레지스트 막의 하단부에서 레지스트 재료가 충분히 노출된다. 일부 실시 예들에서, 막 두께는 10 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성을 제한하지 않고, 당업계의 습식, 스핀-코팅 프로세스들과 달리, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 더 적은 제한들을 갖고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
증착된 막은 예를 들어, 스캐너 또는 다른 리소그래피 포토패턴 전사 툴을 사용하여 막의 하나 이상의 영역들을 EUV 광에 노출시킴으로써 패터닝될 수도 있다. 본 명세서에 유용한 것들 중 EUV 디바이스들 및 이미징 (imaging) 방법들은 당업계에 공지된 방법들을 포함한다. 특히, 상기 논의된 바와 같이, 막의 노출된 영역들은 막의 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들을 변경할 수도 있는 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서, 금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 액세스 가능한 금속 하이드라이드 기능성들을 남기고, 이는 네거티브 톤 레지스트 (negative tone resist) 또는 하드 마스크에 대한 템플릿 (template) 으로서 화학적 콘트라스트 (contrast) 를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 더 많은 수의 베타-H는 더 감응성 막을 발생시킨다. 노출에 이어, 막은 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 예를 들어, 150 내지 250 ℃의 온도에서 소성될 수도 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는 노출되지 않은 영역들을 용해시키고 또는 노출된 영역들 상에 재료들을 증착하는 것과 같은 후속 프로세싱에 이용될 수도 있다. 예를 들어 패턴은 금속 옥사이드-함유 마스크를 형성하기 위해 건식 방법을 사용하여 현상될 수 있다.
이러한 건식 현상 프로세스들은 HBr 또는 HCl과 같은 수소 할라이드 건식 현상 화학물질을 흘리는 동안 수행될 수도 있는 순한 플라즈마 (고압, 저전력) 또는 열 프로세스를 사용함으로써 수행될 수 있다. 일부 실시예들에서, 수소 할라이드는 비노출 재료를 신속히 제거할 수 있고, 이어서 플라즈마 기반 에칭 프로세스들, 예를 들어 종래의 에칭 프로세스들의 후속 적용에 의해 아래에 놓인 기판 층들 내로 전사될 수 있는 노출된 막의 패턴을 남긴다.
적합한 플라즈마-기반 건식 현상 프로세스들은 TCP (transformer coupled plasma), ICP (inductively coupled plasma), 또는 CCP (capacitively coupled plasma) 프로세스들의 사용을 포함할 수도 있고, 당업계에 공지된 것들 중에서 장비 및 기법들을 사용하여 구현될 수도 있다. 예를 들어, 플라즈마-기반 현상 프로세스는 5 mT 초과 압력 (예를 들어, 15 mT 초과), 1000 W 미만의 전력 레벨 (예를 들어, 500 W 미만) 로 수행될 수도 있다. 온도들은 1 내지 3000 초 (예를 들어, 10 초 내지 600 초) 동안 100 내지 1000 sccm (standard cubic centimeters per minute), 예를 들어, 약 500 sccm의 플로우 레이트로 0 내지 300 ℃ (예를 들어, 30 내지 120 ℃) 일 수도 있다.
열적 현상 프로세스들에서, 기판은 건식 현상 화학 물질에 노출될 수도 있다. 이러한 열 현상 프로세스들을 수행하기 위해 적합한 챔버들은 진공 라인, 챔버에 건식 현상 화학 물질 가스들을 제공하기 위한 하나 이상의 건식 현상 화학 물질 가스 라인들, 및 챔버의 온도 제어를 허용하는 히터들을 포함할 수도 있다. 일부 실시 예들에서, 챔버 내부는 유기 중합체들 또는 무기물 코팅들과 같은 부식 내성 막들로 코팅될 수도 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 ((PTFE), 예를 들어, Teflon™) 이다. 이러한 재료들은 본 개시의 열적 프로세스들에서 사용될 수 있지만, 이러한 코팅은 플라즈마 노출에 의한 제거의 위험으로 인해 플라즈마-기반 프로세스들에 적절하지 않을 수도 있다.
현재 EUV 레지스트 코팅 기술은 통상적으로 대기 분위기, 예를 들어, 통상적인 대기압들에서 도포되는 스핀-온 포토레지스트를 사용한다. 이 기법은 일반적으로 대기 제어 또는 영향을 허용하지 않고 단일 화학 혼합물만이 전체 막 스택에 도포되게 한다. 스핀-온 기법들은 또한 막이 형성될 비 평면형 표면들을 갖는 기판들에 대해 균일한 포토레지스트 층 두께를 제공하지 않는다.
앞서 언급된 바와 같이, 건식 증착 기법들은 습식 증착 기법들이 이미 존재하는 (pre-existing) 피처들을 갖는 기판들과 관련하여 겪는 두께 불균일도 이슈들을 겪지 않는 포토레지스트 층들을 생성하도록 사용될 수도 있다. 이러한 건식 증착 기법들은 포토레지스트 막 증착 챔버를 사용하여 수행될 수도 있다. 예시적인 포토레지스트 막 증착 챔버가 도 4에 도시된다.
도 4에서, 리드 (408) 를 포함하는 프로세싱 챔버 (402) 를 갖는 장치 (401) 가 도시된다. 기판 지지부 (100 또는 300) 를 포함하여, 상기에 기술된 임의의 기판 지지부들일 수도 있는 기판 지지부 (400) 상에 기판 (422) 이 배치될 수도 있는, 프로세싱 챔버 (402) 는 기판 (422) 이 통과하게 하고 프로세싱 챔버 (402) 의 내부로 들어가게 하도록 사이징되는 프로세싱 챔버 (402) 의 벽들 중 하나를 통한 웨이퍼 이송 통로 (404) 를 포함할 수도 있다. 웨이퍼 이송 통로 (404) 는 웨이퍼 이송 통로를 시일링하거나 시일링 해제하도록 (unseal) 동작될 수도 있는 게이트 밸브 (406) 또는 유사한 도어 메커니즘을 가질 수도 있고, 이에 따라 프로세싱 챔버 (402) 내 분위기로 하여금 게이트 밸브 (406) 의 다른 측면 상의 분위기로부터 격리되게 한다. 예를 들어, 프로세싱 챔버 (402) 는 인접한 이송 챔버 내에 위치된 웨이퍼 핸들링 로봇을 통해 기판들 (422) 이 제공될 수도 있다. 이러한 이송 챔버는 예를 들어, 주변부 둘레에 배치된 복수의 프로세싱 챔버들 (402) 을 가질 수도 있고, 이러한 프로세싱 챔버 (402) 각각은 대응하는 게이트 밸브 (406) 를 통해 이송 챔버와 연결된다.
상기 제공된 바와 같이, 웨이퍼 지지부 (424) 는 예를 들어 도 1a 내지 도 1g 및 도 3의 기판 지지부들을 포함하여, 본 명세서에 제공된 임의의 기판 지지부들일 수도 있다. 도 4는 도 1c의 기판 지지부 (400) 를 도시하고, 예를 들어, 광학 웨이퍼 에지 가열 유닛 (120) 및 웨이퍼 지지 영역 (104) 을 포함한다. 도 4에 도시되지 않았지만, 기판 지지부 (400) 는 또한 본 명세서에 상기 기술된 능동 냉각 유닛 (136) 및/또는 웨이퍼 가열 유닛 (148) 을 포함할 수도 있다.
기판 지지부 (400) 는 예를 들어, 웨이퍼 지지 컬럼 (444) 과 연결되고 웨이퍼 지지 컬럼 (444) 에 의해 지지되는 웨이퍼 지지 하우징 (442) 에 의해 지지될 수도 있다. 웨이퍼 지지 컬럼 (444) 은 예를 들어, 기판 지지부 (400) 의 베이스플레이트의 아랫면으로 케이블링, 유체 플로우 도관들, 및 다른 장비를 라우팅하기 위한 다른 패스-쓰루들 (pass-throughs) 이외의 라우팅 통로 (448) 를 가질 수도 있다. 예를 들어, 도 4에 도시되지 않았지만, 클램핑 전극들로의 전력을 제공하기 위해 케이블링될 수도 있기 때문에, 광 웨이퍼 에지 가열 유닛 (120), 능동 냉각 유닛 (136), 및 웨이퍼 가열 유닛 (148) 에 전력을 제공하기 위한 케이블링은 라우팅 통로 (448) 를 통해 라우팅될 수도 있다. 과도한 혼란 (clutter) 을 방지하기 위해, 이러한 케이블들 및 도관들은 도 4에 도시되지 않지만, 그럼에도 불구하고, 이들이 존재할 것이라는 것이 이해되어야 한다.
도 4의 장치 (401) 는 또한 웨이퍼 지지 컬럼 (444) 에 이동 가능한 지지를 제공할 수도 있는 기판 지지 z-액추에이터 (446) 를 포함한다. 웨이퍼 지지 z-액추에이터 (446) 는 웨이퍼 지지 컬럼 (444) 및 이에 의해 지지된 기판 지지부 (400) 로 하여금 프로세싱 챔버 (402) 의 반응 공간 (420) 내에서 수직으로, 예를 들어, 수 인치까지 위로 또는 아래로 이동하게 하도록 작동될 수도 있다. 그렇게 함에 있어서, 기판 (422) 과 샤워헤드 (410) 의 아랫면 사이의 갭 거리 X는 다양한 프로세스 조건들에 따라 튜닝될 수도 있다.
장치 (401) 는 또한 프로세싱이 종료되는 동안 그리고 프로세싱이 종료된 후 프로세싱 챔버 (402) 로부터 프로세스 가스들을 제거하기 위한 시스템을 포함할 수도 있다. 예를 들어, 프로세싱 챔버 (402) 는 웨이퍼 지지 컬럼 (444) 을 둘러싸는 환형 플레넘 (456) 을 포함할 수도 있다. 환형 플레넘 (456) 은 결국, 예를 들어 장치 (401) 아래의 서브플로어 밑에 위치될 수도 있는 것과 같이, 진공 펌프와 연결될 수도 있는 진공 포어라인 (foreline) (452) 과 유체적으로 연결될 수도 있다. 레귤레이터 밸브 (454) 가 진공 포어라인 (452) 과 프로세싱 챔버 (402) 사이에 제공될 수도 있고 진공 포어라인 (452) 내로의 플로우를 제어하도록 작동될 수도 있다. 일부 구현 예들에서, 웨이퍼 지지 컬럼 (444) 의 원주 둘레에 더 고르게 분포된 환형 플레넘 (456) 내로의 플로우를 만들도록 기능할 수도 있는 배플 (450), 예를 들어, 환형 플레이트 또는 다른 구조체가 기판 (422) 에 걸쳐 흐르는 반응 물질들에서 플로우 불균일도들의 발생 기회들을 감소시키도록 제공될 수도 있다.
도시된 바와 같이, 샤워헤드 (410) 는 듀얼-플레넘 샤워헤드 (410) 이고 제 1 유입구 (416) 를 통해 프로세스 가스가 제공되는 제 1 플레넘 (412) 및 제 2 유입구 (418) 를 통해 프로세스 가스가 제공되는 제 2 플레넘 (414) 을 포함한다. 샤워헤드 (410) 는, 일부 구현 예들에서, 3 개 이상의 플레넘들을 가질 수도 있지만, 일반적으로 2 개의 플레넘들은 프로세싱 챔버 (402) 의 반응 공간 (420) 으로 유기금속 전구체와 대응-반응 물질의 방출 (release) 전에 유기금속 전구체와 대응-반응 물질 사이의 분리를 유지하기 위해 필요한 최소값이다. 플레넘 각각은 샤워헤드 (410) 의 대면 플레이트를 통해 반응 공간 (420) 과 각각의 플레넘을 유체적으로 연결하는 가스 분배 포트들의 대응하는 세트를 가질 수도 있다 (대면 플레이트는 최하부 플레넘과 반응 공간 (420) 사이에 개재되는 샤워헤드 (410) 의 부분이다).
샤워헤드 (410) 의 제 1 유입구 (416) 및 제 2 유입구 (418) 는 본 명세서에 앞서 논의된 바와 같이, 하나 이상의 유기금속 전구체들 및 대응-반응 물질들을 제공하도록 구성될 수도 있는, 가스 공급 시스템을 통해 프로세싱 가스들이 제공될 수도 있다.
도시된 장치 (401) 는 그러나, 복수의 유기금속 전구체들 및 복수의 대응-반응 물질들을 제공하도록 구성된다. 예를 들어, 제 1 밸브 매니폴드 (468a) 는 제 1 유입구 (416) 에 유기금속 전구체들을 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니폴드 (468b) 는 대응-반응 물질을 제 2 유입구 (418) 에 제공하도록 구성될 수도 있다.
이 예에서, 제 1 밸브 매니폴드 (468a) 는 예를 들어, 복수의 밸브들 (A1 내지 A5) 을 포함한다. 밸브 A2는, 예를 들어, 제 1 기화기 (472a) 와 유체로 연결된 일 포트, 바이패스 라인 (470a) 과 유체로 연결된 또 다른 포트, 및 또 다른 3-방향 밸브 A3 상의 포트와 유체로 연결된 제 3 포트를 갖는 3-웨이 밸브일 수도 있다. 유사하게, 밸브 A4는 제 2 기화기 (472b) 와 유체로 연결된 일 포트, 바이패스 라인 (470a) 과 유체로 연결된 또 다른 포트, 및 또 다른 3-방향 밸브 A5 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 또 다른 3-웨이 밸브일 수도 있다. 밸브 A5 상의 다른 포트들 중 하나는 제 1 유입구 (416) 와 유체적으로 연결될 수도 있는 한편, 밸브 A5 상의 나머지 포트는 밸브 A3 상의 나머지 포트들 중 하나와 유체적으로 연결될 수도 있다. 밸브 A3 상의 나머지 포트는 결국 밸브 A3와 퍼지 가스 소스 (474), 예를 들어 질소, 아르곤, 또는 (유기금속 전구체 및/또는 대응-반응 물질에 대해) 다른 적합하게 불활성인 가스 사이에 유체적으로 개재될 수도 있는 밸브 A1와 유체적으로 연결될 수도 있다.
본 개시의 목적들을 위해, 용어 "유체적으로 연결된 (fluidically connected)"은 용어 "전기적으로 접속된"이 전기적 접속을 형성하도록 함께 연결되는 컴포넌트들에 대해 사용되는 방법과 유사하게, 유체 연결부를 형성하기 위해 서로 연결될 수도 있는, 볼륨들, 플레넘들, 홀들, 등에 대해 사용된다. 용어 "유체적으로 개재된 (fluidically interposed)"은, 사용된다면, 적어도 2 개의 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들과 유체적으로 연결된 컴포넌트, 볼륨, 플레넘, 또는 홀을 지칭하도록 사용될 수도 있어서, 이들 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 하나로부터 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것으로 흐르는 유체가 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것에 도달하기 전에 "유체적으로 개재된" 컴포넌트를 통해 먼저 흐를 것이다. 예를 들어, 펌프가 저장부와 유출구 사이에 유체적으로 개재된다면, 저장부로부터 유출구로 흐르는 유체는 유출구에 도달하기 전에 먼저 펌프를 통해 흐를 것이다.
제 1 밸브 매니폴드 (468a) 는, 예를 들어, 기화기들 (472a 및 472b) 중 하나 또는 모두로부터의 증기들로 하여금 프로세싱 챔버 (402) 로 또는 제 1 바이패스 라인 (470a) 을 통해 그리고 진공 포어라인 (452) 내로 흐르게 하도록 제어 가능할 수도 있다. 제 1 밸브 매니폴드 (468a) 는 또한 퍼지 가스로 하여금 퍼지 가스 소스 (474) 로부터 제 1 유입구 (416) 내로 흐르게 하도록 제어 가능할 수도 있다.
예를 들어, 제 1 기화기 (472a) 로부터 반응 공간 (420) 내로 증기를 흘리기 위해, 밸브 A2는 제 1 기화기 (472a) 로부터 증기로 하여금 제 1 바이패스 라인 (470a) 내로 먼저 흐르게 하도록 작동될 수도 있다. 이 플로우는 증기의 플로우가 정상 상태 플로우 조건들에 도달하게 하기에 충분한 시간 기간 동안 유지될 수도 있다. 충분한 시간이 경과한 후 (또는 사용된다면, 유량계가 플로우 레이트가 안정하다는 것을 나타낸 후), 밸브들 (A2, A3, 및 A5) 은 제 1 기화기 (472a) 로부터 증기 플로우가 제 1 유입구로 지향되게 하도록 작동될 수도 있다. 밸브들 (A4 및 A5) 을 사용한 유사한 동작들이 제 2 기화기 (472b) 로부터 제 1 유입구 (416) 로 증기를 전달하도록 수행될 수도 있다. 일부 예들에서, 퍼지 가스 소스 (474) 로부터의 퍼지 가스가 제 1 유입구 (416) 내로 흐르게 하도록 밸브들 (A1, A3, 및 A5) 을 작동시킴으로써 제 1 플레넘 (412) 으로부터 증기들 중 하나를 퍼지하는 것이 바람직할 수도 있다. 일부 부가적인 구현 예들에서, 퍼지 가스로부터 제 1 유입구 (416) 내로 가스를 흘리는 것과 연계하여 (in tandem with) 기화기들 중 하나 (472a 또는 472b) 로부터 증기를 동시에 흘리는 것이 바람직할 수도 있다. 이러한 구현 예들은 이러한 증기(들)에 함유된 반응 물질(들)의 농도를 희석하도록 사용될 수도 있다.
제 2 밸브 매니폴드 (468b) 는 유사한 방식으로, 예를 들어, 기화기들 (472c 및 472d) 로부터 제 2 유입구 (418) 또는 제 2 바이패스 라인 (470b) 으로 증기들을 제공하도록 밸브들 (B1 내지 B5) 을 제어함으로써 제어될 수도 있다는 것이 이해될 것이다. 제 1 유입구 (416) 및 제 2 유입구 (418) 로의 유기금속 전구체(들) 및 대응-반응 물질(들) 모두의 플로우를 제어하기 위한 밸브들을 포함하는, 단일 일체형 (unitary) 매니폴드를 포함하여, 상이한 매니폴드 배열들이 또한 활용될 수도 있다는 것이 또한 인식될 것이다.
앞서 언급된 바와 같이, 일부 장치들 (401) 은 더 적은 수의 증기 소스들, 예를 들어, 단지 2 개의 기화기들 (472) 을 특징으로 할 수도 있고, 이 경우 밸브 매니폴드(들) (468) 는 더 적은 수의 밸브들, 예를 들어, 밸브들 (A1 내지 A3) 만을 갖도록 수정될 수도 있다.
상기 논의된 바와 같이, 유기금속 전구체들 및 대응-반응 물질들을 사용한 포토레지스트 막들의 건식 증착을 제공하도록 사용될 수도 있는 장치 (401) 와 같은 장치들은 프로세싱 챔버 (402) 내에서 특정한 온도 프로파일들을 유지하도록 구성될 수도 있다. 특히, 이러한 장치들 (401) 은 유기금속 전구체(들) 및 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 장치 (401) 의 대부분의 장비보다 더 낮은 온도, 예를 들어, 적어도 25 ℃ 내지 50 ℃ 더 낮은 온도로 기판을 유지하도록 구성될 수도 있다. 부가적으로, 유기금속 전구체(들) 및 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 장치 (401) 의 장비의 온도는 이러한 장비의 표면들 상에서 기화된 반응 물질들의 응결을 못하게 되는 충분히 높은 상승된 레벨로 유지될 수도 있다. 동시에, 기판 온도는 기판 상의 반응 물질들의 응결, 또는 적어도 증착을 촉진하는 레벨로 제어될 수도 있다. 상기 기술된 바와 같이, 장치 (401) 는 또한 이 에지 영역에서 증착을 방지하거나 감소시키기 위해 기판의 내측 영역보다 더 높은 온도로 기판의 원주 에지 영역을 가열하도록 구성된다.
이러한 온도 제어를 제공하기 위해, 다양한 가열 시스템들이 장치 (401) 에 포함될 수도 있다. 예를 들어, 프로세싱 챔버 (402) 는 카트리지 히터들 (458) 을 수용하기 위한 리셉터클들 (receptacles) 을 가질 수도 있고, 예를 들어, 일반적으로 실린더형 내부 볼륨을 갖지만 정사각형 또는 직사각형 외부 형상을 갖는 프로세싱 챔버 (402) 를 위해, 카트리지 히터들 (458) 을 수용하기 위한 수직 홀들이 챔버 (402) 하우징의 4 개의 코너들 내로 천공될 (bore) 수도 있다. 일부 구현 예들에서, 샤워헤드 (410) 는 상승된 샤워헤드 온도를 유지하기 위해 샤워헤드 (410) 의 노출된 상부 표면에 걸쳐 열을 인가하도록 사용될 수도 있는, 히터 블랭킷들 (460) 로 커버될 수도 있다. 기화기들 (472) 로부터 샤워헤드 (410) 로 기화된 반응 물질들을 보내도록 (conduct) 사용되는 다양한 가스 라인들을 가열하는 것이 또한 유리할 수도 있다. 예를 들어, 저항성 히터 테이프는 이러한 가스 라인들 둘레에 감겨져 (wound) 이들을 상승된 온도로 가열하도록 사용될 수도 있다. 도 4에 도시된 바와 같이, 모든 가스 라인들을 통해 흐르는 유기금속 전구체 또는 대응-반응 물질을 잠재적으로 갖는 모든 가스 라인들은 바이패스 라인들 (470) 을 포함하여 가열되는 것으로 도시된다. 유일한 예외들은 밸브 매니폴드들 (468) 로부터 제 1 유입구 (416) 및 제 2 유입구 (418) 로의 가스 라인들이며, 이는 매우 짧을 수도 있고 샤워헤드 (410) 에 의해 간접적으로 가열될 수도 있다. 물론, 이들 가스 라인들도 원한다면, 능동적으로 가열될 수도 있다. 일부 구현 예들에서, 히터들은 또한 게이트 밸브에 열을 제공하도록 게이트 밸브 (406) 에 근접하게 제공될 수도 있다.
장치 (401) 의 다양한 동작 시스템들은 제어기 (484) 에 의해 제어될 수도 있고, 제어기 (484) 는 서로 동작 가능하게 연결되고 장치 (401) 의 다양한 시스템들 및 서브 시스템들과 통신 가능하게 연결되어 이들 시스템들에 대한 제어 기능을 제공하는, 하나 이상의 프로세서들 (486) 및 하나 이상의 메모리 디바이스들 (488) 을 포함할 수도 있다. 예를 들어, 제어기 (484) 는 밸브들 (A1 내지 A5 및 B1 내지 B5), 다양한 히터들 (458, 460), 기화기들 (472), 레귤레이터 밸브 (454), 게이트 밸브 (406), 웨이퍼 지지 z-액추에이터, 등을 제어하도록 구성될 수도 있다.
제어기 (484) 는 또한 상기 기술된 바와 같이, 기판 지지부 (400) 상의 웨이퍼로 하여금 웨이퍼의 원주형 웨이퍼 에지 영역과 내측 영역 사이에 온도 차를 갖도록 하기 위해 광학 웨이퍼 에지 가열 유닛, 능동 냉각기, 및 웨이퍼 가열 유닛을 제어하도록 구성될 수도 있다. 이는 예를 들어, 광학 웨이퍼 에지 가열 유닛으로 하여금 웨이퍼의 원주형 웨이퍼 에지 영역을 제 2 온도로 가열하도록 광을 방출하게 하고 웨이퍼 가열 유닛으로 하여금 제 2 온도보다 더 낮은 제 1 온도로 내측 영역을 가열하게 하는 것을 포함할 수도 있다. 상기 제공된 바와 같이, 제 1 온도는 약 40 ℃ 내지 100 ℃일 수도 있지만, 원주 에지 영역의 온도는 약 60 ℃ 내지 150 ℃ 이상과 같이 이 온도보다 높다. 제어기는 제 1 온도보다 더 낮은 제 3 온도, 예컨대 약 20 ℃ 또는 약 20 ℃ 내지 약 100 ℃로 원주형 냉각 핀을 냉각하기 위해 능동 냉각 유닛을 제어하도록 더 구성된다.
제어기 (484) 는 예를 들어, 컴퓨터-실행 가능 인스트럭션들의 실행을 통해, 장치 (401) 로 하여금 상기 제공된 개시와 일치하는 다양한 동작들을 수행하게 하도록 구성될 수도 있다. 도 5는 장치 (401) 의 맥락에서 수행될 수도 있는 다양한 동작들, 뿐만 아니라 장치 (401) 내에서 프로세싱된 기판 상에서 수행될 수도 있는 후속 동작들의 흐름도를 도시한다.
블록 (502) 에서, 예를 들어, 제어기 (484) 는 기판 (422) 이 프로세싱 챔버 (402) 로 제공되고 프로세싱 챔버 (402) 내에 배치되게 하도록 장치 (401) 를 제어할 수도 있다. 예를 들어, 웨이퍼 핸들링 로봇은 게이트 밸브 (406) 가 개방 상태로 작동되도록 제어되는 동안 기판 (422) 으로 하여금 웨이퍼 이송 통로 (404) 를 통과하게 하도록 제어 (또는 요청) 될 수도 있다. 기판 지지부 (400) 는, 예를 들어, 웨이퍼 핸들링 로봇에 의해 기판 지지부 (400) 위에 (그리고 중심에) 포지셔닝될 수도 있는 기판 (422) 을 수용하기 위해 적절한 높이에서 웨이퍼 지지 z-액추에이터 (446) 를 통해 포지셔닝되도록 제어될 수도 있다. 기판 지지부 (400) 의 일부인 리프트 핀들 (미도시) 은 웨이퍼 핸들링 로봇의 엔드 이펙터로부터 기판을 리프팅하도록 기판 지지부 (400) 로부터 수직으로 연장되게 할 수도 있고, 웨이퍼 핸들링 로봇으로 하여금 프로세싱 챔버 (402) 로부터 철수되게 (retract) 하고 게이트 밸브 (406) 가 폐쇄되게 하여, 프로세싱 챔버 (402) 를 시일링한다. 동시에, 리프트 핀들은 기판 지지부 (400) 상으로 기판 (422) 을 하강시키도록 기판 지지부 (400) 내로 철수될 수도 있다.
일단 기판 (422) 이 블록 (502) 에서 로딩되면, 본 명세서에 기술된 바와 같이, 에지 영역에서의 증착을 방지하거나 감소시키기 위해, 웨이퍼 가열 유닛은 기판 (422) 으로 하여금 내측 영역을 제 2 온도로 가열하고 제 2 온도보다 더 높은 제 1 온도로 원주 에지 영역을 가열하는 것을 포함하는, 블록 (504) 에서 목표된 온도(들)에 도달하게 하도록 제어될 수도 있다. 이러한 제어는 또한 기판 (422) 과 기판 지지부 (400) 사이의 후면 갭 (478) 내로 불활성 가스를 흘리기 위해, 예를 들어 기판 (422) 의 정전 클램핑을 기판 지지부 (400) 로 제공하고 기판 지지부 (400) 의 가스 포트들 (482) 로 불활성 가스 플로우를 제공하도록 클램핑 전극(들)을 활성화하는 단계를 포함할 수도 있다. 예를 들어, 제어기 (484) 는 프로세싱 챔버 (402) 의 내부 벽 표면들, 리드 (408), 및 샤워헤드 (410) 의 온도를 예를 들어 80 ℃ 내지 120 ℃, 예를 들어, 100 ℃로 유지하도록 장치 (401) 의 다양한 히터 시스템들을 제어할 수도 있다. 동시에, 제어기 (484) 는 기판 (422) 의 내측 영역으로 하여금 약 40 ℃ 내지 100 ℃의 온도에 도달하고 유지하게 하고, 웨이퍼의 원주 에지 영역을 약 60 ℃ 내지 150 ℃ 이상과 같은 더 높은 온도로 가열하게 하도록 광학 웨이퍼 에지 가열 유닛을 제어할 수도 있다.
블록 (506) 에서, 건식 증착 프로세스에서 사용될 가스를 공급하는 기화기들 (472) 로부터의 가스 플로우는 예를 들어, 밸브들 (A1-A5 및 B1-B5) 로 하여금 이들 기화기들 (472) 로부터 바이패스 라인들 (470) 로 그리고 진공 포어라인 (452) 내로 가스 플로우들을 방향 전환시키게 하도록 선택적으로 작동되게 함으로써 개시되고 정상 상태에 도달하게 할 수도 있다. 일단 선택된 기화기들로부터의 플로우 레이트들이 정상 상태에 도달하면, 이 기법은 블록 (508) 또는 블록 (512) 으로 진행할 수도 있다.
블록들 (508 및 512) 은 기판 (422) 상에 EUV-감응성 포토레지스트를 건식 증착하기 위한 2 개의 대안적인 접근 방식들 (approaches) 을 나타낸다. 어느 방법이든 대안에서 적절하게 사용될 수도 있다는 것이 이해될 것이다. 블록 (508) 의 접근 방식에서, 제어기는 미리 결정된 시간의 지속 기간 동안 유기금속 전구체 및 대응하는 대응-반응 물질로 하여금 이들 각각의 기화기들 (472) 로부터 그리고 샤워헤드 (410) 의 각각의 플레넘들을 통해 반응 공간 (420) 내로 동시에 디스펜싱되게 (dispense) 하도록 구성될 수도 있다. 블록 (510) 에서, 유기금속 전구체 및 대응하는 대응-반응 물질의 목표된 지속 기간이 경과되었는지 (또는 이러한 반응 물질들의 목표된 양들이 디스펜싱되었는지) 결정이 이루어질 수도 있다. 그렇지 않다면, 기법은 추가 반응 물질 디스펜싱을 위해 블록 (508) 으로 돌아갈 수도 있다. 그렇다면, 기법은 기판 (422) 이 프로세싱 챔버 (402) 로부터 제거될 수도 있고 예를 들어, 세정 스테이션 또는 다른 장치로 이송될 수도 있는 블록 (516) 으로 진행할 수도 있다. 적어도 블록들 (508 및 510) 에서 증착된 EUV-감응성 포토레지스트 층에 대한 건식 증착 프로세스는 프로세싱 챔버 (402) 로부터 기판 (422) 의 제거 전에 본질적으로 완료된다는 것이 이해될 것이다. 도 5의 기법의 후속 부분들은 다른 장비에서 발생할 수도 있고 그리고/또는 필요하다면 다른 제어기들에 의해 지시될 수도 있다. 블록들 (508 및 510) 의 기법은 반응 물질들이 모두 CVD 프로세스에서와 같이 미리 결정된 지속 기간 또는 미리 결정된 양으로 반응 공간 (420) 내로 동시에 흐르기 때문에, 연속적인 CVD 기법으로 지칭될 수도 있다.
블록 (512) 의 대안적인 접근 방식에서, 장치 (401) 의 밸브는 유기금속 전구체 및 대응하는 대응-반응 물질의 플로우들을 교번하도록 작동될 수도 있고, 예를 들어, 먼저 샤워헤드 (410) 를 통해 유기금속 전구체를 흘리고 이어서 유기금속 전구체의 플로우를 중단시키고 샤워헤드 (410) 를 통해 대응-반응 물질의 플로우를 시작한다. 일부 구현 예들에서, 퍼지 가스는 반응 물질 플로우 각각 사이에서 샤워헤드 (410) 를 통해 흐를 수도 있다. 이들 교번하는 플로우들은 원한다면, 1 회 이상 반복될 수도 있다. 예를 들어, 블록 (514) 에서, 목표된 수의 교번하는 플로우 사이클들이 수행되었는지 여부에 대한 결정이 이루어질 수도 있다; 그렇지 않다면, 기법은 추가의 이러한 플로우 사이클의 수행을 위해 블록 (512) 으로 돌아갈 수도 있다. 그렇다면, 기법은 블록 (516) 으로 진행할 수도 있다. 이 대안적인 방법은 2 개의 상이한 전구체들이 증착 챔버 내로 교번적으로 흐르는 원자 층 증착 기법들과 다소 유사하다. 이전의 동시 플로우 기법에서와 같이, 교번하는 플로우 기법의 종료시, 즉, 블록 (514) 후 그리고 블록 (516) 전에, 적어도 블록들 (512 및 514) 에서 증착된 EUV-감응성 포토레지스트 층에 대해 건식 증착 프로세스가 프로세싱 챔버 (402) 로부터 기판 (422) 의 제거 전에 본질적으로 완료된다.
이러한 기법들의 다양한 치환들 및 변형들이 실시될 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현 예들에서, 상이한 유기금속 전구체들 및/또는 대응-반응 물질들이 EUV-감응성 포토레지스트 층 증착 프로세스의 상이한 스테이지들 동안 사용될 수도 있다. 이러한 일 예에서, 더 큰 EUV 감도를 갖는 제 1 유기금속 전구체는 EUV-감응성 포토레지스트 층의 제 1 서브-층을 생성하도록 처음에 기판에 걸쳐 흐를 수도 있다. 이어서 (제 1 유기금속 전구체와 상이한) 제 2 유기금속 전구체가 제 1 서브-층의 상단부 상에 제 2 서브-층을 생성하도록 기판에 걸쳐 흐를 수도 있다. 이 프로세스는 임의의 수의 상이한 유기금속 전구체들 (및/또는 대응-반응 물질들) 에 대해 반복될 수도 있다. 이러한 배열들은 EUV-감응성 포토레지스트 층으로 하여금 상이한 타입들의 재료들의 하이브리드가 되게 할 수도 있다. 원한다면, 유기금속 전구체들은 상이한 EUV 감도들을 갖는 서브-층들을 생성하도록 선택될 수도 있다-줄표-예를 들어, 제 1 서브-층은 제 2 서브-층보다 더 큰 EUV 감도를 갖는 서브-층을 생성하는 유기금속 전구체를 사용하여 이루어질 수도 있다. 이는 예를 들어, 증착된 EUV-감응성 포토레지스트 막이 EUV 노출을 겪을 (subject) 때 잠재적인 경사 효과들을 오프셋하는 것을 도울 수도 있다. 예를 들어, 증착된 EUV-감응성 포토레지스트 막이 EUV 광에 노출될 때, 이러한 광은 포토레지스트 막의 노출된 영역들에서 물리적 변화 또는 화학적 변화를 유발할 수도 있고, 이는 이어서 노출 후 프로세스, 예를 들어, 현상 프로세스에서 활용될 (leverage) 수 있다. 그러나, 이러한 물리적 변화 또는 화학적 변화는 EUV 복사선의 강도에 종속될 수도 있다. EUV 복사선은 포토레지스트 막의 상부 서브-층들에 의한 일부 에너지의 흡수로 인해 포토레지스트 막 내로 침투 깊이를 증가시키는 함수로서 강도가 감소하는 경향이 있기 때문에, 하부 서브-층(들)에 대한 노출 강도는 포토레지스트 막은 상부 서브-층(들)보다 더 작을 수도 있다. 그 결과, 전체 두께에 걸쳐 동일한 재료로 이루어진 포토레지스트 막들에서, EUV 노출 프로세스를 통해 생성되는 물리적 변화량 또는 화학적 변화량은 막 깊이의 함수로서 가변할 수도 있다. 일부 이러한 예들에서, 이러한 노출의 지속 기간은 또한 이 변동에 영향을 줄 수도 있다.
그러나, 상이한 서브-층들에 대해 상이한 재료들을 활용하도록 포토레지스트 막을 맞춤함 (tailor) 으로써, 감광성 막의 두께 전반에 걸쳐 발생하는 물리적 변화 또는 화학적 변화의 변동을 감소시키는 것이 가능할 수도 있다. 예를 들어, 하부 서브-층이 상부 서브-층보다 EUV 노출에 더 감응성인 재료로 이루어진다면, 이는 하부 서브-층에 의해 경험된 감소된 EUV 노출 강도를 보상하는 것을 도울 수도 있다.
이러한 맞춤 기법들은 쓰루풋 및 품질 양측면에서 EUV 프로세싱의 맥락에서 상당한 이점들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 단일 재료 포토레지스트 막의 가장 낮은 서브-층(들)을 해당 서브-층(들)에서 목표된 레벨의 화학적 변화 또는 물리적 변화를 유발하기에 충분한 양의 EUV에 노출시키기 위해, 상부 서브-층(들)에서 동일한 레벨의 화학적 변화 또는 물리적 변화를 달성하기 위해 요구되는 것보다 훨씬 긴 시간 기간 동안 감광성 막을 계속해서 노출시키기 위해 필요할 수도 있다. 이 부가적인 노출 시간은 예를 들어, 또 다른 기판 상에서 EUV 노출을 수행하도록 사용될 수 있고, 즉, 감소된 쓰루풋을 발생시킨다. EUV 프로세싱 장비의 극단적인 비용을 감안하면 (예를 들어, EUV 스캐너는 약 1 억 달러 이상의 비용이 들 수 있다), EUV 스캐닝 동작들을 위한 프로세싱 시간을 최소화하는 것이 EUV 스캐너에 대해 이루어진 투자 수익을 최대화하기 위해 매우 바람직하다.
더 긴 노출 시간들은 또한 EUV 노출 프로세스를 통해 감광성 막으로 전사되는 광 패턴의 감소된 품질을 발생시킬 수도 있다. EUV 프로세싱을 필요로 하는 나노 미터-스케일 피처 사이즈들에 대해, 기판에 대한 EUV 마스크 (기판 상에 목표된 포토패턴을 생성하기 위해 EUV 광이 통해 지향되는 마스크) 의 가장 작은 움직임조차 피처 사이즈 측면에서 상당할 수 있다. 예를 들어, 30 ㎚ 폭의 피처에 대해, 노출 프로세스 동안 기판에 대한 EUV 마스크의 5 ㎚ 시프트는 전체 깊이 피처 폭에서 15 %까지의 감소를 발생시킬 수 있다. EUV 스캐너들이 이러한 발생 가능성을 최소화하도록 설계되지만, 주어진 기판에 대해 노광 프로세스가 더 오래 걸릴수록, 이러한 움직임이 발생할 위험이 더 커진다 (또는, 더 가능성이 높을수록 개별적인 것보다 증가된 부정적 효과를 집합적으로 (in aggregate) 갖는 더 작은-크기의 움직임들에 직면할 위험이 더 크다).
본 명세서에 논의된 기법들을 사용하여 이러한 포토레지스트 막들의 재료 구성을 맞춤하는 것은, 예를 들어, 쓰루풋을 증가시키고 고품질 포토패턴들을 획득할 가능성을 상승시키는 감소된 노출 시간들을 허용할 수도 있다는 것이 쉽게 자명할 것이다. 건식-증착된 포토레지스트 막들의 컨포멀한 특징은 또한 상대적으로 균일한 막 두께가 증가된 EUV 노출 시간을 필요로 하는 총 막 두께의 변동들이 발생하는 시나리오들을 회피하기 때문에, 이러한 쓰루풋 개선들을 달성하는데 기여한다.
앞서 주지된 바와 같이, 이러한 EUV-감응성 포토레지스트 막들의 습식 증착은 습식-증착된 EUV-감응성 포토레지스트 막들의 상이한 서브-층들에 대해 상이한 재료들을 사용하는 것이 가능하지 않기 때문에 일반적으로 맞춤된 막 증착에 적합하지 않다. 더욱이, 습식 증착 기법들은 본질적으로 컨포멀하지 않다. 따라서 본 명세서에 논의된 건식-증착 기법들 및 장비는 유사한 화학 물질들을 사용하는 습식-증착 기법들 및 장비에 비해 상당한 개선들을 제공한다.
상기 기술된 장치를 사용하여 실시될 수도 있는 건식-증착 기법의 또 다른 예는 상이한 유기금속 서브-층들이 상이한 건식 증착 프로세스들을 사용하여 기판 (422) 상에 증착되는 것이다. 예를 들어, 블록들 (512 및 514) 의 기법은 예를 들어, 제 2, 상이한 EUV-감응성 포토레지스트 재료의 후속하여 도포된 서브-층을 생성하도록 사용된 반응 물질들의 흡착 또는 응결을 향상시킬 수도 있는 기판 (422) 상에 제 1 EUV-감응성 포토레지스트 재료의 박형 서브-층을 증착하도록 사용될 수도 있다. 이러한 의미에서, 제 1 포토레지스트 재료는 제 2 포토레지스트 재료의 접착을 향상시키기 위해 "시드 서브-층"으로서 사용될 수도 있다. 이러한 구현 예들에서, 시드 서브-층에 대해 더 박형의 서브-층들을 생성하도록, 그리고 이어서 블록들 (508 및 510) 의 기법으로 전환하도록 더 용이하게 제어될 수도 있는 블록들 (512 및 514) 의 기법을 사용하는 것이 바람직할 수도 있고, 이는 제 2 EUV-감응성 포토레지스트의 더 두꺼운 서브-층을 제공하도록 사용될 수도 있는 더 높은, 그러나 정밀하게 제어 가능한 것은 아닌, 증착 레이트를 제공할 수도 있다.
일단 EUV-감응성 포토레지스트 막이 기판 (422) 상에 증착되면, 기판 (422) 은 상기 주지된 바와 같이, 부가적인 동작들을 위해 하나 이상의 후속 프로세싱 챔버들 또는 툴로 이송될 수도 있다. 도 5의 나머지 블록들은 이러한 일 구현 예에 대한 이러한 부가적인 동작들을 요약하지만, 다른 구현 예들은 다른 동작들 또는 다른 순서들의 동작들을 수반할 수도 있다.
예를 들어, 블록들 (508/510 및/또는 512/514) 의 건식 증착 프로세스들의 완료에 후속하여, 기판 (422) 은 예를 들어, 블록 (518) 에서 기판 (422) 의 후면 및/또는 베벨 세정 동작들을 수행하도록 제어될 수도 있는 블록 (516) 에서 세정 스테이션으로 이송될 수도 있다. 이러한 증착 후 세정에 이어서, 기판은 블록 (520) 에서 EUV 스캐너 시스템 또는 유사한 포토리소그래피 툴 내로 이송될 수도 있다. 블록 (522) 에서, EUV 스캐너는 기판 (422) 의 다양한 부분들로 하여금 EUV 복사선에 노출되게 하거나 이러한 노출로부터 차단되게 하는 패턴 마스크를 사용하여 기판에 포토패턴을 도포하도록 제어될 수도 있다. 노출 프로세스는 기판 (422) 상의 포토레지스트 막의 노출된 영역들에서 목표된 정도의 EUV 노출을 달성하기 위해 필요한 한 계속될 수도 있다.
EUV 스캐너에 의해 기판 (422) 에 충분한 EUV 노출이 제공된 후, 기판 (422) 은 블록 (524) 에서 건식 현상 챔버로 이송될 수도 있고 이어서 열적 현상 프로세스 또는 플라즈마 기반 현상 프로세스와 같은 건식 현상 프로세스를 겪을 수도 있다. 이러한 현상 프로세스 동안, 기판 (422) 상의 목표된 피처 마스크를 생성하기 위해 기판 (422) 의 EUV-노출된 부분들 및 기판 (422) 의 비 노출된 부분들 중 하나 또는 다른 부분은 현상 프로세스, 예를 들어, 앞서 논의된 바와 같은 건식 현상 프로세스를 사용하여 제거될 수도 있다.
피처 마스크가 기판 (422) 상에 생성된 후, 기판 (422) 은 건식 현상 챔버로부터 제거될 수도 있고 블록 (528) 에서 프로세스 챔버, 예를 들어, 증착 챔버 또는 에칭 챔버로 제공될 수도 있다. 이어서 적합한 반도체 프로세싱 동작, 예를 들어 에칭 프로세스 또는 증착 프로세스가 패턴닝된 EUV-감응성 포토레지스트 막을 사용하여 제공된 피처 마스크를 사용하여 블록 (530) 에서 수행될 수도 있다.
일부 구현 예들에서, 제어기는 더 큰 시스템의 일부일 수도 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수도 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), 주문형 반도체들 (application specific integrated circuits; ASICs) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들 (dies) 의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 이산 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 논의된 건식 증착 기법들의 맥락에서 "막들", "포토레지스트 막들", "증착된 층들", "서브-층들" 등에 대한 참조는, 그렇게 명시적으로 나타내지 않더라도 EUV-감응성 포토레지스트 막들을 포함하도록 의도된다는 것이 일반적으로 이해될 것이다.
장치의 다양한 컴포넌트들이 다양한 적합한 재료들로 이루어질 수도 있다는 것이 또한 이해될 것이다. 예를 들어, 앞서 논의된 바와 같이, 기판 지지부의 상단 플레이트는 세라믹 재료로 이루어질 수도 있고, 세라믹 재료는 내부에 임베딩된 (embedded) 클램핑 전극들 (뿐만 아니라 내부에 임베딩된 저항성 히터 엘리먼트들) 전기적으로 절연할 뿐만 아니라 밑에 위치된 베이스플레이트를 보호하도록 기능할 수도 있다. 상부 에지 링 및 하부 에지 링들은 원한다면 유사하게 세라믹 재료로 이루어질 수도 있다. 프로세싱 챔버 자체, 샤워헤드, 기판 지지부의 베이스플레이트, 및 웨이퍼 지지부 하우징과 같은 다른 구조체들은 알루미늄 합금과 같은 재료로 이루어질 수도 있고, 일부 예들에서, 양극 산화되거나 그렇지 않으면 보호 코팅으로 코팅될 수도 있다. 알루미늄과 같은 재료들은 머시닝하기에 상대적으로 저렴하고, 적절히 코팅되면 우수한 화학적 내성을 제공하고, 우수한 열 전도 성능을 제공하여, 목표된 동작 온도로 용이하게 가열되게 한다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 또한 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 복사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (deep-UV), X-선 범위의 더 낮은 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 애플리케이션들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
본 명세서에 사용된다면, "하나 이상의 <아이템들> 의 <아이템> 각각에 대한", "하나 이상의 <아이템들> 의 <아이템> 각각의" 등의 문구들은 단일 아이템 그룹 및 복수의 아이템 그룹들 모두를 포함하는 것으로 이해되어야 하고, 즉, 프로그래밍 언어들에서 아이템들의 집단이 참조되는 모든 아이템의 각각을 참조하기 위해 사용된다는 의미에서 문구 "?? 각각에 대해"가 사용된다. 예를 들어, 참조된 아이템들의 집단이 단일 아이템이면, ("각각"의 사전적 정의들이 "둘 이상의 것들의 모든 하나"를 지칭하는 용어를 빈번하게 규정한다는 사실에도 불구하고) "각각 (each)"은 그 단일 아이템만을 지칭하고, 이 아이템들 중 적어도 2 개가 있어야 한다는 것을 암시하지 않는다. 유사하게, 용어 "세트" 또는 "서브 세트"는 그 자체가 복수의 아이템들을 반드시 아우르는 것으로 간주되어서는 안된다
Figure pct00001
세트 또는 서브 세트는 (문맥이 달리 지시하지 않는 한) 단지 하나의 멤버 또는 복수의 멤버들을 아우를 수 있다는 것이 이해될 것이다. 용어 "집합 (aggregate)"은 유사하게 하나의 그룹뿐만 아니라 복수의 그룹을 지칭하도록 사용될 수도 있다는 것이 또한 이해되어야 한다. 따라서, 예를 들어, 집합적으로 하나 이상의 서브-아이템들을 포함하는 하나 이상의 아이템들이 존재한다면, 이는 단일 서브-아이템을 포함하는 단일 아이템, 복수의 서브-아이템들을 포함하는 단일 아이템, 각각이 단일 서브-아이템을 포함하는 복수의 아이템들, 및 복수의 서브-아이템들을 각각 포함하는 복수의 아이템들, 뿐만 아니라 다른 치환들 및 조합들, 예를 들어, 이러한 예들의 하이브리드들을 포함한다.
본 명세서에 기술된 예들 및 실시 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.
상기 개시는 특정한 예시적인 구현 예 또는 구현 예들에 초점을 맞추지만, 논의된 예로만 제한하는 것이 아니라 유사한 변형들 및 메커니즘들에 또한 적용될 수도 있고, 이러한 유사한 변형들 및 메커니즘들은 또한 본 개시의 범위 내인 것으로 간주된다는 것이 이해되어야 한다.
유사하게, 동작들이 특정한 순서로 도면들에 도시되지만, 이는 바람직한 결과들을 달성하기 위해 이 동작들이 도시된 특정한 순서로 또는 순차적인 순서로 수행되거나, 모든 예시된 동작들이 수행될 것을 요구하는 것으로 이해되지 않아야 한다. 또한, 도면들은 흐름도의 형태로 하나 이상의 예시적인 프로세스들을 개략적으로 도시할 수도 있다. 그러나, 도시되지 않은 다른 동작들이 개략적으로 예시된 예시적인 프로세스들에 포함될 수 있다. 예를 들어, 하나 이상의 부가적인 동작들이 예시된 동작들 전, 후, 동시에, 또는 임의의 예시된 동작들 사이에 수행될 수 있다. 특정한 상황들에서, 멀티태스킹 및 병렬 프로세싱이 유리할 수도 있다. 더욱이, 상기 기술된 구현 예들에서 다양한 시스템 컴포넌트들의 분리는 모든 구현 예들에서 이러한 분리를 필요로 하는 것으로 이해되지 않아야 하고, 그리고 기술된 프로그램 컴포넌트들 및 시스템들은 일반적으로 단일 소프트웨어 제품으로 함께 통합되거나 복수의 소프트웨어 제품들로 패키징될 수 있다는 것이 이해되어야 한다. 부가적으로, 다른 구현 예들은 이하의 청구항들의 범위 내에 있다. 일부 경우들에서, 청구항들에 인용된 동작들은 상이한 순서로 수행될 수 있고, 여전히 바람직한 결과들을 달성한다.
본 명세서에서 용어 "실질적으로"는 달리 명시되지 않는 한, 참조된 값의 5 % 이내를 의미한다. 예를 들어, 실질적으로 수직은 평행의 ± 5 % 이내를 의미한다. 용어 "실질적으로"는 측정 값들 및 관계들의 정확성이 의도될 수도 있지만, 제작 결함들 및 허용 오차들로 인해 정확성이 항상 달성되거나 달성 가능한 것은 아니라는 것을 나타내도록 본 명세서에서 사용될 수도 있다. 예를 들어, 동일한 사이즈 (예를 들어, 2 개의 홀들) 를 갖도록 2 개의 분리된 피처들을 제작하도록 의도될 수도 있지만, 다양한 제작 결함들로 인해, 이 피처들은 정확히 동일한 사이즈는 아니지만, 근접할 수도 있다.

Claims (33)

  1. 반도체 프로세싱을 위한 기판 지지부에 있어서,
    베이스플레이트의 상단부 상에 웨이퍼 지지 영역을 갖는 상기 베이스플레이트로서, 상기 웨이퍼 지지 영역은 상기 베이스플레이트의 중심 축 둘레로 연장하고 웨이퍼를 지지하도록 구성된 외측 경계를 갖는, 상기 베이스플레이트; 및
    하나 이상의 광원들 및 하나 이상의 발광 표면들 (light emission surfaces) 을 갖는 광학 웨이퍼 에지 가열 유닛을 포함하고, 상기 하나 이상의 발광 표면들은,
    상기 중심 축을 따라 볼 때 상기 외측 경계를 둘러싸고 (encircle),
    상기 중심 축을 따라 볼 때 상기 외측 경계로부터 방사상으로 외향으로 포지셔닝되고,
    상기 중심 축에 수직인 축을 따라 볼 때 오프셋 거리만큼 상기 외측 경계 아래로 방사상으로 오프셋되어 포지셔닝되고, 그리고
    상기 중심 축에 평행한 지향성 컴포넌트를 갖는 방향으로 광을 지향시키는, 기판 지지부.
  2. 제 1 항에 있어서,
    상기 하나 이상의 광원들은 복수의 발광 다이오드들 (light emitting diodes; LEDs) 이고, 그리고
    발광 표면 각각은 대응하는 발광 다이오드의 일부인, 기판 지지부.
  3. 제 2 항에 있어서,
    상기 발광 다이오드들은 VCSEL (vertical-cavity surface-emitting lasers) 인, 기판 지지부.
  4. 제 2 항에 있어서,
    상기 복수의 발광 다이오드들은 약 300 개 미만의 발광 다이오드들을 포함하는, 기판 지지부.
  5. 제 2 항에 있어서,
    상기 발광 다이오드 각각은 1,300 ㎚ 이하의 파장들을 갖는 광을 방출하도록 구성되는, 기판 지지부.
  6. 제 2 항에 있어서,
    상기 하나 이상의 발광 표면들 중 적어도 하나는 상기 중심 축에 대해 평행하지 않은 각도로 배향되는, 기판 지지부.
  7. 제 1 항에 있어서,
    상기 하나 이상의 광원들은 레이저 방출 소스이고, 그리고
    발광 표면 각각은 광섬유 케이블을 통해 상기 레이저 방출 소스에 연결된 렌즈의 일부인, 기판 지지부.
  8. 제 7 항에 있어서,
    상기 레이저 방출 소스 각각은 1,300 ㎚ 이하의 파장들을 갖는 광을 방출하도록 구성되는, 기판 지지부.
  9. 제 7 항에 있어서,
    상기 하나 이상의 발광 표면들 중 적어도 하나는 상기 중심 축에 대해 평행하지 않은 각도로 배향되는, 기판 지지부.
  10. 제 1 항에 있어서,
    상기 웨이퍼는 웨이퍼 외경을 갖고, 그리고
    상기 웨이퍼 지지 영역의 상기 외측 경계는 상기 웨이퍼 외경보다 더 작은, 기판 지지부.
  11. 제 1 항에 있어서,
    상기 하나 이상의 광원들에 의해 방출된 광에 투과성인 (transparent) 재료를 포함하는 하나 이상의 윈도우들을 더 포함하고, 상기 하나 이상의 윈도우들은,
    상기 하나 이상의 발광 표면들로부터의 광이 상기 하나 이상의 윈도우들을 통과하도록 상기 하나 이상의 발광 표면들 위에 포지셔닝되고, 그리고
    상기 웨이퍼 지지 영역과 상기 하나 이상의 발광 표면들 사이의 상기 중심 축을 따라 포지셔닝되는, 기판 지지부.
  12. 제 11 항에 있어서,
    상기 기판 지지부는 복수의 윈도우들을 포함하는, 기판 지지부.
  13. 제 12 항에 있어서,
    윈도우 각각은 상기 하나 이상의 발광 표면들 각각의 발광 표면에 대응하는, 기판 지지부.
  14. 제 11 항에 있어서,
    상기 기판 지지부는 하나의 윈도우만을 포함하는, 기판 지지부.
  15. 제 11 항에 있어서,
    상기 재료는 석영 또는 사파이어를 포함하는, 기판 지지부.
  16. 제 1 항에 있어서,
    하나 이상의 냉각제 채널들 및 상기 하나 이상의 냉각제 채널들에 열적으로 연결된 원주형 냉각 핀을 포함하는 능동 냉각 유닛을 더 포함하고, 상기 원주형 냉각 핀은,
    상기 중심 축을 중심으로 연장하고;
    상기 하나 이상의 발광 표면들로부터 방사상 내향으로 포지셔닝되고, 그리고
    상기 외측 경계로부터 4 ㎜ 이하의 방사상 거리에 포지셔닝되는, 기판 지지부.
  17. 제 16 항에 있어서,
    상기 베이스플레이트 내에 포지셔닝되고 상기 웨이퍼 지지 영역 상의 웨이퍼를 가열하도록 구성된 하나 이상의 가열 존들을 갖는 웨이퍼 가열 유닛을 더 포함하고,
    상기 하나 이상의 발광 표면들은 상기 하나 이상의 가열 존들로부터 방사상으로 오프셋되고 상기 하나 이상의 가열 존들을 둘러싸고, 그리고
    상기 원주형 냉각 핀은 상기 중심 축을 따라 볼 때 상기 하나 이상의 가열 존들 둘레로 연장하고, 상기 중심 축을 따라 볼 때 상기 하나 이상의 가열 존들과 상기 하나 이상의 발광 표면들 사이에 방사상으로 개재되는, 기판 지지부.
  18. 제 17 항에 있어서,
    상기 원주형 냉각 핀과 상기 하나 이상의 가열 존들 사이에 방사상으로 개재된 열적 절연체를 더 포함하는, 기판 지지부.
  19. 제 16 항에 있어서,
    상기 원주형 냉각 핀은 약 4 ㎜ 이하의 방사상 두께를 갖는, 기판 지지부.
  20. 제 16 항에 있어서,
    상기 원주형 냉각 핀은 내측 반경 및 외측 반경에 의해 적어도 부분적으로 규정된 (define) 방사상 두께를 갖고, 그리고
    상기 내측 반경은 상기 웨이퍼 지지 영역의 상기 외측 경계로부터 약 4 ㎜ 이하인, 기판 지지부.
  21. 제 16 항에 있어서,
    상기 원주형 냉각 핀은 상기 웨이퍼 지지 영역의 상기 외측 경계에 열적으로 연결되는, 기판 지지부.
  22. 제 16 항에 있어서,
    상기 냉각제 채널들은 상기 외측 경계와 상기 하나 이상의 발광 표면들 사이의 상기 중심 축을 따라 포지셔닝되고,
    상기 냉각제 채널들은 상기 베이스플레이트의 일부 내에 포지셔닝되고,
    상기 하나 이상의 포트들은 상기 베이스플레이트의 일부를 통해 연장하고, 그리고
    상기 하나 이상의 발광 표면들은 상기 하나 이상의 발광 표면들로부터의 광이 상기 웨이퍼에 도달하기 위해 상기 하나 이상의 포트들을 통과하도록 상기 하나 이상의 포트들에 연결되는, 기판 지지부.
  23. 제 16 항에 있어서,
    상기 하나 이상의 발광 표면들은 상기 외측 경계와 상기 냉각제 채널들 사이의 상기 중심 축을 따라 포지셔닝되는, 기판 지지부.
  24. 제 16 항에 있어서,
    상기 원주형 냉각 핀 및 상기 하나 이상의 발광 표면들의 방사상 내향으로 포지셔닝된 열적 절연체를 더 포함하는, 기판 지지부.
  25. 제 1 항에 있어서,
    상기 오프셋 거리는 0이 아니고 10 ㎜ 이하인, 기판 지지부.
  26. 제 1 항에 있어서,
    상기 하나 이상의 광원들은 백색 광을 방출하도록 구성되는, 기판 지지부.
  27. 제 1 항에 있어서,
    상기 하나 이상의 광원들은 상기 하나 이상의 발광 표면들을 통해 광을 방출하고 적어도 80 ℃의 온도로 상기 웨이퍼의 에지 영역을 가열하도록 구성되는, 기판 지지부.
  28. 제 27 항에 있어서,
    상기 웨이퍼의 상기 에지 영역은 3.5 ㎜ 이하의 방사상 두께를 갖는, 기판 지지부.
  29. 제 27 항에 있어서,
    상기 온도는 적어도 100 ℃ 인, 기판 지지부.
  30. 제 1 항에 있어서,
    상기 기판 지지부는 정전 척인, 기판 지지부.
  31. 챔버 내부를 규정하는 프로세싱 챔버;
    기판 지지부로서,
    베이스플레이트의 상단부 상에 웨이퍼 지지 영역을 갖는 상기 베이스플레이트로서, 상기 웨이퍼 지지 영역은 상기 베이스플레이트의 중심 축 둘레로 연장하고 웨이퍼를 지지하도록 구성된 외측 경계를 갖는, 상기 베이스플레이트;
    하나 이상의 광원들 및 하나 이상의 발광 표면들을 갖는 광학 웨이퍼 에지 가열 유닛으로서, 상기 하나 이상의 발광 표면들은,
    상기 중심 축에 평행하게 볼 때 상기 외측 경계를 둘러싸고,
    상기 중심 축을 따라 볼 때 상기 외측 경계로부터 방사상으로 외향으로 포지셔닝되고,
    상기 중심 축에 수직인 축을 따라 볼 때 오프셋 거리만큼 상기 외측 경계 아래로 방사상으로 오프셋되어 포지셔닝되고, 그리고
    상기 중심 축에 평행한 방향으로 광을 지향시키는, 상기 광학 웨이퍼 에지 가열 유닛을 포함하는, 상기 기판 지지부; 및
    상기 베이스플레이트 내에 포지셔닝되고 상기 웨이퍼 지지 영역 상의 웨이퍼를 가열하도록 구성된 하나 이상의 가열 존들을 갖는 기판 가열 유닛으로서, 상기 하나 이상의 발광 표면들은 상기 하나 이상의 가열 존들로부터 방사상으로 오프셋되고 상기 하나 이상의 가열 존들을 둘러싸는, 상기 기판 가열 유닛을 포함하는, 장치.
  32. 제 31 항에 있어서,
    상기 기판 가열 유닛으로 하여금 제 1 온도의 상기 웨이퍼 지지 영역 상에 포지셔닝된 웨이퍼를 유지하게 하고, 그리고
    상기 웨이퍼를 상기 제 1 온도로 동시에 유지하는 동안, 상기 광학 웨이퍼 에지 가열 유닛으로 하여금 상기 제 1 온도보다 더 높은 제 2 온도로 상기 웨이퍼의 에지 영역을 유지하게 하도록 구성된 인스트럭션들을 갖는 제어기를 더 포함하는, 장치.
  33. 제 31 항에 있어서,
    상기 제 1 온도는 약 20 ℃ 내지 약 120 ℃이고 그리고 상기 제 2 온도는 약 40 ℃ 내지 약 150 ℃인, 장치.
KR1020247008894A 2021-08-18 2022-08-16 반도체 웨이퍼의 에지 영역의 복사 가열을 위한 장치들 KR20240046906A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163260380P 2021-08-18 2021-08-18
US63/260,380 2021-08-18
PCT/US2022/075035 WO2023023526A1 (en) 2021-08-18 2022-08-16 Apparatuses for radiative heating of an edge region of a semiconductor wafer

Publications (1)

Publication Number Publication Date
KR20240046906A true KR20240046906A (ko) 2024-04-11

Family

ID=85239792

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247008894A KR20240046906A (ko) 2021-08-18 2022-08-16 반도체 웨이퍼의 에지 영역의 복사 가열을 위한 장치들

Country Status (3)

Country Link
KR (1) KR20240046906A (ko)
TW (1) TW202318521A (ko)
WO (1) WO2023023526A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101209297B1 (ko) * 2011-11-29 2012-12-06 주성엔지니어링(주) 기판 가열 장치 및 기판 가열 방법
US9224583B2 (en) * 2013-03-15 2015-12-29 Lam Research Corporation System and method for heating plasma exposed surfaces
US9633886B2 (en) * 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US11043403B2 (en) * 2018-04-06 2021-06-22 Semes Co., Ltd. Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing

Also Published As

Publication number Publication date
WO2023023526A1 (en) 2023-02-23
TW202318521A (zh) 2023-05-01

Similar Documents

Publication Publication Date Title
US20220308462A1 (en) Apparatus for photoresist dry deposition
KR102539806B1 (ko) 포토레지스트 부착 및 선량 감소를 위한 하부층
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
US20230207328A1 (en) Selective precision etching of semiconductor materials
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20230416606A1 (en) Photoresist development with organic vapor
CN115152008A (zh) 用于干法去除光致抗蚀剂的处理工具
WO2021262529A1 (en) Dry backside and bevel edge clean of photoresist
KR20240046906A (ko) 반도체 웨이퍼의 에지 영역의 복사 가열을 위한 장치들
US20230274949A1 (en) Etching of indium gallium zinc oxide
WO2023009364A1 (en) Rework of metal-containing photoresist
TW202405922A (zh) 使用液態前驅物的選擇性氧化物蝕刻
WO2023147212A1 (en) Enhanced euv underlayer effect with diffusion barrier layer
WO2023038870A1 (en) Techniques and apparatuses for processing chalcogenides
WO2024049699A1 (en) Nitride thermal atomic layer etch
CN116034449A (zh) 用于光刻胶沉积的设备设计