TW202318521A - 半導體晶圓邊緣區域輻射加熱用的設備 - Google Patents

半導體晶圓邊緣區域輻射加熱用的設備 Download PDF

Info

Publication number
TW202318521A
TW202318521A TW111130897A TW111130897A TW202318521A TW 202318521 A TW202318521 A TW 202318521A TW 111130897 A TW111130897 A TW 111130897A TW 111130897 A TW111130897 A TW 111130897A TW 202318521 A TW202318521 A TW 202318521A
Authority
TW
Taiwan
Prior art keywords
wafer
substrate support
light
semiconductor processing
light emitting
Prior art date
Application number
TW111130897A
Other languages
English (en)
Inventor
希瓦難陀 克里希那 卡那卡沙巴怕希
傑里米 托德 塔克
誠 王
杰羅姆 S 胡巴切克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202318521A publication Critical patent/TW202318521A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本文提供用於使用光學手段對半導體晶圓提供邊緣加熱之諸多設備及系統。此等系統可將輻射能引向半導體晶圓的邊緣區域。

Description

半導體晶圓邊緣區域輻射加熱用的設備
本發明係關於半導體晶圓邊緣區域輻射加熱用的設備。
在處理腔室中之沉積期間,膜不僅會沉積在晶圓正面側,且亦沉積在晶圓背面側。例如,在使用凝結製程之沉積期間,製程氣體可能會凝結形成顆粒,其沉積於晶圓正面側上及顯露背面側上。此背面側沉積會對晶圓及其他晶圓造成諸多不利影響。然而,去除此背面側沉積在實施上具挑戰性,而現有的解決方式可能對晶圓帶來許多缺點。
本文所提供的背景描述係為了概述本發明脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本發明的先前技術。
本說明書中所述標的之一或更多實施方式的細節闡述於附圖及下文敘述中。其他特徵、態樣及優點將從描述、附圖及請求項變得顯而易見。以下非限定實施方式被視為本發明之一部分;從本發明之整體內容及附圖亦將顯而易見其他實施方式。
在一些實施方式中,可提供用於半導體處理的基板支撐件。基板支撐件可包括底板,底板之頂部具有晶圓支撐區域,晶圓支撐區域具有圍繞底板之中心軸線延伸的外邊界並配置成支撐晶圓。基板支撐件亦可包括具有一或更多光源及一或更多發光表面之光學晶圓邊緣加熱單元,其中該一或更多發光表面當沿中心軸線觀看時可環繞外邊界、當沿中心軸線觀看時可設於外邊界之徑向外側、當沿垂直於中心軸線之軸線觀看時可設為以一偏移距離徑向偏離外邊界下方、並可將光引向具有平行於中心軸線之方向分量的方向。
在一些實施方式中,該一或更多光源可為複數發光二極體,且每一發光表面可為對應發光二極體之一部分。
在一些實施方式中,發光二極體可為垂直共振腔面射型雷射(VCSEL)。
在一些實施方式中,該複數發光二極體可包括少於約300個發光二極體。
在一些實施方式中,每一發光二極體可配置成發射波長小於或等於1,300奈米(nm)的光。
在一些實施方式中,該一或更多發光表面之至少一者可定向成相對於中心軸線呈非平行角定。
在一些實施方式中,該一或更多光源可為雷射發射源,且每一發光表面可為透過光纖纜線連接至雷射發射源之透鏡的一部分。
在一些此等實施方式中,每一雷射發射源可配置成發射小於或等於1,300奈米(nm)波長的光。
在一些實施方式中,該一或更多發光表面之至少一者可定向成相對於中心軸線呈非平行角度。
在一些實施方式中,晶圓可具有晶圓外徑,且晶圓支撐區域之外邊界可小於晶圓外徑。
在一些實施方式中,晶圓支撐件可進一步包括一或更多窗口,其包含對該一或更多光源所發射之光透光的材料。該一或更多窗口可設於該一或更多光發射表面上方,使得來自該一或更多發光表面之光穿過該一或更多窗口,並沿中心軸線設於晶圓支撐區域與該一或更多發光表面之間。
在一些此等實施方式中,基板支撐件可包括複數窗口。
在一些實施方式中,每一窗口可對應於該一或更多發光表面中之每一者。
在一些實施方式中,基板支撐件可包括僅一個窗口。
在一些實施方式中,材料可為石英或藍寶石。
在一些實施方式中,基板支撐件可進一步包括主動冷卻單元,其包括一或更多冷卻劑通道及熱連接至該一或更多冷卻劑通道之周向冷卻鰭部。 周向冷卻鰭部可圍繞中心軸線延伸、可設於該一或更多發光表面之徑向內側、且可設為與該外邊界相距小於或等於4 mm之徑向距離。
在一些實施方式中,基板支撐件可進一步包括晶圓加熱單元,其設於底板內並具有配置成加熱晶圓支撐區域上之晶圓的一或更多加熱區。該一或更多發光表面可徑向偏離並環繞該一或更多加熱區,而當沿中心軸線觀看時該周向冷卻鰭部可圍繞該一或更多加熱區延伸,且當沿中心軸線觀看時該周向冷卻鰭部可徑向插於該一或更多加熱區與該一或更多發光表面之間。
在一些實施方式中,晶圓支撐件可進一步包括徑向插於周向冷卻鰭部與該一或更多加熱區之間的絕熱體。
在一些實施方式中,周向冷卻鰭部可具有小於或等於約4 mm之徑向厚度。
在一些實施方式中,周向冷卻鰭部可具有至少部分由內半徑與外半徑定義之徑向厚度,且內半徑與該晶圓支撐區域之外邊界可相距小於或等於約4 mm。
在一些實施方式中,周向冷卻鰭部可熱連接至晶圓支撐區域之外邊界。
在一些實施方式中,冷卻劑通道可沿中心軸線設於外邊界與該一或更多發光表面之間,冷卻劑通道可設於底板之一部分內,一或更多埠可延伸穿過底板之該部分,且該一或更多發光表面可連接至該一或更多埠,使得來自該一或更多發光表面的光穿過該一或更多埠而到達晶圓。
在一些實施方式中,該一或更多發光表面可沿中心軸線設於外邊界與冷卻劑通道之間。
在一些實施方式中,晶圓支撐件可進一步包括絕熱體,其設於周向冷卻鰭部與該一或更多發光表面之徑向內側。
在一些實施方式中,偏移距離可為非零且小於或等於10 mm。
在一些實施方式中,該一或更多光源可配置成發射白光。
在一些實施方式中,該一或更多光源可配置成發射光穿過該一或更多發光表面並將晶圓之邊緣區域加熱到至少80°C的溫度。
在一些實施方式中,晶圓之邊緣區域可具有小於或等於3.5 mm之徑向厚度。
在一些實施方式中,溫度可至少100°C。
在一些實施方式中,基板支撐件可為靜電吸盤。
在一些實施方式中,可提供一設備,其包括定義一腔室內部之處理腔室。該設備可進一步包括 基板支撐件,其包括底板,在底板之頂部具有晶圓支撐區域,晶圓支撐區域具有圍繞底板之中心軸線延伸的外邊界並配置成支撐晶圓。該設備可進一步包括光學晶圓邊緣加熱單元,其具有一或更多光源及一或更多發光表面。當沿平行於中心軸線觀看時,該一或更多發光表面可環繞外邊界、當沿中心軸線觀看時可設於該外邊界之徑向外側、當沿垂直於中心軸線之軸線觀看時可設為以一偏移距離徑向偏離外邊界下方,且可將光引向平行於中心軸線之方向。該設備可進一步包括基板加熱單元,其設於底板內並具有配置成加熱晶圓支撐區域上之晶圓的一或更多加熱區。該一或更多發光表面可徑向偏離並環繞該一或更多加熱區。
在一些實施方式中, 該設備可進一步包括具有指令的控制器,該等指令配置成使基板加熱單元將設於晶圓支撐區域上之晶圓保持於第一溫度,並在同時將晶圓保持於第一溫度時,使光學晶圓邊緣加熱單元將晶圓的邊緣區域保持在高於第一溫度的第二溫度。
在一些實施方式中,第一溫度可介於約20°C與約120°C之間,而第二溫度可介於約40°C與約150°C之間。
在以下描述中,闡述許多具體細節以提供對本實施例的透徹理解。可在沒有一些或所有此些具體細節下實行所揭示之實施例。在其他實例中,不再詳細描述眾所周知之製程操作,以免不必要地模糊所揭示之實施例。儘管將結合具體實施例來描述所揭示之實施例,但將理解,其並非意在限制所揭示之實施例。
在本申請中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分已製成之積體電路」可互換使用。本領域普通技術人員將理解,術語「部分已製成之積體電路」可指積體電路製造之許多階段中任一者期間的矽晶圓。半導體裝置產業中所使用之晶圓或基板通常具有200 mm或300 mm或450 mm的直徑。除了半導體晶圓之外,可利用所揭示實施例之其他工件包括諸多製品,例如印刷電路板、磁記錄媒體、磁記錄感測器、反射鏡、光學元件、微機械裝置及類似者。 介紹及背景
對於一些半導體沉積製程,可能會發生不希望的背面側沉積。此些沉積製程包括基於凝結之沉積製程,其中製程氣體流向晶圓並導致凝結成顆粒,而顆粒移動至晶圓表面上並變成沉積在其上。在此些沉積製程之部分期間,晶圓可被靜電吸盤(ESC)支撐,靜電吸盤導致對晶圓施加夾持力以防止晶圓與ESC之間的相對移動。一些ESC或其他晶圓支撐件(例如基座)具有尺寸小於晶圓之晶圓支撐區域,其導致晶圓之周邊區域不與ESC接觸並使晶圓背面側之周緣區域暴露於處理腔室環境。如本文所用,基板支撐件包含配置成支撐晶圓或基板之此些諸多晶圓支撐結構,包括ESC(其配置成施加夾持力)或基座。在基於凝結之沉積操作期間,沉積材料可流向並沉積在此暴露之背面側周緣區域上。
出於許多原因,不希望在晶圓背面側上發生沉積。例如,在晶圓上已進行基於凝結之沉積並導致背面側沉積之後,晶圓可被轉移至前開式晶圓傳送盒(FOUP)中。許多FOUP使用與晶圓邊緣區域接觸之結構來支固持並支撐晶圓,而此些結構可能會接觸並磨損背面側沉積,並導致其剝落並移至同一FOUP中之其他晶圓上。進一步地,一些因FOUP支撐結構之接觸及磨損而被去除的背面側沉積可能留在FOUP中,並污染隨後裝入FOUP中的其他晶圓。
許多設備及技術無法充分解決此不利之背面側沉積。例如,設備及技術不會及/或無法防止此沉積。進一步地,一旦已沉積非所欲之材料,可使用濕式清潔操作將其去除,但此些清潔操作會降低產量、增加成本,並可能難以實施。例如,許多濕式清潔操作並未整合於沉積腔室或工具中;相反,其為獨立模組或工具,其需將晶圓轉移至此等清潔模組或工具中。此轉移所涉及之晶圓搬運可能進一步接觸並磨損晶圓,因而使背面側材料脫落並造成進一步污染。使用清潔模組或工具亦需要額外轉移及處理時間,其既會降低產量,亦需要大量昂貴材料,並需要難以操作及維護之複雜液體儲存及輸送系統。
本發明人確定新穎且獨特的設備及技術,以減少及/或防止基於凝結之沉積製程期間發生不希望的背面側沉積。許多基於凝結之沉積製程為溫度相依,因此具有在特定溫度或高於特定溫度時可能接近或達到零之沉積速率。在基於凝結之沉積製程期間,晶圓被保持在具有所欲沉積速率之一或更多設定點溫度,以使材料沉積在晶圓上。本發明人確定,可透過加熱並使晶圓之暴露周邊區域保持處於或高於沉積速率為零或接近於零之溫度,以減少或防止材料的沉積。此在周邊區域(其可具有介於約0.5 mm與5 mm之間的徑向厚度)與晶圓剩餘內部區域之間產生溫差。在一些實施方式中,內部區域可能為晶圓的大部分,例如對於300 mm的晶圓,此內部區域半徑可介於例如約145 mm與149 mm之間。周邊區域可為內部區域之外邊界與晶圓邊緣之間的晶圓其餘部分。
本文提供之設備透過使用一或更多光源對暴露之周邊區域輻射加熱,例如複數發光二極體(譬如,垂直共振腔面射型雷射(VCSEL)所發射的光、或發射穿過透鏡(其藉由光纖纜線連接至一或更多雷射源)之雷射,以在晶圓內部區域與周邊區域之間形成此溫差。 基板支撐件
本發明之態樣係關於輻射加熱晶圓周邊區域用之設備,以在基於凝結之沉積製程期間防止非所欲之背面側沉積。如上所述,材料沉積在晶圓內部區域,且晶圓溫度保持在使材料以特定沉積速率沉積在晶圓正面側之一或更多設定點溫度。在沉積期間,晶圓可被晶圓支撐結構(例如ESC或基座)支撐,且此結構可具有晶圓加熱單元,其具有配置成將晶圓內部區域加熱並保持在產生所欲沉積速率之設定點溫度的一或更多加熱區。如本文所用,基板支撐件包含配置成支撐晶圓或基板之此些諸多晶圓支撐結構,包括ESC(其配置成施加夾持力)或基座。同時,在晶圓內部區域上之此沉積期間,可將周邊區域加熱到並保持在高於設定點溫度的溫度,例如沉積速率為零或接近於零的溫度,以減少或防止在周邊區域發生沉積。
如上所述,許多基於凝結之沉積製程為基於溫度的沉積製程,其在一或更多溫度範圍內可具有為零或接近零的沉積速率。在低於此點之溫度範圍內,沉積速率可為正並導致材料沉積。圖6繪出說明基於凝結之沉積的沉積速率與溫度的圖表。縱軸為沉積速率,而橫軸為溫度,由此可看出,沉積速率隨著晶圓溫度升高而降低。在溫度T1至T2 時,沉積速率為正,但在溫度T3及超過溫度T3時,沉積速率變為零。溫度與沉積之間的此關係用於防止或減少晶圓上非所欲之背面側沉積。對於特定沉積製程化學,將周邊區域加熱至接近、等於或高於沉積速率為零之相關T3溫度。在一些實施方式中,T1及T2可在約30°C至150°C之間的範圍,而T3可大於或等於約60°C及約200°C。
如上所述,本文的設備使用一或更多光源所發出的光對暴露之周邊區域輻射加熱,例如複數發光二極體,譬如,複數VCSEL、或發射穿過複數透鏡(其藉由光纖纜線連接至一或更多雷射源)之雷射。輻射加熱此周邊區域在整個晶圓厚度上產生熱梯度,其可能比對晶圓之此區域傳導加熱更加均勻;在一些實例中,使用傳導加熱在晶圓上產生一或更多不佳之局部熱點,並比該一或更多光源需要更長時間升溫(ramp up)及降溫(ramp down)。
該一或更多光源配置成發射具有可被矽晶圓吸收之波長的光。對矽使用輻射加熱器,無論為摻雜或未摻雜,皆需審慎選擇及配置,因為光波長必須至少部分被矽吸收才能加熱矽。然而,矽僅吸收特定波長,且對許多波長呈透光,例如常見輻射加熱器中所使用之紅外線。在此,該一或更多光源所發射的光被選為被矽吸收,因而使光能夠加熱矽。在一些實施方式中,用於加熱周邊區域之該一或更多光源所發射之光的此些波長包括例如介於約400奈米(nm)與約800 nm之間、介於約200 nm與約1,300 nm之間、介於約500 nm與約1,100 nm之間、介於約800 nm與約1,300 nm之間、以及介於約700 nmm與約1,000 nm之間。例如,矽可吸收具有約800 nm波長之光達矽中約1 mm深度。
在一些實施例中, 該等設備可進一步透過使用基板支撐件中之主動冷卻區在內部區域與周邊區域之間產生溫差,以防止周邊區域中之熱能朝向內部區域傳導。周邊區域中升高的溫度及因此升高之熱能自然地向內輻射,但對內部區域之此熱傳導是不利的,因為內部區域中任何額外熱能皆可能破壞對此區域之緊密且精確的溫度控制,而不利地影響其中的沉積。主動冷卻區可由主動冷卻單元實現,該主動冷卻單元具有周向冷卻鰭部,其熱連接至冷卻劑通道並圍繞晶圓加熱單元之該一或更多加熱區延伸,且徑向偏移至加熱區外。 周向冷卻鰭部作用為熱障及熱交換器;來自周邊區域之熱被傳導至周向冷卻鰭部及冷卻劑通道,因而將多餘的熱從晶圓去除。在一些實施方式中,絕熱體徑向插於周向冷卻鰭部與晶圓加熱單元之該一或更多加熱區之間,以防止周向冷卻鰭部對內部區域發生非所欲之熱移除。
圖1A繪出根據所揭示實施例之基板支撐件的等角視圖。基板支撐件100包括底板102,底板102之頂部上具有晶圓支撐區域104。晶圓支撐區域104配置成支撐放置在其上之晶圓並至少部分地由外邊界106定義,如所示,外邊界106可為圓形或實質上圓形(例如,因製造公差在圓形之5%以內)。在一些實例中,晶圓支撐區域104可具有平面表面,如圖1A所示,而在一些其他實例中,晶圓支撐區域104具有非平面形貌,例如凹槽或圓柱形接觸區域。
對於許多基板支撐件,晶圓支撐區域104具有比其支撐之晶圓更小的表面積及直徑,此導致晶圓之周邊區域不與基板支撐件接觸或不被基板支撐件支撐。圖2A繪出圖1A之基板支撐件連同晶圓的側視圖,圖2B繪出圖1B之頂視圖。在圖2A中,晶圓108設於晶圓支撐區域104上,且晶圓之表面區域110及外徑112大於晶圓支撐區域之表面區域114及外邊界106,此導致晶圓108之周邊區域116延伸超過晶圓支撐區域104的外邊界106且不與基板支撐件接觸或被其支撐。晶圓之外徑112或外邊緣因此比晶圓支撐區域104之外邊界106更徑向偏離基板支撐件之中心軸線111。
此些晶圓及基板支撐幾何結構進一步示於圖2B中,其示出晶圓支撐區域上方之晶圓108及其邊界106,該邊界106用虛線繪示以說明其位於晶圓108下方且從該圖的角度看不到。周邊區域116亦用陰影來突顯。可看出,晶圓108之外徑112或外邊緣以半徑R1徑向偏移,其比晶圓支撐區域104之外邊界106離基板支撐件之中心軸線111(示為圖中心處的 X)更遠,外邊界106以半徑R2(其小於半徑R1)徑向偏離中心軸線111。中心軸線111可被視為沿著基板支撐件100縱向延伸並可垂直於晶圓支撐區域104。在一些實施方式中,周邊區域116可具有介於約5 mm與約0.5 mm之間的徑向厚度117,例如包括約4 mm、約3.5 mm、約3 mm、約2.5 mm、約2 mm、約1.5 mm或約1 mm。
如圖2A及2B中所示,晶圓108之此周邊區域116懸於基板支撐件上並暴露於處理腔室環境,在此區域中其可能導致晶圓上之非所欲背面側沉積。例如,在圖2A中,製程氣體及材料可在晶圓邊緣112周圍流動,並變成沉積在周邊區域116中之晶圓背面側118上,如箭頭120所示。為防止此背面側沉積, 本文提供之基板支撐件具有光學晶圓邊緣加熱單元,其配置成將晶圓之周邊區域輻射加熱至基於凝結之沉積不發生或以可接受之低速率發生的溫度或溫度範圍,因而減少及/或防止此非所欲之背面側沉積。光學晶圓邊緣加熱單元透過從一或更多光源(例如各別發光二極體或雷射源)發射特定波長的光來輻射加熱。 發射的光穿過將光分佈至晶圓周邊區域上及其周圍之一或更多發光表面。在一些實施例中,可有一個發光表面,而在其他實施例中,可有複數發光表面。
返回參考圖1A,基板支撐件100包括光學晶圓邊緣加熱單元120,其具有一或更多光源及複數發光表面。發光表面122(標出其中的一些)以圓形表面表示。將理解,此些表面可為光通過的表面,例如連接至光纖纜線之透鏡、LED的表面、VCSEL的表面及/或覆蓋LED的外表面(例如, LED上的殼體)。在圖1B(其繪出圖1A之基板支撐件的頂視圖)中,該複數發光表面122更清楚可見。當沿基板支撐件之中心軸線111觀看時,該複數發光表面122圍繞底板102之外邊界106延伸並環繞外邊界106;此些發光表面122亦設於中心軸線111之徑向外側,並因此相對於中心軸線111具有比外邊界106更大的徑向距離。在一些實施方式中,每一發光表面122可以相同或實質上相同(例如,在10%內)的徑向距離R3徑向偏離中心軸線111。此徑向距離R3可從每一發光表面122之中心點或平均標稱點測量。在一些其他實施方式中,至少一發光表面122可以不同於一或更多其他發光表面122的徑向距離偏移。
當從側面觀看基板支撐件時,例如沿垂直於中心軸線111的軸線觀看,發光表面122亦設於晶圓支撐區域104之垂直下方。圖1C繪出圖1A之基板支撐件的剖面側視圖。晶圓支撐區域104、其外邊界106及兩個發光表面122見於圖1C中。發光表面122設為沿中心軸線111使其以第一偏移距離D1(其為非零距離)偏離外邊界106並位於其下方。此第一偏移距離D1可例如介於約0.5 mm與約20 mm之間、介於約1 mm與約10 mm之間、介於約3 mm與約20 mm之間以及介於約5 mm與約10 mm之間的範圍。
發光表面122設置並配置成將光引向設於晶圓支撐區域104上之晶圓(未示於此處圖1C中;參見圖1D)周邊區域116的背面側118。光可以諸多方式從發光表面122發射,例如不同程度之準直光(collimated light)以及漫射光。準直度(degree of collimation)可基於不同態樣之光學晶圓邊緣加熱單元(例如包括是否使用透鏡)及光源變化。舉例來說,比起來自氣體之雷射光或發射穿過透鏡之晶體雷射,雷射二極體(例如VCSEL)具有較不準直的光。此外,發出可見光之LED可能放出漫射光。因此,從發光表面122放出的光可能具有不同的方向分量,包括至少在具有平行於中心軸線111之方向分量的方向上。例如,在圖1C中,示出兩個示例光束,其中光束126A為平行於中心軸線111的向量,而光束 126B為不平行於中心軸線111的向量,但具有平行於中心軸線111之第一方向分量128A及垂直於中心軸線111之第二方向分量128B。
在一些實施方式中,發光表面122可相對於中心軸線111形成角度及/或相對於外邊界106呈切線,以產生放射至晶圓上之重疊光束。在圖1C中,此角度可關於垂直於圖之頁面的軸線及關於垂直於中心軸線111之另一軸線。
圖1D(繪出具有晶圓之圖1C基板支撐件的側視圖)示出將光引至晶圓上之晶圓邊緣加熱單元。在此,晶圓108位於晶圓支撐區域104上,並可看到周邊區域116延伸超過外邊界並位於複數發光表面122上方,如上所討論。可看到光學晶圓邊緣加熱單元120使光穿過或透過發光表面122發射至周邊區域116上,包括到晶圓108之背面側118上,以加熱周邊區域116。發出的光示為光束126C及漫射光126D,以顯示光可如何發射至晶圓108上以加熱周邊區域116之非限定示例。
如上所述, 在一些實施方式中,光學晶圓邊緣加熱單元120可具有為發光二極體(LED)之複數光源,包括發射可見光之LED及發射雷射之彼等,例如VCSEL。在一些此等實施例中,發光表面122可被視為LED光源之一部分,使得每一發光表面均為對應光源之一部分。例如,發光表面可為發射光的二極體,或者對於VCSEL,發光表面可為VCSEL的外表面,因為雷射是在一堆材料中產生,例如在反射鏡與氧化物層之間。在一些實施例中,此些LED放出波長包括例如,介於約400 奈米(nm)與約800 nm之間、介於約800 nm與約1,300 nm之間、介於約200 nm與約1,200 nm之間、介於約500 nm與約1,100 nm之間、介於約800 nm與約1,300 nm之間、以及介於約700 nm與約1,000 nm之間的光。此些波長能夠被矽晶圓吸收,因而將晶圓加熱至例如至少約80°C、約100°C、約110°C、約120°C、約130°C、約140°C、約150°C、約160°C、約170°C、約180°C、約190°C及約200°C。
透過使用 LED,發光源及發光表面可全部設於光學晶圓邊緣加熱單元120內,如圖1C所示。在此,發光源124由包含發光表面122之方框表示,其兩者均包含於光學晶圓邊緣加熱單元120之殼體125內。在一些實施方式中,此些發光源124中之每一者可相互串聯電連接,以簡化並統一其供電。在一些其他實施方式中,此些發光源124之子群組可電連接在一起,以利於不同供電方式,因而利於不同加熱方式以在光學晶圓邊緣加熱單元120中建立可調加熱區域。此可調性可實現對晶圓周邊區域中之溫度曲線作更精細的調整。
包含於光學晶圓邊緣加熱單元中之光源的數量可變化。在一些實施方式中,光源(例如LED或VCSEL)的數量可例如小於約100、約150、約200、約250、約300、約350、約400、約450或約500。
在一些實施方式中,光學晶圓邊緣加熱單元之光源可為與基板支撐件分離之單個雷射源,例如在放置基板支撐件之處理腔室外部。單個雷射源可連接至橫跨於雷射源與基板支撐件之間的複數光纖纜線。每一光纖纜線可終止於一個透鏡,該透鏡可為發光表面。圖1E繪出圖1A之基板支撐件及單個雷射源的剖面側視圖。可看到,單個雷射源130為與基板支撐件100分離的結構,且連接至複數光纖纜線132,其每一者終止於發光表面122,示出其中兩個且可被視為透鏡。
連接至圖1E光學晶圓邊緣加熱單元之單個雷射源130的發光表面(例如透鏡)數量可變化。在一些實施方式中,發光表面(例如透鏡)的數量可例如小於約100、約150、約200、約250、約300、約350、約400、約450或約500。此可包括一個發光表面。
在部分此些實施例中,連接至光纖纜線之發光表面122可相對於中心軸線定向於垂直角度,如圖1C所繪。在一些其他實施例中,發光表面122可相對於中心軸線111定向於非垂直角度,例如銳角或鈍角。
類似於LED,雷射源130放出波長包括例如,介於約400 奈米(nm)與約800 nm之間、介於約200 nm與約1,200 nm之間、介於約800 nm與約1,300 nm之間、介於約500 nm與約1,100 nm之間以及介於約700 nm與約1,000 nm之間的雷射光。此些波長能夠被矽晶圓吸收,因而將晶圓加熱至例如至少約80°C、約100°C、約110°C、約120°C、約130°C、約140°C、約150°C、約160°C、約170°C、約180°C、約190°C或約200°C。
因為處理腔室中所使用之一些製程氣體及/或清潔氣體可能對該一或更多光源及/或發光表面有害,因此一些實施方式可能使用窗口來覆蓋並保護該一或更多光源及/ 或發光表面免受此些氣體影響。窗口可由對該一或更多光源所放出之光波長透光的材料構成,包括具有例如介於約400 nm至1,500 nm範圍之波長的光。在一些實施例中,此材料可為石英、藍寶石、具有藍寶石塗層之石英、或氟化鈣(CaF)。
在一些實施例中,基板支撐件可具有設於該複數發光表面上方之單個窗口。參考圖1B,基板支撐件100包括窗口134,其具有環形、環形狀,示為具有虛線內邊界131及外邊界133的陰影。可看出,窗口134圍繞中心軸線111延伸並覆蓋發光表面122。窗口134之內邊界131的內半徑R4可小於發光表面122的半徑R3,且窗口134之外邊界133的半徑R5可大於半徑R3。窗口與基板支撐件之其他特徵進一步示於圖1F中,圖1F繪出圖1C之基板支撐件的放大部分。此處可見,窗口位於發光表面122上方,使得窗口沿中心軸線111設於外邊界106與發光表面122之間。此外,窗口134以距離 D2(平行於中心軸線111)偏離外邊界106,距離 D2小於發光表面122偏離外邊界106之偏移距離 D1。
在一些實施例中,基板支撐件可具有複數窗口設於一或更多發光表面上方。此可包括例如多個環形扇狀部分,其各自圍繞中心軸線部分地延伸。 此亦可包括例如方形、圓形、長圓形、橢圓形或其他幾何形狀的窗口。在一些實例中,基板支撐件可具有對應於發光表面數量之若干窗口,使得每一窗口對應於一個發光表面,即每一發光表面具有其自有的對應窗口。在具有連接至光纖纜線之透鏡及雷射源的一些實施例中,透鏡本身可為窗口並因此可由對該一或更多光源發射之光波長透光的材料構成,例如石英、藍寶石、具有藍寶石塗層之石英、或氟化鈣 (CaF)。在一些實施方式中,窗口的厚度可例如介於約0.5 mm與約15 mm之間、或介於約1 mm與10 mm之間。
基板支撐件之該一或更多窗口亦可連接至基板支撐件,包括連接至光學晶圓邊緣加熱單元,以在發光表面周圍產生一或更多氣室容積,使發光表面與處理腔室環境流體隔絕。此可包括將發光表面設於連同該一或更多窗口一起形成密封氣室容積之殼體125或其他結構中。在一些實施例中,流體可在該氣室容積內流動,例如冷卻流體,其可為惰性氣體,如氬、氮或氦。此流體可用於控制光學晶圓邊緣加熱單元的溫度。基板支撐件可具有一或更多入口及一或更多出口,用於使此氣體於氣室容積內流動。該一或更多入口流體連接至腔室外的惰性氣體源,其可包括可至少部分地路由於基板支撐件內部之貫穿流體導管。該一或更多出口流體連接至排出口或腔室外之其他環境,其亦可通過在基座內走線的流體導管。
本文提供之基板支撐件亦可包括其他溫度控制元件。例如,如上提供,希望在晶圓之周邊區域與預計進行沉積之內部區域之間產生溫差, 但由於光學晶圓邊緣加熱將周邊區域加熱至比內部區域更高的溫度,故邊緣區域中增加的熱能可能自然地徑向朝內傳導至內部區域。此朝內之熱傳導是不希望發生的,因為其可能影響進行沉積製程之內部區域的精確溫度控制,因而對沉積產生不利影響,例如增加晶圓的不均勻性。基板支撐件因此可包括主動冷卻單元以產生移除周邊區域中所增加之熱能的熱斷或散熱。主動冷卻單元可包括冷卻劑通道及在基板支撐件之底板中的周向冷卻鰭部,其圍繞中心軸線延伸、於該複數發光表面之徑向內側、並熱連接至冷卻器。
圖1G繪出具有額外特徵之圖1C的剖面側視圖。在此,基板支撐件100具有主動冷卻單元136(包含於虛線形狀內),其包括熱連接至(例如,物理連接或經由例如金屬或金屬合金之導熱材料連接至)殼體142中一或更多冷卻通道140之周向冷卻鰭部138。周向冷卻鰭部138設於複數發光表面122之徑向內側,使得周向冷卻鰭部138比發光表面122更靠近中心軸線111;在一些實例中,周向冷卻鰭部138可位於光學晶圓邊緣加熱單元120之徑向內側,亦如圖1G中所示。冷卻通道140配置成接收被冷卻至低溫(例如約-20°C)的傳熱流體,例如水。 此可包括使冷卻通道140流體連接至位於基板支撐件所在之處理腔室外部的冷凝器或冷卻器。具有冷卻通道140之殼體142熱連接至周向冷卻鰭部138,使得在周向冷卻鰭部138與冷卻通道140之間存在熱通路,以用於從基板支撐件100移除周向冷卻鰭部138所接收到的熱。
周向冷卻鰭部138可具有相對小的徑向厚度並可設於靠近基板支撐件之外邊界以靠近加熱之周邊區域。以此方式配置並設置之周向冷卻鰭部138允許發生沉積之晶圓區域更靠近外邊界106,且因此更大,其有利地對沉積及裝置製作提供更多的區域,因而提高晶圓的產率。據此,在一些實施方式中,周向冷卻鰭部138之徑向厚度144可小於或等於約4 mm、約3 mm、約2 mm或約1 mm。在一些實施例中,周向冷卻鰭部138可設於與外邊界106相距可例如小於或等於約4 mm、約3 mm、約2 mm、約1 mm、約0.5 mm或約0.25 mm之徑向距離內。
基板支撐件及周向冷卻鰭部之配置進一步示於圖1H中,其繪出圖1G之基板支撐件的剖面頂視圖切面。可看到光學晶圓邊緣加熱單元120及發光表面122徑向偏移於基板支撐件100之外邊界106外側,並在其周圍延伸;為了清楚,外邊界106以超粗線繪示。亦可看到周向冷卻鰭部138(用交叉影線繪示)圍繞並環繞基板支撐件100之中心軸線111延伸並設為從外邊界106朝中心軸線111之徑向內側。周向冷卻鰭部138具有內半徑R6之內邊界141及外半徑R7之外邊界143,其一起至少部分地定義出周向冷卻鰭部138的徑向厚度144。
如圖1H所示,在一些實施方式中,周向冷卻鰭部138可徑向偏離外邊界106,使得外邊界106之半徑R2大於周向冷卻鰭部138的外半徑R7。在一些其他實施方式中,周向冷卻鰭部138可與外邊界106重疊,外邊界106可包括例如作為基板支撐件之外部結構一部分的周向冷卻鰭部138。在周向冷卻鰭部138徑向內側之基板支撐件100的區域可為在其上發生沉積的晶圓區域。基板支撐件之此內側區域(以陰影圓形區域146表示)可使用具有一或更多加熱區之晶圓加熱單元以將設於其上之晶圓加熱至不同於周邊區域的溫度。
如上所述,希望減小周向冷卻鰭部138之徑向厚度以擴大其上發生沉積的區域。因此,在一些實施方式中,例如,周向冷卻鰭部138之徑向厚度144可例如小於或等於約4 mm、約3 mm、約2 mm或約1 mm。進一步地,將周向冷卻鰭部138設於靠近外邊界106(包括與外邊界106重疊)可能是有利的。在一些此等實施例中,如圖1H所示,周向冷卻鰭部138之內半徑R6可以例如小於約 4mm、約3 mm、約2 mm或約1 mm之徑向距離RD1(垂直於中心軸線111)徑向偏離外邊界106。此距離使主動冷卻區能夠靠近周向環形區域,其為理想的,因為其擴大可被加熱至沉積溫度並用於沉積之周向冷卻鰭部138徑向內側的剩餘區域,因而增加晶圓的產率。
返回參考圖1G,示出基板支撐件100之額外特徵,包括可被視為用於在處理期間控制晶圓溫度之熱控制系統的晶圓加熱單元148。在圖1G中,晶圓加熱單元148為多區域熱控制系統,其特徵在於彼此同心並設於夾持電極152下方之三個環形電阻加熱器跡線150a、150b及150c。中心電阻加熱器跡線150a、150b及150c在一些實施方式中可填充大致圓形區域,且每一電阻加熱器跡線150a、150b及150c可在對應環形區域內順著大致蛇形或其他曲折路徑。每一電阻加熱器跡線150a、150b及150c可被各別地控制以在基板支撐件中提供各種徑向加熱曲線;此等三區加熱系統可例如被控制成在一些實例中將晶圓保持為具有±0.5°C的溫度均勻性。儘管基板支撐件100以三區域加熱系統為特徵,但其他實施方式可使用具有多於或少於三個區域之單區域或多區域加熱系統。
如圖1G所示,在一些實施方式中,晶圓加熱單元148與光學晶圓邊緣加熱單元120分開。可看到晶圓加熱單元148設於基板支撐底板內部,而發光表面122徑向偏離並環繞晶圓加熱單元148之該一或更多加熱區。周向冷卻鰭部138亦相對於中心軸線111徑向插於晶圓加熱單元148與發光表面122之間。
在一些實施方式中,例如,晶圓加熱單元148之溫度控制機構可使用熱泵來代替電阻加熱跡線。例如,在一些實施方式中,電阻加熱器跡線可被帕爾帖接面(Peltier junctions)或其他可被控制成將熱從其一側「泵送」至另一側的類似裝置取代或增強。此等機構可用於例如從晶圓支撐區域104(並因而晶圓)吸取熱並將其引至底板102及熱交換通道154中,因而允許晶圓得以更快速且更有效地加熱或冷卻(若需要的話)。
基板支撐件100亦可包括熱分散或冷卻系統153,其可包括一或更多熱交換通道154,其以總體分佈方式佈設在整個底板102上,例如熱交換通道154可於底板102中心周圍順著蛇形、圓形折回或螺旋形圖案。熱交換介質(例如水或惰性氟化液體)可在使用期間循環通過熱交換通道154。熱交換介質之流率及溫度可從外部控制,以在底板102中引起特定加熱或冷卻行為。
在一些實施方式中,冷卻系統153作為基板支撐底板102之內部區域146(例如發生沉積之晶圓內部)與主動冷卻單元136兩者的冷卻系統可能是不可行的。如上所述,為了在周邊區域與晶圓內部區域之間產生晶圓溫差,一些實施方式透過利用配置成移除此邊緣區域中多餘熱量之溫度以主動冷卻晶圓的周緣區域來移除周邊區域中額外的熱。相反地,冷卻系統153係配置成冷卻晶圓加熱單元148之溫度並對其提供溫度控制,該溫度低於周邊區域的溫度。 因此,在處理操作期間,冷卻系統153配置成冷卻晶圓加熱單元148之溫度,並因而從晶圓加熱單元148中去除所需量之熱及熱能,其小於周邊區域中之熱及熱能。因此,冷卻系統153可能無法從比晶圓內部溫度更高之周邊區域去除足夠的熱。
例如,晶圓加熱單元148可將晶圓加熱至50°C,而冷卻系統153則配置成冷卻晶圓加熱單元148以保持此溫度。當晶圓之內部處於50°C時,光學晶圓邊緣加熱單元可將晶圓之周邊區域加熱至75°C。主動冷卻單元136配置成將晶圓從75°C冷卻至50°C,而冷卻系統153則配置成冷卻晶圓加熱單元148以保持較低的50°C。因此,冷卻系統153可能無法去除足夠的熱以將周邊緣區域從75℃充分冷卻至50℃。
在一些實施方式中,在周向冷卻鰭部與晶圓加熱系統之間包括絕熱體以將主動冷卻單元與晶圓加熱系統熱隔離可能是有利的。如上所述,晶圓加熱系統係用於在沉積期間精確、準確並均勻地加熱晶圓,而周向冷卻鰭部則可能移除晶圓加熱系統的熱而對晶圓上所需之溫度曲線及因而發生的沉積造成不利影響。返回參考圖1G,基板支撐件100包括絕熱體156,其相對於中心軸線111徑向插於周向冷卻鰭部138與晶圓加熱單元148之間。圖1H亦繪出此絕熱體156。在一些實施例中,絕熱體可為氣隙,而在一些實例中,其可為陶瓷,例如氧化鋁、聚合物(例如聚二醚酮(PEEK))、彈性體(例如矽橡膠)、氣凝膠或石英。
在圖1G中,基板支撐件100亦包括靜電夾持電極系統,其可具有一或更多夾持電極152,夾持電極152可用於在設於晶圓支撐區域104上之晶圓內產生電荷,其導致將基板拉靠於晶圓支撐區域104上。在一些實例中,存在提供雙極靜電夾持系統之兩個夾持電極,而在其他實施方式中,可僅使用單個夾持電極來提供單極靜電夾持系統。
主動冷卻單元與光學晶圓邊緣加熱單元之配置可在不同實施方式中變化。如圖1F所示,包括複數發光表面122之光學晶圓邊緣加熱單元120設於具有主動冷卻單元136之冷卻通道140的殼體142上方。在此等實施例中,該複數發光表面122沿中心軸線111設置成插於晶圓支撐區域104與冷卻通道140之間。
在一些其他實施例中,複數發光表面可設於具有冷卻通道之殼體下方並配置成通過殼體中之一或更多埠將光發射至晶圓上。圖3繪出根據所揭示實施例之另一基板支撐件的剖面側視圖。在此,除了所指出之差異外,基板支撐件300可與以上所提供的類似或相同地配置。圖3中之基板支撐件300包括具周向冷卻鰭部338之主動冷卻單元336,周向冷卻鰭部圍繞中心軸線311延伸且沿中心軸線311具有小於圖1G之周向冷卻鰭部138之高度H1的高度H2。透過將具有冷卻通道340之殼體342設成比光學晶圓邊緣加熱單元320更靠近晶圓支撐區域304,得以實現較短之周向冷卻鰭部338。在一些實施方式中,主動冷卻單元336之此設置及配置可有利地冷卻較少的基板支撐件300底板302,因而減少其對基板支撐件之其餘部分的熱效應,且因殼體342與晶圓支撐區域304之間的熱通路,亦可使用或需要較少的冷卻來達到晶圓支撐區域304上之所需溫度。
進一步地,於圖3中,包括複數發光表面322之光學晶圓邊緣加熱單元320設於主動冷卻單元336下方。此導致冷卻劑通道340與殼體342沿中心軸線311設於外邊界306與光學晶圓邊緣加熱單元320及複數發光表面322之間。為實現此設置,主動冷卻單元336配置成使複數發光表面322所發射的光能夠穿過殼體342並到達晶圓上。如圖3所示,殼體342包括一或更多埠358,例如孔、槽或其他開口,來自複數發光表面322的光可穿過該等埠358而到達設於晶圓支撐區域304上的晶圓。該一或更多埠358連接至發光表面322 使得穿過發光表面322的光(例如光326)穿過該一或更多埠358以到達晶圓支撐區域304上的晶圓。
在一些實施方式中,類似於上文,該一或更多埠358可具有設於其上及/或其中之窗口334,以保護該一或更多埠158及/或發光表面322免受製程氣體影響。窗口334可如上所述地配置,包括對來自發光表面322之光呈透光、具有以諸多方式引導光的透鏡、且由例如石英或藍寶石之材料製成。在圖3中,窗口360示於埠358的一端。
本文所述之基板支撐件的特徵可應用於用來支撐基板的任何結構,例如具有一或更多夾持電極之靜電吸盤(ESC)或不具有夾持電極的基座。 設備和沉積技術
本文提供之基板支撐件可用作諸多沉積處理腔室及技術的一部分。一些此等處理為極紫外(EUV)微影。EUV微影使用EUV阻劑,其被圖案化以形成用於蝕刻下伏層之遮罩。EUV阻劑為透過基於液體之旋塗技術所產生之基於聚合物的化學放大阻劑(CAR)。
旋塗技術(其為一種「濕」膜形成技術)包括將平坦基板置於轉盤上、在基板的中心放置一定量的液膜成分、接著在一般高速(例如每秒20至80轉)下旋轉基板持續30至60 秒,以形成具高均勻性厚度的膜。浸塗為另一種濕膜形成技術,其中基板定向為使其主面平行於垂直方向,接著浸入液膜成分浴中,而後取出。然而,由於使用液體成分,「濕」膜形成技術可能不適於塗覆非平坦基板,例如,在其暴露之上表面中蝕刻有預先存在之特徵部圖案的基板。例如,若基板不平,例如,具有圖案化於待塗覆表面中之現有特徵部,則液體成分將易於填充彼等特徵部,導致基板之非特徵部分與基板之特徵部分之間有可變的膜厚度(雖然沉積膜之最上表面可能表面上呈平面且均勻,但沉積膜之深度可能因下層特徵部的存在而變化)。
乾式沉積技術(亦稱為氣相沉積技術)以及其他類似技術相比之下是將膜成分輸送至基板上以作為氣相反應物,其接著在基板之顯露表面上凝結或吸附,形成大致保形之均勻厚度層。因此,沉積膜層之厚度可在整個基板上總體地保持均勻,無論是在基板之特徵區域或非特徵區域中。應當理解,即使在一些例子中膜成分於目標基板上凝結,此等沉積技術亦不被視為「濕式」技術。乾式沉積製程(例如本文所討論)之另一關鍵優勢為,此等製程可在不同溫度及壓力環境範圍內進行,且通常在低於大氣壓的條件下進行。此允許使用較少量的反應物(與使用濕式沉積製程形成相同膜所需的量相比)來形成給定的光阻膜。相較於使用濕式沉積技術來提供相同的膜,此降低提供此等膜的材料成本。乾式沉積製程亦導致較低的產量損失,因為在塗佈光阻層之後幾乎無需或不需要乾燥基板,因此所製成的基板能夠以較高速率準備用於後續處理階段。
含金屬氧化物膜可在真空環境中透過EUV曝光直接圖案化(即,無需使用分別的光阻),其提供小於30 nm之圖案化解析度。一般地,圖案化涉及利用EUV輻射對EUV阻劑進行曝光以在阻劑中形成光圖案,接著根據光圖案進行顯影以去除一部分阻劑而形成遮罩。該遮罩接著可用於隨後處理操作中,例如蝕刻製程。
直接可光圖案化之EUV阻劑可由金屬及/或金屬氧化物構成或含有金屬及/或金屬氧化物。金屬/金屬氧化物因其可增強EUV光子吸收並產生二次電子及/或相對於下伏膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。
EUV敏感之含金屬或含金屬氧化物膜可乾式沉積於基板上。描述根據本發明之合適組成、材料及乾式沉積處理操作的一些特徵,其以引用方式併於本文,用於揭示適用於本發明之此些方法及材料。此等方法包括形成氣相之聚合有機金屬材料並將其沉積在基板上的方法。尤其,用於在半導體基板表面上製作EUV可圖案化薄膜之方法可包括 : 將有機金屬前驅物之蒸氣流與相對反應物之蒸氣流混合,以形成聚合有機金屬材料;以及將有機金屬聚合物類材料沉積至半導體基板之表面上。在一些實施例中,多於一種有機金屬前驅物包含於蒸氣流中。在一些實施例中,多於一種相對反應物包含於蒸氣流中。在一些實施例中,混合及沉積操作係在連續化學氣相沉積(CVD)、原子層沉積 (ALD)製程或具有CVD組分的ALD(例如金屬前驅物與相對反應物在時間或時間與空間上分開之不連續類ALD製程)中執行,例如,在一些ALD型製程中,一或更多有機金屬前驅物可流至基板上,基板接著可移至可使一或更多相對反應物流至基板上之另一處理站或另一處理腔室。將理解,本文僅提及「反應物」旨在指稱有機金屬前驅物與相對反應物兩者,例如,「反應物的同時流動」係指有機金屬前驅物與相對反應物的同時流動。
在沉積之後,透過將具有薄膜之晶圓暴露於穿過光學遮罩(其具有將圖案化至晶圓上的特徵)的EUV光束(通常在相對高真空下)以圖案化EUV可圖案化薄膜,接著從真空中取出晶圓,並視情況地在環境空氣中進行曝光後烘烤。曝光產生一或更多曝光區域,使得膜包括未曝光於EUV光之一或更多未曝光區域。對塗覆基板之進一步處理可利用曝光與未曝光區域的化學及物理差異。
基板可包括適用於黃光微影處理之任何材料構造,尤其是用於積體電路及其他半導體裝置的製造。在一些實施例中,此等基板為矽晶圓。其上已建立特徵部(「下伏特徵部」)之基板可具有不規則表面形貌(如本文所提及,「表面」為其上將沉積本發明之膜或在處理期間將曝光於EUV之表面)。此等下伏特徵部可包括在進行本發明方法之前已在處理期間去除材料(例如,透過蝕刻)的區域或者已添加材料(例如,透過沉積)的區域。此等先前處理可包括本發明之方法或反覆製程(iterative process)中之其他處理方法,藉此得以在基板上形成兩層或更多層特徵部。
如先前所討論,EUV敏感薄膜可沉積在基板上,以形成遮罩層。此等EUV敏感膜可操作作為後續EUV微影及處理之阻劑,且可包括曝光於EUV後即發生變化之材料,例如失去低密度富含M-OH材料中鍵結至金屬原子之大體積側基配位基,從而使其交聯成更緻密之M-O-M鍵結的金屬氧化物材料,其中M為具有高EUV吸收截面之金屬。透過EUV圖案化,產生相對於未曝光區而有物理或化學性質變化之膜的區域。此些性質可在後續處理中加以利用,例如溶解未曝光或曝光區,或在曝光或未曝光區上選擇性地沉積材料。在一些實施例中,在進行此等後續處理之條件下,未曝光膜具有比曝光膜更疏水的表面。例如,可透過利用膜之化學組成、密度及交聯上的差異來進行材料的去除。可透過濕式處理或乾式處理來去除,如下進一步所述。
在諸多實施方式中,薄膜為有機金屬材料(例如包含 SnO x 之有機錫材料)或其他金屬氧化物材料/部分(moieties)。有機金屬化合物可在有機金屬前驅物與相對反應物之氣相反應中製成。在諸多實施例中,有機金屬化合物係透過將具有大體積烷基或氟代烷基之有機金屬前驅物與相對反應物之特定組合混合並在氣相中使該混合物進行聚合而形成,以產生沉積在基板上之低密度、EUV敏感材料。
在諸多實施例中,有機金屬前驅物在每一金屬原子上包含至少一烷基,金屬原子可在氣相反應後存留下來,而配位至金屬原子之其他配位基或離子可被相對反應物取代。有機金屬前驅物包括具式 M aR bL c 之彼等 ,其中M為具有高EUV吸收截面之金屬;R為烷基,例如 C nH 2n+1 ,較佳為其中n ≥ 3;L為配位基、離子、或與相對反應物具反應性之其他部分;a≥1;b≥1;且c≥1。
在諸多實施例中,M具有等於或大於1x10 7cm 2/mol之原子吸收截面。M可舉例為如錫、鉍、銻、碲或其兩者或更多者之組合的材料。在一些實施例中,M為錫。R可被氟化,例如具有式 C nF xH (2n+1) 。在諸多實施例中,R具有至少一β-氫或β-氟。例如,R可為異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基、或其兩者或更多者之混合。L可為易被相對反應物取代以產生M-OH部分之任何部分,例如胺(例如二烷胺基、單烷胺基)、烷氧基、羧酸根、鹵素或其兩者或更多者之混合的部分。
有機金屬前驅物可為多種待選金屬有機前驅物中之任一者。例如,當M為錫時,此等前驅物包括叔丁基三(二甲胺基)錫、異丁基三(二甲胺基)錫、正丁基三(二甲胺基)錫、仲丁基三(二甲胺基)錫、異丙基(三)二甲胺基錫、正丙基三(二甲胺基)錫及類似之烷基(三)(叔丁氧基)錫化合物,例如叔丁基三(叔丁氧基)錫。在一些實施例中,有機金屬前驅物可被部分氟化。
相對反應物可選定為具有取代反應性部分、配位基或離子(例如,上式1中的L)以透過化學鍵結連接至少兩金屬原子之能力。相對反應物可包括水、過氧化物(例如過氧化氫)、二或多羥基醇、氟化二或多羥基醇、氟化二醇(fluorinated glycols)及羥基部分之其他來源。在諸多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與有機金屬前驅物反應。其他可能的相對反應物包括硫化氫及二硫化氫,其可透過硫橋使金屬原子交聯。
除了有機金屬前驅物及相對反應物之外,薄膜還可包括可選的材料,以對膜的化學或物理性質進行改質,例如以改質膜對EUV的敏感性或增強抗蝕刻性。可引入此等可選材料,例如透過在膜沉積於基板上之前的氣相形成期間、膜沉積之後或兩者期間進行摻雜。在一些實施例中,可引入溫和的遠端 H 2 電漿,以利用Sn-H取代一些Sn-L鍵,其可增強阻劑在EUV下的反應性。
在諸多實施例中,可使用本領域已知之彼等中的氣相沉積裝備及製程,以將EUV可圖案化膜沉積在基板上。在此等製程中,聚合之有機金屬材料係以氣相或原位形成於基板表面上。用於在基板上形成此等聚合有機金屬材料之合適製程包括例如利用化學氣相沉積(CVD)、原子層沉積(ALD)及具有CVD組分的ALD(例如金屬前驅物與相對反應物在時間或時間與空間上分開之不連續類ALD製程)來沉積。
一般而言,方法可包括將有機金屬前驅物蒸氣流與相對反應物蒸氣流混合,以形成聚合之有機金屬材料,並接著將有機金屬材料沉積至半導體基板之表面上。如本領域具有通常技藝者將理解,製程之混合與沉積方面可在實質上連續的製程中同時進行。
在示例性連續CVD製程中,將有機金屬前驅物與相對反應物源之兩個或更多氣流(於分開入口路徑中)引至CVD設備之沉積腔室中,在此其於氣相中混合並反應,以形成團聚之聚合物材料(例如,透過金屬-氧-金屬鍵形成)。可例如使用分開注入入口或雙氣室噴淋頭將氣流分開引入沉積腔室中。該設備可配置成使有機金屬前驅物與相對反應物流於沉積腔室中混合,從而允許有機金屬前驅物與相對反應物反應,以形成聚合之有機金屬材料。在不限制本技術之機制、功能或實用性下,據信來自此等氣相反應之產物因金屬原子透過相對反應物交聯而變成更大分子量,並接著凝結或沉積在基板上。在諸多實施例中,大體積烷基之立體障礙阻止形成緻密堆積網,並產生多孔、低密度膜。
CVD製程一般是在減壓下進行,例如從10毫托耳(milliTorr)至10 托耳(Torr)。在一些實施例中,該製程係於0.5至2 Torr下進行。基板之溫度可較佳保持於或低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。在諸多製程中,聚合之有機金屬材料在基板上的沉積係以與表面溫度成反比的速率發生。
形成在基板表面上之EUV可圖案化膜的厚度可根據表面特性、所使用之材料、沉積持續時間及處理條件而變化。在諸多實施例中,膜厚度可為0.5 nm至約100 nm之範圍,且阻膜之最大總吸收可為30%或更小(例如,10%或更小、或5%或更小),使得阻膜底部處之阻劑材料充分曝光。在一些實施例中,膜厚度為10 nm至20 nm。在不限制本發明之機制、功能或實用性下,據信不同於本領域之濕式旋塗製程,本發明之製程對基板之表面黏附性質的限制較少,因此可應用至多種基板。再者,如上所討論,所沉積之膜可緊密地符合表面特徵部,從而提供在基板(例如具有下伏特徵部之基板)上形成遮罩而無需「填充」或以其他方式使此等特徵部平坦化的優點。
沉積的膜可透過使膜之一或更多區域曝光於EUV光來進行圖案化,例如使用掃描儀或其他微影光圖案轉移工具。可用於本文之彼等中的EUV裝置及成像方法包括本領域已知的方法。尤其,如上所討論,膜之曝光區係透過EUV圖案化建立,其相對於膜之未曝光區具有改變的物理或化學性質。例如,在曝光區,可能透過β-氫消去反應發生金屬-碳鍵斷裂,留下具反應性且可接近(accessible)之金屬氫化物官能基,其可透過金屬-氧橋而轉變成氫氧化物及交聯之金屬氧化物部分,此可用於建立化學對比以作為負型阻劑或作為用於硬遮罩之模板。一般而言,烷基中更多數量的β-H導致更具敏感性的膜。在曝光之後,可在例如150至250°C之溫度下烘烤該膜,以引起金屬氧化物膜之額外交聯。曝光與未曝光區之間的性質差異可在後續處理中加以利用,例如溶解未曝光區或在曝光區上沉積材料。例如,可使用乾式方法來顯影圖案以形成含金屬氧化物之遮罩。
此等乾式顯影製程可透過使用溫和電漿(高壓、低功率)或熱製程來完成,其中任一者皆可在使鹵化氫乾式顯影化學物質(例如HBr或HCl)流動時進行。在一些實施例中,鹵化氫能夠快速去除未曝光的材料,留下曝光膜之圖案,其接著可透過隨後應用基於電漿之蝕刻製程(例如習知蝕刻製程)而轉移至下伏基板層中。
合適之基於電漿的乾式顯影製程可包括使用變壓器耦合式電漿(TCP)反應器、感應耦合式電漿(ICP)反應器、或電容耦合式電漿(CCP)反應器,並可使用本領域已知之設備及技術來實施。例如,基於電漿之顯影製程可在> 5 mT(例如,> 15 mT)的壓力、<1000W(例如,<500W)之功率位準下進行。溫度可為0至300°C(例如,30至120°C)、流速為100至1000每分鐘標準立方厘米(sccm),例如,約500sccm,持續1至3000秒(例如,10 - 600 秒)。
在熱顯影製程中,基板可暴露於乾式顯影化學物質。用於執行此等熱顯影製程之合適腔室可包括真空管線、一或更多乾式顯影化學氣體管線以對腔室提供乾式顯影化學氣體、以及加熱器以允許對腔室進行溫度控制。在一些實施例中,腔室內部可塗有抗腐蝕膜,例如有機聚合物或無機塗層。一種此等塗為聚四氟乙烯((PTFE),例如,Teflon™)。此等材料可用於本發明之熱製程,但此等塗層可能因有被電漿曝露去除的風險而不適合基於電漿的製程。
當前EUV阻劑塗層技術通常使用應用於大氣環境中(例如,在典型的大氣壓下)之旋塗光阻。此技術一般不允許大氣控制或影響,且僅允許將單一化學混合物應用於整個膜堆。旋塗技術亦不對具有非平面表面(其上將形成膜)之基板提供均勻的光阻層厚度。
如先前所述,乾式沉積技術可用於產生光阻層,其對於具有預先存在之特徵部的基板不會遇到濕式沉積技術所面臨之厚度不均勻的問題。此等乾式沉積技術可利用光阻膜沉積腔室來執行。一示例性光阻膜沉積腔室繪示於圖4中。
在圖4中,繪出具有處理腔室402之設備401,處理腔室402包括蓋體408。處理腔室402可包括穿過處理腔室402之其中一個壁的晶圓傳送通道404,其尺寸設計成允許基板422穿過其中並進入處理腔室402的內部, 其中基板422可置於基板支撐件400上,基板支撐件400可為上文所述之任何基板支撐件,包括基板支撐件100或300。晶圓傳送通道404可具有閘閥406或類似門機構,其可被操作以密封或打開晶圓傳送通道,因而允許處理腔室402內之環境與閘閥406另一側的環境隔絕。例如,處理腔室402可透過位於相鄰轉移腔室中之晶圓搬運機器人而被供予基板422。此等轉移腔室可例如具有圍繞其周緣佈設之多個製程腔室402,每一此等製程腔室402透過對應閘閥406而與轉移腔室連接。
如上所述,晶圓支撐件424可為本文提供之任何基板支撐件,包括例如圖1A-1G及3中之基板支撐件。圖4繪出圖1C之基板支撐件400並包括例如光學晶圓邊緣加熱單元120及晶圓支撐區域104。雖然未繪於圖4中,但基板支撐件400亦可包括上文所述之主動冷卻單元136及/或晶圓加熱單元148。
基板支撐件400可例如由與晶圓支撐柱444連接並由其支撐之晶圓支撐殼442支撐。晶圓支撐柱444可例如具有用於將纜線、流體流導管及其他裝備佈線至底板基板支撐件400下側之佈線通道448及其他貫通孔。例如,儘管未示於圖4中,但可將用於提供電功率至光學晶圓邊緣加熱單元120、主動冷卻單元136及晶圓加熱單元148之纜線佈線穿過佈線通道448,可如用於提供電功率至夾持電極之纜線一般。為避免過度混亂,此等纜線及導管並未繪於圖4中,但當理解其仍將存在。
圖4之設備401亦包括可對晶圓支撐柱444提供可移動支撐之基板支撐z致動器446。晶圓支撐z致動器446可被致動以使晶圓支撐柱444及由此支撐之基板支撐件400在處理腔室402之反應空間420內垂直地向上或向下移動,例如多達幾英寸。如此,基板422與噴淋頭410下側之間的間隙距離X可根據諸多製程條件作調整。
設備401亦可包括用於在處理結束期間及之後從處理腔室402去除製程氣體的系統。例如,處理腔室402可包括環繞晶圓支撐柱444之環形氣室456。環形氣室456又可與真空前級管線452流體連接,該真空前級管線452可與真空泵連接,例如可位於設備400下方之底層板之下。調節器閥454可提供於真空前級管線452與處理腔室402之間,並被致動以控制進入真空前級管線452之流動。在一些實施方式中,可提供擋板450,例如環形板或其他結構,其可用於使進入環形氣室456之流動更均勻地分佈在晶圓支撐柱444之周緣周圍,以降低在流過基板422之反應物中形成流動不均勻的可能性。
如所示之噴淋頭410為雙氣室噴淋頭410,並包括第一氣室412(其透過第一入口416被供予製程氣體)及第二氣室414(其透過第二入口418被供予製程氣體)。噴淋頭1110在一些實施方式中可具有多於兩個氣室,但兩個氣室一般是在有機金屬前驅物與相對反應物釋放至處理腔室402之反應空間420之前維持有機金屬前驅物與相對反應物間之隔絕所需的最小程度。每一氣室可具有一對應組的氣體分佈埠,氣體分佈埠透過噴淋頭410之面板將相應氣室與反應空間420流體連接(面板為噴淋頭410插置於最下部氣室與反應空間420之間的部分)。
噴淋頭410之第一入口416及第二入口418可透過氣體供應系統被供予處理氣體,該氣體供應系統可配置成提供一或更多有機前驅物及一或更多相對反應物,如本文所討論。
然而,所繪示之設備401配置成提供多個有機金屬前驅物及多個相對反應物。例如,第一閥歧管468a可配置成提供有機前驅物至第一入口416,而第二閥歧管468b可配置成提供相對反應物至第二入口418。
在此示例中,第一閥歧管468a例如包括多個閥A1-A5。閥A2可例如為三通閥,其具有與第一汽化器472a流體連接之一埠、與旁通管線470a流體連接之另一埠、以及與另一三通閥A3上之埠流體連接之第三埠。類似地,閥A4可為另一三通閥,其具有與第二汽化器472b流體連接之一埠、與旁通管線470a流體連接之另一埠、以及與另一三通閥A5上之埠流體連接之第三埠。閥A5上之其他埠中的其中一者可與第一入口416流體連接,而閥A5上之其餘埠可與閥A3上之其餘埠的其中一者流體連接。閥A3上之其餘埠又可與閥A1流體連接,閥A1可流體插置於閥A3與沖洗氣體源474(例如氮、氬或其他合適惰性氣體(相對於有機金屬前驅物及/或相對反應物))之間。
為了本發明目的,術語「流體連接」是用於關於可相互連接以形成流體連接之容積、氣室、孔等,類似於術語「電性連接」用於關於連接在一起以形成電性連接之構件。術語「流體插置」(若使用的話)可用來指與至少兩其他構件、容積、氣室或孔流體連接之構件、容積、氣室或孔,使得從彼等其他構件、容積、氣室或孔中之一者流至彼等其他構件、容積、氣室或孔中之另一者的流體在到達彼等構件、容積、氣室或孔中之另一者之前,會先流過「流體插置」的構件。例如,若泵流體插置於容器與出口之間,則從容器流至出口之流體會在到達出口之前先流過泵。
第一閥歧管468a例如可為可控式,以使來自汽化器472a及472b中之一者或兩者的蒸氣流至處理腔室402或通過第一旁通管線470a並流入真空前級管線452。第一閥歧管468a亦可為可控式,以使沖洗氣體從沖洗氣體源474流入第一入口416。
例如,為了使蒸氣從第一汽化器472a流入反應空間420,可致動閥A2以使蒸氣從第一汽化器472a先流入第一旁通管線470a。此流量可維持足夠長的時間,以允許蒸氣的流量達到穩態流量條件。經過足夠時間後 (或者在流量計(若使用的話)指示流率為穩定之後),可致動閥A2、A3及A5,以將蒸氣流從第一汽化器472a引導至第一入口。閥A4及A5可執行類似操作,以將蒸氣從第二汽化器472b輸送至第一入口416。在一些實例中,可能希望透過致動閥A1、A3及A5以使沖洗氣體從沖洗氣體源474流入第一入口416,從而將其中一蒸氣從第一氣室412沖除。在一些額外實施方式中,可能希望同時使來自汽化器472a或472b中之一者的蒸氣與來自沖洗氣體之流動氣體一同流入第一入口416。此等實施方式可用來稀釋包含於此等蒸氣中之反應物的濃度。
將知悉的是,可用類似方式控制第二閥歧管468b, 例如,透過控制閥B1-B5,以從汽化器472c及472d提供蒸氣至第二入口418或至第二旁路管線470b。將進一步知悉,亦可利用不同歧管佈設,包括單一整體歧管,單一整體歧管包括用於控制有機金屬前驅物及相對反應物兩者往第一入口416及第二入口418流動的閥。
如前所述,一些設備401可以較少數量之蒸氣源為特徵,例如僅兩個汽化器472,其中閥歧管468可被修改為具有較少數量的閥,例如僅有閥A1-A3。
如上所討論,可用於利用有機金屬前驅物與相對反應物來提供光阻膜之乾式沉積的設備(例如設備401)可配置成保持處理腔室402內之特定溫度曲線。尤其,此等設備401可配置成保持基板處於較低溫度, 例如,比直接與有機金屬前驅物及相對反應物直接接觸之設備401的大多數裝備低例如至少25℃至50℃。另外,與有機金屬前驅物及相對反應物直接接觸之設備401的裝備溫度可保持在足夠高以防止汽化反應物於此等裝備表面上凝結之升高水平。同時,可將基板溫度控制於促進反應物在基板上凝結或至少沉積之水平。 如上所述,設備401亦配置成將基板之周邊區域加熱至高於基板內部區域的溫度,以防止或降低在此邊緣區域中的沉積。
為了提供此等溫度控制,諸多加熱系統可包含於設備401中。例如,處理腔室402可具有用於接收盒式加熱器458之容器, 例如,具有大致圓柱形之內部容積但呈正方形或矩形之外部形狀的處理腔室402,用於容納盒式加熱器458之垂直孔可鑽於腔室402殼體之四角中。在一些實施方式中,噴淋頭410可被加熱器毯460覆蓋,加熱器毯460可用於在噴淋頭410之暴露上表面上施加熱以保持噴淋頭溫度升高。對用於將汽化反應物從汽化器472傳導至噴淋頭410之諸多氣體管線加熱亦可能是有利的。例如,電阻加熱帶可纏繞於此等氣體管線周圍,並用於將其加熱至升高溫度。如圖4所示,可能有有機金屬前驅物或相對反應物流過之所有氣體管線均顯示為被加熱,包括旁通管線470。唯一例外是從閥歧管468至第一入口416及第二入口418的氣體管線,其可能很短,且可能被噴淋頭410間接加熱。當然,甚至此些氣體管線可被主動加熱(若希望的話)。在一些實施方式中,可在靠近閘閥406處提供加熱器,亦以提供熱至閘閥。
設備401之諸多操作系統可由控制器484控制, 其可包括一或更多處理器486及一或更多記憶體裝置488,其相互可操作地連接並與設備401之諸多系統及子系統通訊連接,以對彼等系統提供控制功能。例如,控制器484可配置成控制閥A1-A5及B1-B5、諸多加熱器458、460、汽化器472、調節器閥454、閘閥406、晶圓支撐z致動器等等。
控制器484亦可配置成控制光學晶圓邊緣加熱單元、主動冷卻器及晶圓加熱單元,以使基板支撐件400上的晶圓在晶圓之周向晶圓邊緣與內部區域之間具有溫差區域,如上所述。此可包括,例如, 使光學晶圓邊緣加熱單元放光,以將晶圓之周向晶圓邊緣加熱至第二溫度,並使晶圓加熱單元將內部區域加熱至低於第二溫度的第一溫度。如上所提供,第一溫度可介於約40℃至100℃之間,而周邊區域之溫度高於此溫度,例如大於或等於約60℃與150℃之間。控制器亦進一步被配置成控制主動冷卻單元以將周向冷卻鰭部冷卻至低於第一溫度之第三溫度,例如至約20℃或約20℃與約100℃之間。
控制器484可配置成例如透過電腦可執行指令之執行而使得設備401執行與以上提供之揭示內容一致的諸多操作。圖5繪出可在設備401之背景下執行的諸多操作的流程圖,以及可在設備401中處理之基板上執行的後續操作。
在方塊502中,例如,控制器484可控制設備401以使基板422提供並放置於處理腔室402。例如,可控制(或請求)晶圓搬運機器人以使基板422通過晶圓傳送通道404,並控制閘閥406被致動成打開狀態。基板支撐件400可例如被控制成透過晶圓支撐z-致動器446設於適當高度以接收基板422,基板422可透過晶圓搬運機器人以設於基板支撐件400上方(並在其上置中)。可使作為基板支撐件400一部分之升降銷(未示出)從基板支撐件400垂直延伸成將基板抬離晶圓搬運機器人之末端執行器,從而允許晶圓搬運機器人從處理腔室402縮回並關閉閘閥406,因而密封處理腔室402。同時,升降銷可縮回至基板支撐件400中以將基板422下降至基板支撐件400上。
一旦已在方塊502中裝載基板422,可在方塊504中控制晶圓加熱單元以使基板422達到所欲溫度,包括將內部區域加熱至第二溫度且周邊區域加熱至高於第二溫度之第一溫度(如本文所述),以防止或減少邊緣區域中的沉積。 此等控制亦可包括,例如,啟動夾持電極以將基板422靜電夾持至基板支撐件400並對基板支撐件400之氣體埠482提供惰性氣體流,以使此等氣體流入基板422與基板支撐件400之間的背側間隙478。例如, 控制器484可控制設備401之諸多加熱器系統以將處理腔室402、蓋體408及噴淋頭410之內壁表面的溫度保持在80°C與120°C之間,例如100° C。同時,控制器484可控制晶圓加熱單元,使基板422之內部區域達到並保持於約40℃至100℃之間的溫度,並控制光學晶圓邊緣加熱單元,以將晶圓之周邊區域加熱至更高溫度,例如大於或等於約60°C與150°C之間。
在方塊506中,可開始來自汽化器472(供應將於乾式沉積製程中使用的氣體)之氣流並允許達到穩定狀態,例如透過使閥A1-A5及B1-B5被選擇性地致動,以使氣流從彼等汽化器472轉向旁通管線470並進入真空前級管線452。一旦來自選定汽化器之流速已達到穩定狀態,該技術接著可進行至方塊508或方塊512。
方塊508及512代表在基板422上乾式沉積EUV敏感光阻之兩項可選擇的方法。將理解,在該供選擇之方案中可適當使用任一方法。在方塊508之方法中,控制器可配置成使有機金屬前驅物及對應相對反應物同時從其相應之汽化器472通過噴淋頭410之相應氣室分配至反應空間420中達給定的持續時間。在方塊510中,可確定是否已經過有機金屬前驅物與對應相對反應物之所需持續時間(或者是否已分配所需量之此等反應物)。若否,則該技術可返回至方塊508以進行進一步反應物分配。若是,則該技術可進行至方塊516,其中可將基板422從處理腔室402中移除並轉移至例如清潔站或其他設備。將理解,乾式沉積製程(至少關於方塊508及510中所沉積之EUV敏感光阻層)在基板422從處理腔室402中移除之前基本上完成。圖5技術的後續部分可在其他裝備中進行及/或被其他控制器指示(若需要的話)。方塊508及510之技術可稱為連續CVD技術,因為反應物在給定持續時間或給定量內同時全部流入反應空間420,與CVD製程非常相似。
在方塊512之替代方法中,可致動設備401的閥以交替流動有機金屬前驅物與對應相對反應物,例如首先使有機金屬前驅物流過噴淋頭410,接著停止流動有機金屬前驅物且相對反應物開始流過噴淋頭410。在一些實施方式中,沖洗氣體可在每一反應物流之間流過噴淋頭410。若需要,可重複此些交替流動一或更多次。例如,在方塊514中,可確定是否已執行所需次數之交替流動循環;若否,則該技術可返回至方塊512以執行另一此等流動循環。若是,則該技術可進行至方塊516。此替代方法有點類似於兩不同前驅物交替流入沉積腔室之原子層沉積技術。如同先前同時流動技術,在交替流動技術結束時,即在方塊514之後且方塊516之前,乾式沉積製程(至少關於方塊512及514中所沉積之EUV敏感光阻層)在基板422從處理腔室402中移除之前基本上完成。
將理解,可實行此等技術之諸多排列及變化。例如,在一些實施方式中,可在EUV敏感光阻層沉積製程之不同階段期間使用不同有機金屬前驅物及/或相對反應物。在一此等示例中,具有更高EUV敏感性之第一有機金屬前驅物可先流過基板以形成第一子層之EUV敏感光阻層。接著可使第二有機金屬前驅物(不同於第一)流過基板以在第一子層上形成第二子層。 可對任何數量的不同有機金屬前驅物(及/或相對反應物)重複此製程。此等安排可允許EUV敏感光阻層為不同類型材料之混成。若需要,可選擇有機金屬前驅物以產生具有不同EUV敏感性之子層—例如,第一子層可使用有機金屬前驅物製成,該有機金屬前驅物產生EUV敏感性大於第二子層之子層。例如,當所沉積之EUV敏感光阻膜經過EUV曝光時,此可能有助於例如抵消電位梯度效應。例如,當沉積之EUV 敏感光阻膜曝光於EUV光時,此等光可能會在光阻膜之曝光區域中引起物理或化學變化,其接著可在曝光後製程中加以利用, 例如顯影液製程。然而,此等物理或化學變化可能取決於EUV輻射的強度。由於EUV輻射的強度易隨光阻膜之穿透深度增加而降低(因一些能量被光阻膜之上部子層吸收),故光阻膜中下部子層之曝光強度可能小於上部子層。因此,在整個厚度由相同材料製成之光阻膜中,透過EUV曝光製程所產生之物理或化學變化量可能隨著膜深度變化而變化。在一些此等實例中,此等曝光之持續時間亦可能影響此變化。
然而,透過將光阻膜定制成對不同子層使用不同材料,可能得以降低發生在整個感光膜厚度之物理或化學變化的變異。例如,若下部子層係由比上部子層對EUV曝光更敏感之材料製成,則此可能有助於補償該下部子層所面臨之下降EUV曝光強度。
將知悉,此等定制技術在EUV處理之背景下對產量及品質方面均具有顯著益處。例如,為了使單材料光阻膜之最低子層暴露於足以在彼者/彼等子層中引起所需化學或物理變化程度的EUV量,相較於在上部子層中達到相同化學或物理變化之所需時間,其可能需對感光膜持續曝光更長的時間段。此額外曝光時間可例如用於在另一基板上進行 EUV曝光,亦即,導致產量降低。鑑於 EUV處理裝備之極高成本(例如,一台EUV掃描儀的成本可能在1億美元以上), 亟需將EUV掃描操作之處理時間降至最少,以將EUV掃描儀之投資回報最大化。
曝光時間越長亦可能導致透過EUV曝光製程轉移至感光膜之光圖案的品質降低。對於需採用EUV處理之奈米級特徵部尺寸,即使EUV遮罩(EUV光被引導通過該遮罩以在基板上產生所欲光圖案)相對於基板之最小移動可能對特徵部尺寸來說是顯著的。例如,對於30 nm寬度之特徵部,曝光製程期間EUV遮罩相對於基板之5 nm偏移會導致全深度特徵部寬度減少約15%。雖然 EUV掃描儀設計成將此等事件發生的可能性降至最低,但給定基板之曝光製程所耗時間越長,遇到此等移動之風險即越大(或者,更可能是,遇到更多低幅度移動的風險越大,其集合起來比個別移動所導致的負面影響更大)。
顯然,使用本文討論之技術定制此等光阻膜之材料構成可例如允許減少曝光時間,從而增加產量並提高獲得較高品質光圖案之可能性。乾式沉積光阻膜之保形性質亦有助於實現此等產量改進,因為相對均勻的膜厚得以避免總膜厚變化導致需增加EUV曝光時間的情況。
如前所述,此等EUV敏感光阻膜之濕式沉積一般不適用於定制膜沉積,因為不可能對濕式沉積之EUV敏感光阻膜的不同子層使用不同材料。此外,濕式積技術本質上並非保形。因此,本文討論之乾式沉積技術及裝備比使用類似化學物質之濕式沉積技術及裝備提供顯著的改進。
可用上述設備實行之乾式沉積技術的另一示例為使用不同乾式沉積製程在基板422上沉積不同有機金屬子層的技術。例如,方塊512及514之技術可用於在基板422上沉積第一薄子層 之EUV敏感光阻材料,其例如可提高反應物之吸附或凝結,反應物用於產生隨後塗佈之第二不同EUV敏感光阻材料子層。在此意義上,第一光阻材料可用作「晶種子層」以提高第二光阻材料之黏附性。在此等實施方式中,可能較佳是使用方塊512及514的技術,其可更易控制以產生較薄的子層(用於晶種層),接著切換至方塊508及510的技術,其可提供較高但較不精細可控之沉積速率,其可用於提供較厚之第二EUV敏感光阻子層。
一旦EUV敏感光阻膜已沉積在基板422上,如上所述,可將基板422轉移至一或更多後續處理腔室或工具以進行額外操作。圖5之其餘方塊概述此等實施方式之此等額外操作,但其他實施方式可能涉及其他操作或其他操作順序。
例如,在完成方塊508/510及/或512/514之乾式沉積製程之後,在方塊516中可將基板422轉移至清潔站,其可被控制為例如在方塊518中對基板422之背側及/或斜邊執行清潔操作。在此等沉積後清潔之後,基板接著可在方塊520中被轉移至EUV掃描儀系統或類似黃光微影工具中。在方塊522中,可控制EUV掃描儀以使用圖案遮罩將光圖案施加至基板,該圖案遮罩使基板422之諸多部分曝光於EUV輻射或被遮擋不受此等曝光。曝光製程可持續必要長的時間,以在基板422上之光阻膜的曝光區域中達到所需的EUV曝光程度。
在透過EUV掃描儀對基板422提供足夠EUV曝光之後,在方塊524 中,可將基板422轉移至乾式顯影腔室,接著進行乾式顯影製程,例如基於熱或電漿之顯影製程。在此等顯影製程期間,可利用顯影製程(例如以上討論之乾式顯影製程)去除基板422之EUV曝光部分及基板422之未曝光部分中的一者或另一者,以在基板422上產生所需之特徵部遮罩。
在基板422上形成特徵部遮罩之後,可將基板422從乾式顯影腔室中移除並在方塊528中提供至製程腔室,例如沉積或蝕刻腔室。接著可在方塊530中利用特徵部遮罩(其係利用圖案EUV敏感光阻膜來提供)以執行合適的半導體處理操作,例如蝕刻製程或沉積製程。
在一些實施方式中,控制器為較大系統之一部份。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理構件(晶圓基座、氣流系統等)。此等系統可與電子設備整合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可指「控制器」,其可控制該系統或複數系統之諸多構件或次部件。取決於處理條件及/或系統類型,控制器可程式化成控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清潔操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
控制器在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,控制器接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜邊緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊 : 其他工具電路或模組、其他工具構件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
一般將理解,於本文討論之乾式沉積技術背景下提及「膜」、「光阻膜」、「沉積層」、「子層」及類似者旨在包含EUV敏感光阻膜,即使未明確指出。
亦將理解,設備之諸多構件可由各種合適材料製成。例如,如前所討論,基板支撐件之頂板可由陶瓷材料製成,其可用於對嵌於其中之夾持電極(以及嵌於其中之電阻加熱器元件)電絕緣以及保護位於下方之底板。若需要,上邊緣環及下邊緣環可類似地由陶瓷材料製成。其他結構(例如處理腔室本身、噴淋頭、基板支撐件之底板及晶圓支撐件殼體)可由例如鋁合金之材料製成,且在一些實例中可經陽極處理或以其他方式塗有保護塗層。例如鋁之材料加工成本相對較低,適當塗覆時得以提供良好之耐化學性,並提供優異導熱效能,使其能夠輕易加熱至所欲操作溫度。
亦應理解,儘管本發明係關於以EUV微影為例之微影圖案化技術及材料,但其亦可應用至其他下一代微影技術。除了包括目前正在使用及開發之標準13.5 nm EUV波長的EUV外,與此等微影最相關之輻射源為DUV(深UV),其一般指使用248 nm或193 nm之準分子雷射源、X射線(其形式上包括於X射線範圍之較低能量範圍處的EUV)、以及電子束(其可涵蓋較寬能量範圍)。具體方法可能取決於半導體基板及最終半導體裝置中使用之特定材料及應用。因此,本申請中所述之方法僅為可用於本技術之方法及材料的示例。
當理解,詞句「對於該一或更多<項目>之每一<項目>」、「該一或更多<項目>之每一<項目>」或其類似者若用於本文中則包含單個項目組及多個項目組兩者,亦即,使用詞句「對…每一者」的含義是,在程式語言中使用其來指稱所指全部項目群中之每一項目。例如,若所指之項目群是單個項目,則「每一」將僅指該單個項目(儘管事實上「每一」的字典定義經常是定義為指「兩個或更多事物中之每一者」),並不意味必須有該等項目的至少兩者。類似地,術語「集合」或「子集」本身不應被視為必然包含複數項目—將理解,集合或子集可包含僅一個成員或多個成員(除非上下文另指明)。亦當理解,術語「總集(aggregate)」可類似地用於指一組以及複數組。因此,例如,若有一或更多項目(其總集包括一或更多子項目),此包含包括單個子項目之單個項目、包括多個子項目之單個項目、各自包括單個子項目之多個項目、以及各自包括多個子項目之多個項目,以及其他排列及組合, 例如,此些示例的混成。
應當理解,本文所述之示例及實施例僅用於說明目的,且將對本領域技術人員建議諸多鑑於此的修改或改變。儘管為清楚起見已省略諸多細節,但可實施諸多設計替代方案。因此,本示例應視為說明性而非限制性,且本發明不限於本文所給出的細節,而是可在本發明之範圍內進行修改。
當理解,以上揭示內容雖然集中於一特定示例性實施方式或複數實施方式,但不僅限於所討論的示例,而是亦可應用於類似變化態樣及機制,且此等類似的變化態樣及機制亦被視為在本發明之範圍內。
類似地,儘管圖式中以特定次序繪示操作,但不應將此理解為必需以所示之特定次序或依序執行此等操作或執行全部所示操作以達成所欲結果。進一步地,圖式可以流程圖形式示意性地繪出一或更多示例性製程。然而,可將未繪出之其他操作併入示意性示出之示例性製程中。例如,可在所示操作中之任何操作之前、之後、同時或之間執行一或更多額外操作。在某些情況中,多任務及平行處理可能是有利的。此外,上文所述之實施方式中之諸多系統組成件之分離不應理解為在所有實施方式中皆需要此等分離,而應理解為所述程式組成件及系統通常可一起整合於單個軟體產品中或封裝至多個軟體產品中。另外,其他實施方式亦在以下請求項之範疇內。在一些例子中,請求項中所載之動作可以不同次序執行且仍達成所欲結果。
除非另有說明,本文之術語「實質上」意指在參考值之5%以內。 例如,實質上垂直意指在平行之+/- 5%內。術語「實質上」在本文中可用於表示雖然可預期測值與關係的精確度,但因製造誤差及公差,並非總是能夠達到或可達到該精確度。例如,可能意欲將兩個分開的特徵部製成具有相同的尺寸(例如,兩個孔),但因諸多製造誤差,此些特徵部可能接近但不完全是相同尺寸。
100:基板支撐件 102:底板 104:晶圓支撐區域 106:外邊界 108:晶圓 110:表面區域 111:中心軸線 112:外徑 114:表面區域 116:周邊區域 117:徑向厚度 118:晶圓背面側 120:光學晶圓邊緣加熱單元 122:發光表面 124:發光源 125:殼體 126A:光束 126B:光束 126C:光束 126D:漫射光 128A:第一方向分量 128B:第二方向分量 130:單個雷射源 132:光纖纜線 134:窗口 136:主動冷卻單元 138:周向冷卻鰭部 140:冷卻通道 141:內邊界 142:殼體 143:外邊界 144:徑向厚度 146:陰影圓形區域 148:晶圓加熱單元 150a:環形電阻加熱器跡線 150b:環形電阻加熱器跡線 150c:環形電阻加熱器跡線 152:夾持電極 154:熱交換通道 156:絕熱體 300:基板支撐件 302:底板 304:晶圓支撐區域 306:外邊界 311:中心軸線 320:光學晶圓邊緣加熱單元 322:發光表面 326:光 336:主動冷卻單元 338:周向冷卻鰭部 340:冷卻劑通道、冷卻通道 342:殼體 358:埠 360:窗口 401:設備 402:處理腔室 404:晶圓傳送通道 406:閘閥 408:蓋體 410:噴淋頭 412:第一氣室 414:第二氣室 416:第一入口 418:第二入口 420:反應空間 442:晶圓支撐殼 444:晶圓支撐柱 446:晶圓支撐z致動器、基板支撐z致動器 448:佈線通道 450:擋板 452:真空前級管線 454:調節器閥 456:環形氣室 458:盒式加熱器 460:加熱器毯、加熱器 468a:第一閥歧管 468b:第二閥歧管 470a:第一旁通管線 470b:第二旁通管線 472:汽化器 472a:汽化器 472b:汽化器 472c:汽化器 472d:汽化器 474:沖洗氣體源 484:控制器 486:處理器 488:記憶體裝置 502:方塊 504:方塊 506:方塊 508:方塊 510:方塊 512:方塊 514:方塊 516:方塊 518:方塊 520:方塊 522:方塊 524:方塊 526:方塊 528:方塊 530:方塊 A1:閥 A2:閥 A3:閥 A4:閥 A5:閥 B1:控制閥 B2:控制閥 B3:控制閥 B4:控制閥 B5:控制閥 D1:第一偏移距離 D2:距離 H1:高度 R1:半徑 R2:半徑 R3:徑向距離 R4:內半徑 R5:半徑 R6:內半徑 R7:外半徑 RD1:徑向距離 T1:溫度 T2:溫度 T3:溫度 X:中心軸線
本文所揭示之諸多實施方式在附圖之圖式中係以示例方式而非限制方式示出,其中相似的參考數字係指相似元件。
圖1A繪出根據所揭示實施例之基板支撐件的等角視圖。
圖1B繪出圖1A之基板支撐件的頂視圖。
圖1C繪出圖1A之基板支撐件的剖面側視圖。
圖1D繪出具有晶圓之圖1C基板支撐件的側視圖。
圖1E繪出圖1A之基板支撐件及單個雷射源的剖面側視圖。
圖1F繪出圖1C之基板支撐件的放大部分。
圖1G繪出具有額外特徵之圖1C的剖面側視圖。
圖1H繪出圖1G之基板支撐件的剖面頂視圖切面。
圖2A繪出圖1A之基板支撐件連同晶圓的側視圖。
圖2B繪出圖1B之頂視圖。
圖3繪出根據所揭示實施例之另一基板支撐件的剖面側視圖。
圖4繪出示例性光阻膜沉積腔室。
圖5繪出諸多操作的流程圖。
圖6繪出說明基於凝結之沉積的沉積速率與溫度的圖表。
100:基板支撐件
102:底板
104:晶圓支撐區域
106:外邊界
111:中心軸線
120:光學晶圓邊緣加熱單元
122:發光表面

Claims (33)

  1. 一種用於半導體處理的基板支撐件,該基板支撐件包括: 一底板,該底板之一頂部上具有一晶圓支撐區域,該晶圓支撐區域具有圍繞該底板之一中心軸線延伸的一外邊界並配置成支撐一晶圓;以及 一光學晶圓邊緣加熱單元,具有一或更多光源及一或更多發光表面,其中該一或更多發光表面 : 當沿該中心軸線觀看時,環繞該外邊界, 當沿該中心軸線觀看時,設於該外邊界之徑向外側, 當沿垂直於該中心軸線之一軸線觀看時,設為以一偏移距離徑向偏離於該外邊界下方,以及 將光引向具有平行於該中心軸線之一方向分量的方向。
  2. 如請求項1所述之用於半導體處理的基板支撐件,其中: 該一或更多光源為複數發光二極體,以及 每一發光表面為一對應發光二極體之一部分。
  3. 如請求項2所述之用於半導體處理的基板支撐件,其中該等發光二極體為垂直共振腔面射型雷射(VCSEL)。
  4. 如請求項2所述之用於半導體處理的基板支撐件,其中該複數發光二極體包括少於約300個發光二極體。
  5. 如請求項2所述之用於半導體處理的基板支撐件,其中每一發光二極體配置成發射小於或等於1,300奈米(nm)波長的光。
  6. 如請求項2所述之用於半導體處理的基板支撐件,其中該一或更多發光表面之至少一者定向成相對於該中心軸線呈一非平行角度。
  7. 如請求項1所述之用於半導體處理的基板支撐件,其中: 該一或更多光源為一雷射發射源,以及 每一發光表面為透過光纖纜線連接至該雷射發射源之透鏡的一部分。
  8. 如請求項7所述之用於半導體處理的基板支撐件,其中每一該雷射發射源配置成發射小於或等於1,300奈米(nm)波長的光。
  9. 如請求項7所述之用於半導體處理的基板支撐件,其中該一或更多發光表面之至少一者定向成相對於該中心軸線呈一非平行角度。
  10. 如請求項1所述之用於半導體處理的基板支撐件,其中: 該晶圓具有一晶圓外徑,以及 該晶圓支撐區域之該外邊界小於該晶圓外徑。
  11. 如請求項1所述之用於半導體處理的基板支撐件,進一步包括一或更多窗口,其包含對該一或更多光源所發射之光透光的材料,其中該一或更多窗口: 設於該一或更多發光表面上方,使得來自該一或更多發光表面之光穿過該一或更多窗口,以及 沿該中心軸線設於該晶圓支撐區域與該一或更多發光表面之間。
  12. 如請求項11所述之用於半導體處理的基板支撐件,其中該基板支撐件包括複數窗口。
  13. 如請求項12所述之用於半導體處理的基板支撐件,其中每一窗口對應於該一或更多發光表面之每一者。
  14. 如請求項11所述之用於半導體處理的基板支撐件,其中該基板支撐件包括僅一個窗口。
  15. 如請求項11所述之用於半導體處理的基板支撐件,其中該材料包括石英或藍寶石。
  16. 如請求項1所述之用於半導體處理的基板支撐件,進一步包括一主動冷卻單元,其包括一或更多冷卻劑通道及熱連接至該一或更多冷卻劑通道之一周向冷卻鰭部,其中該周向冷卻鰭部: 圍繞該中心軸線延伸, 設於該一或更多發光表面之徑向內側,以及 設為與該外邊界相距小於或等於4 mm之徑向距離。
  17. 如請求項16所述之用於半導體處理的基板支撐件,進一步包括一晶圓加熱單元,設於該底板內並具有配置成加熱該晶圓支撐區域上之一晶圓的一或更多加熱區,其中: 該一或更多發光表面徑向偏離並環繞該一或更多加熱區,且 當沿該中心軸線觀看時,該周向冷卻鰭部圍繞該一或更多加熱區延伸,且當沿該中心軸線觀看時,該周向冷卻鰭部徑向插置於該一或更多加熱區與該一或更多發光表面之間。
  18. 如請求項17所述之用於半導體處理的基板支撐件,進一步包括一絕熱體,徑向插置於該周向冷卻鰭部與該一或更多加熱區之間。
  19. 如請求項16所述之用於半導體處理的基板支撐件,其中該周向冷卻鰭部具有小於或等於約4 mm之徑向厚度。
  20. 如請求項16所述之用於半導體處理的基板支撐件,其中: 該周向冷卻鰭部具有至少部分由一內半徑與一外半徑定義之徑向厚度,以及 該內半徑與該晶圓支撐區域之該外邊界相距小於或等於約4 mm。
  21. 如請求項16所述之用於半導體處理的基板支撐件,其中該周向冷卻鰭部熱連接至該晶圓支撐區域之該外邊界。
  22. 如請求項16所述之用於半導體處理的基板支撐件,其中: 該等冷卻劑通道沿該中心軸線設於該外邊界與該一或更多發光表面之間, 該等冷卻劑通道設於該底板之一部分內, 一或更多埠延伸穿過該底板之該部分,以及 該一或更多發光表面連接至該一或更多埠,使得來自該一或更多發光表面的光穿過該一或更多埠以到達該晶圓。
  23. 如請求項16所述之用於半導體處理的基板支撐件,其中該一或更多發光表面沿該中心軸線設於該外邊界與該等冷卻劑通道之間。
  24. 如請求項16所述之用於半導體處理的基板支撐件,進一步包括一絕熱體,設於該周向冷卻鰭部及該一或更多發光表面之徑向內側。
  25. 如請求項1所述之用於半導體處理的基板支撐件,其中該偏移距離為非零且小於或等於10 mm。
  26. 如請求項1所述之用於半導體處理的基板支撐件,其中該一或更多光源配置成發射白光。
  27. 如請求項1所述之用於半導體處理的基板支撐件,其中該一或更多光源配置成發射光穿過該一或更多發光表面並將該晶圓之一邊緣區域加熱到至少80°C的溫度。
  28. 如請求項27所述之用於半導體處理的基板支撐件,其中該晶圓之該邊緣區域具有小於或等於3.5 mm的徑向厚度。
  29. 如請求項27所述之用於半導體處理的基板支撐件,其中該溫度為至少100°C。
  30. 如請求項1所述之用於半導體處理的基板支撐件,其中該基板支撐件為一靜電吸盤。
  31. 一種設備,包括: 一處理腔室,定義一腔室內部; 一基板支撐件,包括: 一底板,該底板之一頂部上具有一晶圓支撐區域,該晶圓支撐區域具有圍繞該底板之一中心軸線延伸的一外邊界並配置成支撐一晶圓, 一光學晶圓邊緣加熱單元,具有一或更多光源及一或更多發光表面,其中該一或更多發光表面: 當平行於該中心軸線觀看時,環繞該外邊界, 當沿該中心軸線觀看時,設於該外邊界之徑向外側, 當沿垂直於該中心軸線之一軸線觀看時,設為以一偏移距離徑向偏離於該外邊界下方,以及 將光引向平行於該中心軸線之方向,以及 一基板加熱單元,設於該底板內並具有配置成加熱該晶圓支撐區域上之一晶圓的一或更多加熱區,其中該一或更多發光表面徑向偏離並環繞該一或更多加熱區。
  32. 如請求項31所述之設備,進一步包括具有指令之一控制器,該等指令配置成: 使該基板加熱單元將設於該晶圓支撐區域上之一晶圓保持於第一溫度,以及 在同時將該晶圓保持於該第一溫度時,使該光學晶圓邊緣加熱單元將該晶圓之一邊緣區域保持在高於該第一溫度之第二溫度。
  33. 如請求項31所述之設備,其中該第一溫度介於約20°C與約120°C之間,而該第二溫度介於約40°C與約150°C之間。
TW111130897A 2021-08-18 2022-08-17 半導體晶圓邊緣區域輻射加熱用的設備 TW202318521A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163260380P 2021-08-18 2021-08-18
US63/260,380 2021-08-18

Publications (1)

Publication Number Publication Date
TW202318521A true TW202318521A (zh) 2023-05-01

Family

ID=85239792

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111130897A TW202318521A (zh) 2021-08-18 2022-08-17 半導體晶圓邊緣區域輻射加熱用的設備

Country Status (3)

Country Link
KR (1) KR20240046906A (zh)
TW (1) TW202318521A (zh)
WO (1) WO2023023526A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101209297B1 (ko) * 2011-11-29 2012-12-06 주성엔지니어링(주) 기판 가열 장치 및 기판 가열 방법
US9224583B2 (en) * 2013-03-15 2015-12-29 Lam Research Corporation System and method for heating plasma exposed surfaces
US9633886B2 (en) * 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US11043403B2 (en) * 2018-04-06 2021-06-22 Semes Co., Ltd. Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing

Also Published As

Publication number Publication date
WO2023023526A1 (en) 2023-02-23
KR20240046906A (ko) 2024-04-11

Similar Documents

Publication Publication Date Title
US20220308462A1 (en) Apparatus for photoresist dry deposition
JP7189375B2 (ja) フォトレジスト接着および線量低減のための下層
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
JP6245643B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20130135815A (ko) Bdeas로 증착된 실리콘 이산화물 층
US20120156888A1 (en) Slimming method of carbon-containing thin film and oxidation apparatus
JP2022540789A (ja) 複数のパターニング放射吸収元素および/または垂直組成勾配を備えたフォトレジスト
US20230416606A1 (en) Photoresist development with organic vapor
EP4235757A2 (en) Integrated dry processes for patterning radiation photoresist patterning
CN115152008A (zh) 用于干法去除光致抗蚀剂的处理工具
TW202318521A (zh) 半導體晶圓邊緣區域輻射加熱用的設備
US20230274949A1 (en) Etching of indium gallium zinc oxide
WO2023009364A1 (en) Rework of metal-containing photoresist
WO2023201163A1 (en) Selective oxide etch using liquid precursor
WO2024049699A1 (en) Nitride thermal atomic layer etch
KR20240108547A (ko) 금속-함유 포토레지스트의 재작업
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應
JP2023515937A (ja) 低誘電率誘電体膜を堆積するシステム及び方法
TW202231916A (zh) 用於反向擴散控制的半導體腔室部件
TW202247248A (zh) 原子層蝕刻中的蝕刻選擇性控制