JP2022000902A - 集積回路のための適応的多階層電力分配グリッド - Google Patents

集積回路のための適応的多階層電力分配グリッド Download PDF

Info

Publication number
JP2022000902A
JP2022000902A JP2021142514A JP2021142514A JP2022000902A JP 2022000902 A JP2022000902 A JP 2022000902A JP 2021142514 A JP2021142514 A JP 2021142514A JP 2021142514 A JP2021142514 A JP 2021142514A JP 2022000902 A JP2022000902 A JP 2022000902A
Authority
JP
Japan
Prior art keywords
power grid
power
tiles
integrated circuit
tile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021142514A
Other languages
English (en)
Other versions
JP7261273B2 (ja
Inventor
ジュン・ヒョン・チョン
Hyung Chung Joon
ミカイル・ポポビッチ
Popovich Mikhail
グドール・レッディ
Reddy Gudoor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of JP2022000902A publication Critical patent/JP2022000902A/ja
Application granted granted Critical
Publication of JP7261273B2 publication Critical patent/JP7261273B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/04Power grid distribution networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/08Intellectual property [IP] blocks or IP cores
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Amplifiers (AREA)
  • Cable Transmission Systems, Equalization Of Radio And Reduction Of Echo (AREA)

Abstract

【課題】局所的な電力供給電圧降下を防ぐ、改善された電力グリッドアーキテクチャを有する集積回路、集積回路モジュール及び方法を提供する。【解決手段】ハードマクロ(回路モジュール)が複数の電力グリッド階層割り当てを受け取る、改善された電力グリッド階層設計処理方法であって、複数のタイルを含むハードマクロのための配置及びルートは、回路タイルのうちのいくつかが、よりロバスト性の高い電力グリッド階層を割り当てられるように、また、回路タイルのうちの他の複数のタイルがよりロバスト性の低い電力グリッド階層を割り当てられるように、修正される。【選択図】図1

Description

関連出願の相互参照
[0001] 本願は、2016年11月18日付で出願された、米国仮特許出願第62/424,289号の利益を主張する、2017年2月14日付で出願された、米国特許出願第15/432,431号に対する優先権を主張する。
[0002] 本願は、集積回路のための電力分配に関し、より具体的には、集積回路の適応的多階層電力分配グリッド(adaptive multi-tier power distribution grid)に関する。
[0003] 電力分配は、集積回路設計における重要な要素である。例えば、システムオンチップ(SoC)などのマイクロプロセッサ集積回路は、アイドル状態からアクティブに切り替えを行うようシフトし得る多数のトランジスタを含む。多数のトランジスタのアクティブ状態への急な移行は、トランジスタへの電力供給電圧を変動させる。このような変動のために、電力供給電圧降下が最小の要求値を下回った場合、システムは、リセットされるかまたはエラーを経験し得る。電力供給電圧を提供する電力グリッドの抵抗は、回路モジュールの急な起動に応答して電圧降下を最小化することに関して、重要な要素である。例えば、回路モジュール中の電力レールから様々なトランジスタへのビアの数(ビア密度)は、電力要求に応じて他のモジュールと比較して増加し得る。加えて、電力レールの幅および密度が増加され得る。同様に、1つの電力領域のレールをメインの電力レールに結合するヘッドスイッチ(head switch)の数は、所与の回路モジュールの電力要求に応じて変化し得る。最終的に、所与の電力領域の電力レールをサポートするデカプリングキャパシタの数および密度もまた変化し得る。
[0004] よって、複数の電力グリッド階層(power-grid tier)を含むようにSoCを設計することが従来から行われている。各階層は、ビア密度、電力レール幅および密度、ヘッドスイッチ密度、並びにデカプリングキャパシタ密度の要素などの、電力グリッド要素の特定のセットに対応する。これらの電力グリッド要素は、図1に示されるような集積回路の従来の物理的設計(PD:physical design)のための処理フローを参照して、より良く理解されるだろう。処理は、様々なハードマクロ(回路モジュール)のための論理機能が入力に基づいて所与の電力グリッド階層に割り当てられるロバストな電力グリッドプランを実行するために、所望されたネットリスト、UPF(unified power format)、タイミング制約、マルチ電圧(MV)アイランド制約(multi-voltage island constraints)、およびピン選好(pin preferences)などの様々な入力を受け取るブロックフロアプランフロー100から始まる。電力グリッドプランニングは、対応する電力グリッド階層に所与のハードマクロが割り当てられ、したがって、結果としてもたらされる電圧レールがハードマクロ全体を通じて同じビア密度および他の電力グリッド階層要素を有するという点で、「ロバスト」であるとみなされる。電力グリッド階層が割り当てられた状態で、従来のセル配置(cell placement)、クロックツリー合成、ルーティング、およびフィニッシング(設計変更指示(ECO:engineering change order)並びに製造容易性設計(DFM:design-for-manufacturing)サブステップを含む、配置およびルートステップ105が行われる。これら処理は、寄生抵抗およびキャパシタンス(RC:resistance and capacitance)抽出ステップ115を継続し、次にタイミング、ノイズ、および電力分析120が続く。最終的に、設計は、電力供給電圧が望ましくないほど降下した領域をハードマクロが有するかどうかを決定する、電流*抵抗(IR)降下分析125を受ける(subjected to)。設計がIR降下分析を満たさない場合、電力グリッドプランニングステップ100、配置およびルートステップ105、RC抽出ステップ110、並びにタイミング、ノイズ、および電力分析ステップ120は、設計変更指示(ECO)を通じて、必要な設計修正に適合させるために必要に応じて繰り返される。
[0005] 従来のSoC設計処理は、密度低減および関連するコスト問題もまた満たさなければならない。よって、適切な電力グリッド階層を所与の回路モジュールに割り当てることは、非常に難しい。電力グリッド階層が、対応する回路モジュールの電力要求に関してあまりにもロバストである場合、密度が損なわれる。反対に、電力グリッド階層が不適当である場合、回路モジュールは、不適当な電力供給電圧のためにリセットされるおよび/またはうまく機能しない可能性がある。加えて、非線形抵抗スケーリング、オンチップリソースの不足、増加されたパフォーマンス要件、密度、およびルータビリティなどの要素が、電力グリッドの設計を複雑にする。例えば、図2は、図1に関して説明された処理フローに従って設計された、従来のハードマクロに関する電力供給電圧降下(power supply voltage drops)(IR降下)を図示する。この例では、全体のハードマクロに関して第3の階層電力グリッド(PG3)が選択されている。この設計は、クリティカルパスの近くに大きいドライブ強度を有するクロック(CLK)ドライバの様々なクラスタ200をもたらし、それは、望ましくない局所的な電力供給電圧降下(localized power supply voltage drops)を引き起こす。しかし、ハードマクロのかなりの部分が領域205などのようにPG3を用いており、それは、ルータビリティ(routability)を低くし、コストを増加させる。
[0006] 従って、集積回路のための改善された電力グリッドアーキテクチャに関する技術の必要性が存在する。
[0007] ハードマクロが複数の電力グリッド階層割り当てを受け取る、改善された電力グリッド階層設計処理が提供される。本明細書で使用されるとき、「ハードマクロ」という用語は、ハードマクロを通じて実装された回路モジュールを含む半導体ダイの製造中に半導体マスキングステップにおいて実装される用意がある、十分にルーティングされた設計を指す。ハードマクロは、半導体ダイ上の全体のフットプリントを占有する。このフットプリントは、複数の回路タイルを含み、ここにおいて、各タイルは、そのフットプリント内の特定の量のダイ空間を占有する。ハードマクロのためのクリティカルパスの実例を示すものなどのタイルのうちのいくつかは、よりロバスト性の高い(more robust)電力グリッド階層を割り当てられるが、一方、ハードマクロ中のタイルの残りの部分(remainder)は、それらの予測される電力供給電圧降下に応じて、よりロバスト性の低い(less robust)電力グリッド階層を受け取る。特に、よりロバスト性の低い電力グリッド階層が与えられたときにタイルがあまりにも高い電力供給電圧降下を有すると決定された場合、タイルは、よりロバスト性の高い電力グリッド階層を割り当てられる。この方法において、ハードマクロへの従来の固定された電力グリッド割り当てがもたらす密度の問題、並びに局所的な低減された電力供給電圧の問題が解消される。
[0008]これらのおよびさらなる利点が、以下の詳細な説明を通じてより良く理解され得る。
[0009] 図1は、従来の物理的設計処理に関するフローチャートである。 [0010] 図2は、図1の処理に従って設計されたハードマクロのためのフロアプランを図示する。 [0011] 図3は、本開示の態様に従った、ハードマクロのための適応的電力グリッド階層割り当てを提供する物理的設計処理に関するフローチャートである。 [0012] 図4は、図3の処理に従って設計された、図2のハードマクロのためのフロアプランである。 [0013] 図5Aは、ハードマクロの一部分のための電力グリッド階層PG2およびPG3に関する金属層M1〜M4のビア密度の平面図である。 [0014] 図5Bは、電力グリッド階層のPG4へのアップグレードの後の、図5Aのハードマクロ部分の平面図である。 [0015] 図6は、本開示の態様に従った、ハードマクロのための電力グリッド階層を割り当てる例示的な方法に関するフローチャートである。
[0016] 本発明の実施形態およびこれらの利点は、下記の詳細な説明を参照することによって、最も良く理解される。類似する参照番号が、図面の1つまたは複数に図示された類似する要素を識別するために使用されることが理解されるべきである。
発明の詳細な説明
[0017] クロックドライバなどの回路素子内の抵抗損(ohmic loss)(電流*抵抗(IR))によって引き起こされる電力供給電圧降下の局所的なエリアを適合させるために、およびダイ領域に関する追加の電力グリッドリソースを提供するために、設計段階中に、ハードマクロのための電力グリッドプランニングが緩和される(relaxed)、集積回路のための適応的多階層電力グリッドが提供される。ハードマクロは、そのフットプリントとして本明細書に表記されるその半導体ダイ上の特定の量のダイ空間を占有する。ハードマクロを形成するデバイスの機能的態様に応じて、フットプリントは、複数のタイルに分割される。タイルのサイズは、それらが実装している対応する機能の必要性に応じて変化し得る。本明細書で使用されるとき、タイル中の顕著な局所的な電力供給電圧降下の領域は、「ホットスポット」と表記される。電力グリッドプランニングの緩和は、異なる電力グリッド階層にフットプリント内の様々なタイルが割り当てられるように、個々のハードマクロが複数の電力グリッド階層を含むことを可能にする。比較的小さな局所的な電力供給電圧降下を有するこれらのタイルは、よりロバスト性の低い電力グリッド階層を割り当てられる。反対に、より顕著な電力供給電圧降下を有するタイルは、よりロバスト性の高い電力グリッド階層を割り当てられる。この方法では、電力グリッド割り当ては、ハードマクロ中にクリティカルパスを組み込むタイルがよりロバスト性の高い電力グリッド階層を受け取り得るが、一方、非クリティカルタイルは、よりロバスト性の低い電力グリッド階層を受け取り得るという点で最適化される。特定の領域における不適当な電力グリッド階層と、他の領域における過度にロバストな電力グリッド階層との両方を有する別個のハードマクロの問題が解消される。
[0018] 本明細書で使用されるとき、電力グリッド階層は、回路モジュール中の電力レールから様々なトランジスタへのビアの数(ビア密度)、回路モジュールのための電力レールの幅および密度、回路モジュールのための電力領域をメインの電力レールに結合するヘッドスイッチの数、および回路モジュールのための電力レールによる電力搬送をサポートするデカプリングキャパシタの数および密度、などの要素の各々への特定の割り当てを指す。特に、電力グリッド階層は、これらの要素のうちの少なくとも1つへの特定の割り当てを指す。1つの電力グリッド階層は次いで、これらのうちの少なくとも1つがより少ない電力供給電圧降下を生成するように変更された場合、別の電力グリッド階層と比較してロバスト性が高いと分類され得る。一般に、設計者は、その要素がそれらの最低値を有する最低階層から、その要素がそれらの最高値を有する最高階層に及ぶ、複数の電力グリッド階層からの選択を行い得る。
[0019] 特定の領域における不適当な電力グリッド階層と、他の領域における過度にロバストな電力グリッド階層との両方を有する別個のハードマクロの問題を解消するために、ハードマクロのためのクリティカルパスタイルが物理的設計処理中の配置およびルートのステップ中に識別される。クリティカルパスタイルは次いで、よりロバスト性の高い電力グリッド階層を割り当てられ得る。ハードマクロを形成するタイルの残りの部分についてのデフォルト状態は、より緩和された電力グリッド階層であり、それは、より高い密度をもたらす。クリティカルパスが識別された状態で、そのクロックドライバは、サイズ超過がなく、不必要に大きくないクロックドライバ(no oversized, unnecessarily large clock drivers)が配置およびルートステージ中に使用される必要があるように、配置およびルートステップ中にデクラスタリングされ(de-clustered)得る。クロックドライバのこのデクラスタリングは、IR降下(局所的なホットスポット)問題を改善する。
[0020] これらの利点の結果を得るための、例となる物理的設計フロー300が、図3に示される。図1のステージ100に関して説明されるように、従来のネットリスト、UPF(unified power format)、タイミング制約、マルチ電圧(MV)アイランド制約、およびピン選好の制約に応じた電力グリッドプランニングステージ305から処理が始まる。しかしながら、ステージ305がクリティカルパスタイルの識別を通じて所与のハードマクロのための電力グリッド階層の適応的割り当てを含むという点で、ステージ305は、ステージ100と比較してより緩和される。よって、クリティカルパスタイルは、よりロバスト性の高い電力グリッド階層を割り当てられ、一方、ハードマクロの残りの部分を形成するタイルは、よりロバスト性の低い電力グリッド階層を割り当てられる。先に記載したように、各電力グリッド階層は、ビアサイズ、エンクロージャ(enclosure)、ビアピッチおよび密度、電力および接地レールの幅およびピッチ、パワースイッチ(power switch)の密度およびピッチ、並びに、デカプリングキャパシタの密度の、特定の割り当てを含む。
[0021] 後に続く配置およびルートステージ310は、従来のセル配置およびクロックツリー合成を含む。しかし、これらの従来の分析は、クロックツリー合成およびタイミング最適化の後、その後に、IRボトルネック(ホットスポット)の識別を含む、電力供給電圧降下(IR)ホットスポット分析および電力グリッド調整ステップ330が続く。例えば、ホットスポットは、設計のシミュレーションで交差した(crossed)電力供給電圧降下閾値を通じて識別される。ホットスポットを有するタイルのためのクロックドライバは次いで、ステージ335においてデクラスタリングされる。ホットスポット領域内に電力供給電圧ドループの特定の残りの集中部分(certain remaining concentration)(例えば、電力供給電圧の10パーセントよりも高い)が存在する場合、影響を受けるタイルに関する電力グリッド階層は、パワースイッチの増加した密度を有する電力グリッド階層を割り当てることによって、ステップ340において調整され得る。ホットスポットタイル内で結果として生じた電力供給電圧降下が、10mVよりも大きい偏差(deviation)のような、閾値ぶんのVDDからのいくらかの偏差を引き起こす場合、影響を受けるホットスポットタイルのための電力グリッド階層は、動作345において電力および接地(PG)レールのためのより多くのロバストな低金属層ピッチおよび幅を有する電力グリッド階層を割り当てることによって、さらに調整され得る。それに関して、半導体処理は通常、半導体ダイの近傍の最低部金属層から、半導体ダイから最も遠い最上部金属層にわたって分布している(ranging)、複数の金属層を提供する。動作345は、ピッチを減少させること、および低部金属層中の電力および接地(PG)レールのための幅を増加させることに向けられる。ホットスポットタイル内で結果として生じた電力供給電圧降下が、5mVよりも大きい電力供給電圧降下のような、動作345と比較して電力供給電圧からいくらか低減された閾値偏差を未だに有する場合、影響を受けるタイルは、動作350においてPGレールのための増加された幅および減少された上部金属層ピッチを有する、さらによりロバスト性の高い電力グリッド階層を割り当てられ得る。例えば、8つの金属層を有する実施形態では、4つの最低部金属層は動作345によって影響を受け得るが、一方、4つの最上部金属層は動作350によって影響を受け得る。従来のルーティングおよびフィニッシング動作は次いで、配置およびルートステージ310を完了するために、次に電力グリッド階層の任意の必要な日和見的調整(necessary opportunistic adjustment)が続いて行われ得る。
[0022] 従来のRC抽出ステージ320、タイミング、ノイズ、および電力分析ステージ325、並びにIR降下分析330は、配置およびルートステージ310に後続する。しかし、配置およびルートステージ310、並びに初期のプランニングステージ305における電力グリッド階層の調整のために、さらなる設計変更指示(ECO)は、もはや必要ではない(処理が電力グリッドプランニングステージ305において新たに始まるような、IR降下分析330からのECO)ことに留意されたい。図2で使用されたのと同じハードマクロに関する電力グリッド階層の結果としてもたらされた適応が図4中に示される。タイル400に関する局所的なホットスポットは、サイズが著しく低減され、最もロバストな電力グリッド階層(PG4)を割り当てられている。タイル400と比較してより低減された電力供給電圧降下を有するタイル405は、2番目に高い電力グリッド階層(PG3)を割り当てられている。しかしながら、ハードマクロの大部分が、より緩和された電力グリッド階層(PG2)を受け取っている。対照的に、図2に関して説明されたのと同じ従来の技法を使用して設計されたハードマクロは、全体のハードマクロにわたってより多くの発展型電力グリッド階層(advanced power-grid tier)PG3を使用し、それは、より多くの悪化したホットスポット200をもたらし、密度をさらに低くする。対照的に、図4のハードマクロは、改善された金属層利用、より高い密度、および低減された製造コストを有する。
[0023] いくつかの例示的な電力グリッド階層がここで説明される。1つの実施形態では、電力グリッド階層PG2およびPG3は、低部金属層M1〜M4中のビアの同じ密度を共有する。例えば、ビア密度は、PG2またはPG3電力グリッド階層割り当てのいずれかを有するハードマクロタイルのための金属層M1〜M4に関して、図5A中に示されている。ビア505は、電力レールVDDおよび接地レールVSSの両方に関して金属層M3から金属層M1まで拡張し、金属シールド510に囲まれている。同様に、ビア515は、電力レールVDDおよび接地レールVSSの両方に関して金属層M4から金属層M2まで拡張し、金属シールド520に囲まれている。同じタイルが電力グリッド階層PG4にアップグレードされたとき、図5Bに示されるように、ビア505および515の密度は、(それぞれ、対応する金属シールド510および520の密度のように)効率的に二倍される。この方式では、タイルのための局所的なホットスポットは、よりロバスト性の高い電力グリッド階層の割り当てを通じてサイズが低減され得る。ハードマクロのための電力グリッド階層を割り当てる例示的な方法がここで説明される。
[0024] 図6は、本開示の態様に従った、ハードマクロのための電力グリッド階層を割り当てる方法に関するフローチャートである。方法は、第1の電力グリッド階層にハードマクロのための複数のタイル中のタイルの第1のサブセットを割り当てる動作600を含む。動作305におけるクリティカルパスタイルへの電力グリッド階層の割り当ては、動作600の例である。加えて、方法は、ハードマクロのための配置およびルートステージ中に、クロックツリー合成の後に、電力供給電圧降下領域(ホットスポット)を有するタイルの第1のサブセット中のタイルのうちの第1の複数のタイルを識別することと、各第1のタイルが第1の修正されたホットスポットを有するように、第1のタイルのためのクロックドライバをデクラスタリングすることと、ここにおいて、第1の修正されたホットスポットは、前記ホットスポットよりも小さい、605を含む。動作605のためのこのような識別の例は、図3に関して説明された電力グリッドプランニングステージ305において発生する。図3のステップ335に関して説明されるような、ホットスポットを含むタイル中のクロックドライバのデクラスタリングが、動作605の例である。最後に、方法は、ハードマクロのための電力供給電圧の閾値パーセンテージよりも大きい電力供給電圧降下を有する第1のタイルのうちの複数のタイルを識別することと、修正された第2のホットスポットを有する第2のタイルを形成するために、第1の電力グリッド階層と比較して増加された数のパワースイッチを有する第2の電力グリッド階層を有するように、識別された第1のタイルを調整することと、ここにおいて、第2の修正されたホットスポットは、第1の修正されたホットスポットよりも小さい、動作610を含む。ステップ340に関して説明されるような、顕著な電力供給電圧降下を有するそれらタイルへのさらなるパワースイッチの追加が、動作610の例である。
[0025] 当業者は、近い将来、特定のアプリケーションに依存して、多くの修正、置き換え、およびバリエーションが、その精神および範囲から逸脱することなく、本開示のデバイスの使用の材料、装置、構成および方法においておよびそれらのために作成され得ることを、今や理解するだろう。この観点から、本開示の範囲は、それらが単にそれらのいくつかの例であるとして本明細書で図示され説明された特定の実施形態のものに限定されるべきではなく、むしろ、以下に添付される特許請求の範囲およびそれらの機能的均等物のものと十分に一致するべきである。
[0025] 当業者は、近い将来、特定のアプリケーションに依存して、多くの修正、置き換え、およびバリエーションが、その精神および範囲から逸脱することなく、本開示のデバイスの使用の材料、装置、構成および方法においておよびそれらのために作成され得ることを、今や理解するだろう。この観点から、本開示の範囲は、それらが単にそれらのいくつかの例であるとして本明細書で図示され説明された特定の実施形態のものに限定されるべきではなく、むしろ、以下に添付される特許請求の範囲およびそれらの機能的均等物のものと十分に一致するべきである。
以下に本願の出願当初の特許請求の範囲に記載された発明を付記する。
[C1]
集積回路モジュールであって、
第1の複数のタイルと、
第2の複数のタイルと、ここにおいて、前記集積回路モジュールは、半導体ダイ上のフットプリントを占有し、前記フットプリントは、前記第1の複数のタイルを含み、前記第2の複数のタイルの中にあり、
前記第1の複数のタイル中のタイルごとの第1の電力グリッド階層と、
前記第2の複数のタイル中のタイルごとの第2の電力グリッド階層と、ここにおいて、前記第1の電力グリッド階層は、前記集積回路モジュールのための電力レールおよび接地レールを定義する金属層間で拡張するビアに関して前記第2の電力グリッド階層よりも高いビア密度を有する、
を備える、集積回路。
[C2]
前記第1の電力グリッド階層のための複数の電力レールおよび接地レールは、前記第2の電力グリッド階層のための複数の電力レールおよび接地レールに関する幅よりも大きい幅を有する、C1に記載の集積回路モジュール。
[C3]
前記第1の電力グリッド階層のための複数の電力レールおよび接地レールは、前記第2の電力グリッド階層のための複数の電力レールおよび接地レールに関するピッチよりも小さいピッチを有する、C1に記載の集積回路モジュール。
[C4]
前記集積回路モジュールは、単一のハードマクロを備える、C1に記載の集積回路モジュール。
[C5]
前記第1の電力グリッド階層は、異なるビア密度を有する複数の電力グリッド階層を備える、C1に記載の集積回路モジュール。
[C6]
前記第1の電力グリッド階層は、前記第2の電力グリッド階層よりも多い数のパワースイッチを含む、C1に記載の集積回路モジュール。
[C7]
前記単一のハードマクロの大部分は、最低密度のビアを有する電力グリッド階層を有する、C4に記載の集積回路モジュール。
[C8]
集積回路に関するハードマクロのための物理的設計の方法であって、前記ハードマクロは、複数のタイルを含み、前記方法は、
前記複数のタイル中のタイルの第1のサブセットを第1の電力グリッド階層に割り当てることと、
前記ハードマクロのための配置およびルートステージ中に、クロックツリー合成の後に、電力供給電圧降下領域(ホットスポット)を有するタイルの前記第1のサブセット中の前記タイルのうちの第1の複数のタイルを識別することと、各第1のタイルが第1の修正されたホットスポットを有するように前記第1のタイルのためのクロックドライバをデクラスタリングすることと、ここにおいて、前記第1の修正されたホットスポットは、前記ホットスポットよりも小さい、
前記ハードマクロのための電力供給電圧の閾値パーセンテージよりも大きい電力供給電圧降下を有する前記第1のタイルのうちの複数のタイルを識別することと、各々が第2の修正されたホットスポットを有する第2のタイルを形成するために、前記第1の電力グリッド階層と比較して増加された数のパワースイッチを有する第2の電力グリッド階層を有するように、前記識別された第1のタイルを調整することと、ここにおいて、前記第2の修正されたホットスポットは、前記第1の修正されたホットスポットよりも小さい、
を備える、方法。
[C9]
第1の閾値よりも大きい電力供給電圧降下を有する前記第2のタイルのうちの複数のタイルを識別することと、第3の修正されたホットスポットを有する第3のタイルを形成するために、前記第2の電力グリッド階層と比較して低部金属層のセット中のその電力および接地レールに関してより大きい幅を有する第3の電力グリッド階層を有するように、前記識別された第2のタイルを調整することと、をさらに備え、ここにおいて、前記第3の修正されたホットスポットは、前記第2の修正されたホットスポットよりも小さい、C8に記載の方法。
[C10]
前記第1の閾値よりも小さい第2の閾値よりも大きい電力供給電圧降下を有する、前記第3のタイルうちの複数のタイルを識別することと、第4の修正されたホットスポットを有する第4のタイルを形成するために、前記第3の電力グリッド階層と比較して上部金属層のセット中のその電力および接地レールに関してより大きい幅を有する第4の電力グリッド階層を有するように、前記識別された第3のタイルを調整することと、C9に記載の方法。
[C11]
次にタイミング分析が続く前記ハードマクロ上の寄生抵抗および寄生キャパシタンス抽出を行うことをさらに備える、C8に記載の方法。
[C12]
最終電力供給電圧降下分析を前記ハードマクロ上で行うことをさらに備える、C8に記載の方法。
[C13]
前記ホットスポットを有する前記第1のタイルを識別することは、前記ハードマクロのための電力供給電圧の10%よりも大きい電力供給電圧降下を有する前記第1のタイルを識別することを備える、C8に記載の方法。
[C14]
前記第1の閾値は、約10mVである、C9に記載の方法。
[C15]
前記第2の閾値は、約5mVである、C10に記載の方法。
[C16]
低部金属層の前記セットは、最低部の第1の金属層から第4の金属層までを備える、C9に記載の方法。
[C17]
上部金属層の前記セットは、第5の金属層から最上部の第8の金属層までを備える、C10に記載の方法。
[C18]
集積回路モジュールであって、
少なくとも1つのクリティカルパスと、
非クリティカルパス部分と、
前記少なくとも1つのクリティカルパスのための第1の電力グリッド階層と、
前記非クリティカルパス部分のための第2の電力グリッド階層と
を備え、前記第1の電力グリッド階層および第2の電力グリッド階層の両方は、複数の金属層において定義された電力レールおよび接地レールを含み、前記第1の電力グリッド階層における前記電力レールおよび接地レールのための幅は、前記第2の電力グリッド階層における前記電力レールおよび接地レールのための幅よりも大きい、
集積回路モジュール。
[C19]
前記集積回路モジュールは、単一のハードマクロを備える、C18に記載の集積回路モジュール。
[C20]
前記第2の電力グリッド階層は、複数の電力グリッド階層を備える、C18に記載の集積回路モジュール。

Claims (20)

  1. 集積回路モジュールであって、
    第1の複数のタイルと、
    第2の複数のタイルと、ここにおいて、前記集積回路モジュールは、半導体ダイ上のフットプリントを占有し、前記フットプリントは、前記第1の複数のタイルを含み、前記第2の複数のタイルの中にあり、
    前記第1の複数のタイル中のタイルごとの第1の電力グリッド階層と、
    前記第2の複数のタイル中のタイルごとの第2の電力グリッド階層と、ここにおいて、前記第1の電力グリッド階層は、前記集積回路モジュールのための電力レールおよび接地レールを定義する金属層間で拡張するビアに関して前記第2の電力グリッド階層よりも高いビア密度を有する、
    を備える、集積回路。
  2. 前記第1の電力グリッド階層のための複数の電力レールおよび接地レールは、前記第2の電力グリッド階層のための複数の電力レールおよび接地レールに関する幅よりも大きい幅を有する、請求項1に記載の集積回路モジュール。
  3. 前記第1の電力グリッド階層のための複数の電力レールおよび接地レールは、前記第2の電力グリッド階層のための複数の電力レールおよび接地レールに関するピッチよりも小さいピッチを有する、請求項1に記載の集積回路モジュール。
  4. 前記集積回路モジュールは、単一のハードマクロを備える、請求項1に記載の集積回路モジュール。
  5. 前記第1の電力グリッド階層は、異なるビア密度を有する複数の電力グリッド階層を備える、請求項1に記載の集積回路モジュール。
  6. 前記第1の電力グリッド階層は、前記第2の電力グリッド階層よりも多い数のパワースイッチを含む、請求項1に記載の集積回路モジュール。
  7. 前記単一のハードマクロの大部分は、最低密度のビアを有する電力グリッド階層を有する、請求項4に記載の集積回路モジュール。
  8. 集積回路に関するハードマクロのための物理的設計の方法であって、前記ハードマクロは、複数のタイルを含み、前記方法は、
    前記複数のタイル中のタイルの第1のサブセットを第1の電力グリッド階層に割り当てることと、
    前記ハードマクロのための配置およびルートステージ中に、クロックツリー合成の後に、電力供給電圧降下領域(ホットスポット)を有するタイルの前記第1のサブセット中の前記タイルのうちの第1の複数のタイルを識別することと、各第1のタイルが第1の修正されたホットスポットを有するように前記第1のタイルのためのクロックドライバをデクラスタリングすることと、ここにおいて、前記第1の修正されたホットスポットは、前記ホットスポットよりも小さい、
    前記ハードマクロのための電力供給電圧の閾値パーセンテージよりも大きい電力供給電圧降下を有する前記第1のタイルのうちの複数のタイルを識別することと、各々が第2の修正されたホットスポットを有する第2のタイルを形成するために、前記第1の電力グリッド階層と比較して増加された数のパワースイッチを有する第2の電力グリッド階層を有するように、前記識別された第1のタイルを調整することと、ここにおいて、前記第2の修正されたホットスポットは、前記第1の修正されたホットスポットよりも小さい、
    を備える、方法。
  9. 第1の閾値よりも大きい電力供給電圧降下を有する前記第2のタイルのうちの複数のタイルを識別することと、第3の修正されたホットスポットを有する第3のタイルを形成するために、前記第2の電力グリッド階層と比較して低部金属層のセット中のその電力および接地レールに関してより大きい幅を有する第3の電力グリッド階層を有するように、前記識別された第2のタイルを調整することと、をさらに備え、ここにおいて、前記第3の修正されたホットスポットは、前記第2の修正されたホットスポットよりも小さい、請求項8に記載の方法。
  10. 前記第1の閾値よりも小さい第2の閾値よりも大きい電力供給電圧降下を有する、前記第3のタイルうちの複数のタイルを識別することと、第4の修正されたホットスポットを有する第4のタイルを形成するために、前記第3の電力グリッド階層と比較して上部金属層のセット中のその電力および接地レールに関してより大きい幅を有する第4の電力グリッド階層を有するように、前記識別された第3のタイルを調整することと、請求項9に記載の方法。
  11. 次にタイミング分析が続く前記ハードマクロ上の寄生抵抗および寄生キャパシタンス抽出を行うことをさらに備える、請求項8に記載の方法。
  12. 最終電力供給電圧降下分析を前記ハードマクロ上で行うことをさらに備える、請求項8に記載の方法。
  13. 前記ホットスポットを有する前記第1のタイルを識別することは、前記ハードマクロのための電力供給電圧の10%よりも大きい電力供給電圧降下を有する前記第1のタイルを識別することを備える、請求項8に記載の方法。
  14. 前記第1の閾値は、約10mVである、請求項9に記載の方法。
  15. 前記第2の閾値は、約5mVである、請求項10に記載の方法。
  16. 低部金属層の前記セットは、最低部の第1の金属層から第4の金属層までを備える、請求項9に記載の方法。
  17. 上部金属層の前記セットは、第5の金属層から最上部の第8の金属層までを備える、請求項10に記載の方法。
  18. 集積回路モジュールであって、
    少なくとも1つのクリティカルパスと、
    非クリティカルパス部分と、
    前記少なくとも1つのクリティカルパスのための第1の電力グリッド階層と、
    前記非クリティカルパス部分のための第2の電力グリッド階層と
    を備え、前記第1の電力グリッド階層および第2の電力グリッド階層の両方は、複数の金属層において定義された電力レールおよび接地レールを含み、前記第1の電力グリッド階層における前記電力レールおよび接地レールのための幅は、前記第2の電力グリッド階層における前記電力レールおよび接地レールのための幅よりも大きい、
    集積回路モジュール。
  19. 前記集積回路モジュールは、単一のハードマクロを備える、請求項18に記載の集積回路モジュール。
  20. 前記第2の電力グリッド階層は、複数の電力グリッド階層を備える、請求項18に記載の集積回路モジュール。
JP2021142514A 2016-11-18 2021-09-01 集積回路のための適応的多階層電力分配グリッド Active JP7261273B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662424289P 2016-11-18 2016-11-18
US62/424,289 2016-11-18
US15/432,431 2017-02-14
US15/432,431 US10318694B2 (en) 2016-11-18 2017-02-14 Adaptive multi-tier power distribution grids for integrated circuits
JP2020069520A JP6938704B2 (ja) 2016-11-18 2020-04-08 集積回路のための適応的多階層電力分配グリッド

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020069520A Division JP6938704B2 (ja) 2016-11-18 2020-04-08 集積回路のための適応的多階層電力分配グリッド

Publications (2)

Publication Number Publication Date
JP2022000902A true JP2022000902A (ja) 2022-01-04
JP7261273B2 JP7261273B2 (ja) 2023-04-19

Family

ID=60294410

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019526319A Active JP6690060B2 (ja) 2016-11-18 2017-10-26 集積回路のための適応的多階層電力分配グリッド
JP2020069520A Active JP6938704B2 (ja) 2016-11-18 2020-04-08 集積回路のための適応的多階層電力分配グリッド
JP2021142514A Active JP7261273B2 (ja) 2016-11-18 2021-09-01 集積回路のための適応的多階層電力分配グリッド

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2019526319A Active JP6690060B2 (ja) 2016-11-18 2017-10-26 集積回路のための適応的多階層電力分配グリッド
JP2020069520A Active JP6938704B2 (ja) 2016-11-18 2020-04-08 集積回路のための適応的多階層電力分配グリッド

Country Status (8)

Country Link
US (2) US10318694B2 (ja)
EP (2) EP3542286B1 (ja)
JP (3) JP6690060B2 (ja)
KR (2) KR102063505B1 (ja)
CN (2) CN109983461B (ja)
BR (1) BR112019009940B1 (ja)
CA (1) CA3039063C (ja)
WO (1) WO2018093548A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10318694B2 (en) 2016-11-18 2019-06-11 Qualcomm Incorporated Adaptive multi-tier power distribution grids for integrated circuits
US10664035B2 (en) * 2017-08-31 2020-05-26 Qualcomm Incorporated Reconfigurable power delivery networks
US10678987B2 (en) * 2017-10-25 2020-06-09 Taiwan Semiconductor Manufacturing Company Ltd. Cell layout method and system for creating stacked 3D integrated circuit having two tiers
US10629533B2 (en) * 2018-03-13 2020-04-21 Toshiba Memory Corporation Power island segmentation for selective bond-out
TWI664546B (zh) * 2018-06-21 2019-07-01 瑞昱半導體股份有限公司 時脈樹合成方法
US10810346B2 (en) * 2018-09-28 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Static voltage drop (SIR) violation prediction systems and methods
DE102019116061A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systeme und verfahren zur vorhersage von statischen spannungsabfallverletzungen
CN112347728B (zh) * 2019-08-08 2024-06-18 瑞昱半导体股份有限公司 集成电路布局方法
CN110532327B (zh) * 2019-08-22 2023-04-18 深圳供电局有限公司 一种人工智能电网线路规划方法
CN112989742B (zh) * 2019-12-13 2024-01-02 瑞昱半导体股份有限公司 借助于额外导线来进行电网优化的方法与设备
US11449660B1 (en) * 2020-03-10 2022-09-20 Synopsys, Inc. Method to perform secondary-PG aware buffering in IC design flow
CN111540735B (zh) * 2020-05-09 2021-03-19 安徽省东科半导体有限公司 一种提升芯片硬宏供电能力的方法
US11829698B2 (en) * 2020-08-17 2023-11-28 Synopsys, Inc. Guided power grid augmentation system and method
CN112131831B (zh) * 2020-11-25 2021-08-20 北京智芯微电子科技有限公司 多电源域版图布局方法及存储介质
US11620428B1 (en) * 2021-05-07 2023-04-04 Cadence Design Systems, Inc. Post-CTS clock tree restructuring
CN113778216B (zh) * 2021-09-17 2022-07-05 东科半导体(安徽)股份有限公司 一种降低芯片功耗的方法
CN117113011B (zh) * 2023-10-24 2024-01-26 中国矿业大学 基于xml技术的煤矿电力整定数据拼接方法和装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024671A (ja) * 2004-07-07 2006-01-26 Nec Electronics Corp 半導体集積回路のレイアウト設計システムおよびレイアウト設計方法
JP2008205399A (ja) * 2007-02-22 2008-09-04 Seiko Epson Corp 半導体集積回路の設計方法
JP2010040537A (ja) * 2008-07-31 2010-02-18 Sharp Corp 半導体集積回路及びその設計方法
CN102693332A (zh) * 2011-03-25 2012-09-26 联发科技股份有限公司 电路系统中电力分配网络的设计方法以及相关电路系统
WO2012127784A1 (ja) * 2011-03-24 2012-09-27 ルネサスエレクトロニクス株式会社 半導体集積回路の電源配線レイアウト方法及び電源配線レイアウト装置
JP2014027593A (ja) * 2012-07-30 2014-02-06 Fujitsu Ltd 判定回路および半導体装置

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3450258B2 (ja) 2000-03-03 2003-09-22 Necエレクトロニクス株式会社 集積回路装置、回路製造方法
US7161239B2 (en) * 2000-12-22 2007-01-09 Broadcom Corporation Ball grid array package enhanced with a thermal and electrical connector
US7474001B2 (en) * 2001-11-21 2009-01-06 Hermes-Microvision, Inc. Method for in-line monitoring of via/contact holes etch process based on test structures in semiconductor wafer manufacturing
JP2004031389A (ja) 2002-06-21 2004-01-29 Fujitsu Ltd 半導体回路設計方法、半導体回路設計装置、プログラム及び半導体装置
US6943446B2 (en) * 2002-11-08 2005-09-13 Lsi Logic Corporation Via construction for structural support
US7003748B1 (en) 2003-06-01 2006-02-21 Cadence Design Systems, Inc. Methods and apparatus for defining Manhattan power grid structures beneficial to diagonal signal wiring
US6861753B1 (en) 2003-10-09 2005-03-01 International Business Machines Corporation Method and apparatus for performing power routing on a voltage island within an integrated circuit chip
JP2005136178A (ja) * 2003-10-30 2005-05-26 Matsushita Electric Ind Co Ltd 半導体集積回路の電源配線レイアウト方法、電源配線レイアウトプログラム、および電源配線レイアウト装置
US7180195B2 (en) * 2003-12-17 2007-02-20 Intel Corporation Method and apparatus for improved power routing
JP4287294B2 (ja) 2004-01-21 2009-07-01 株式会社東芝 自動設計方法、自動設計装置、及び半導体集積回路
JP4200926B2 (ja) * 2004-03-10 2008-12-24 ソニー株式会社 半導体集積回路
JP4765259B2 (ja) 2004-03-22 2011-09-07 ソニー株式会社 半導体集積回路とその設計装置、設計方法および設計プログラム
US7279926B2 (en) * 2004-05-27 2007-10-09 Qualcomm Incoporated Headswitch and footswitch circuitry for power management
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
JP2006190732A (ja) * 2005-01-04 2006-07-20 Toshiba Corp 自動設計方法及び半導体集積回路
US7581198B2 (en) * 2006-10-07 2009-08-25 Active-Semi, Inc. Method and system for the modular design and layout of integrated circuits
JP2009111117A (ja) 2007-10-30 2009-05-21 Nec Electronics Corp 半導体集積回路のレイアウト方法、半導体集積回路設計支援装置およびプログラム
JP2010123895A (ja) 2008-11-21 2010-06-03 Toshiba Corp 半導体集積回路装置およびレイアウト方法
JP5097997B2 (ja) * 2009-10-19 2012-12-12 ルネサスエレクトロニクス株式会社 配線素子ブロックとそれを含む半導体チップ
JP2011091178A (ja) 2009-10-22 2011-05-06 Renesas Electronics Corp 多層配線及び半導体装置
US8549447B2 (en) * 2010-04-24 2013-10-01 Robert Eisenstadt Integrated circuits with multiple I/O regions
US8336018B2 (en) * 2010-06-09 2012-12-18 Lsi Corporation Power grid optimization
US9768119B2 (en) * 2010-07-28 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mitigating dynamic IR voltage drop and electromigration affects
US8504967B2 (en) * 2010-09-10 2013-08-06 Apple Inc. Configurable power switch cells and methodology
US8719756B2 (en) 2011-10-06 2014-05-06 Oracle International Corporation Power grid mosaicing with deep-sub-tile cells
JP5819218B2 (ja) * 2012-02-23 2015-11-18 ルネサスエレクトロニクス株式会社 半導体装置
US8946856B2 (en) * 2012-10-30 2015-02-03 Silicon Laboratories Inc. Decoupling capacitors for integrated circuits
US10192813B2 (en) * 2012-11-14 2019-01-29 Qualcomm Incorporated Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro
US8984463B2 (en) 2012-11-28 2015-03-17 Qualcomm Incorporated Data transfer across power domains
JP5820412B2 (ja) 2013-03-08 2015-11-24 株式会社東芝 半導体集積回路
JP6342165B2 (ja) * 2014-01-24 2018-06-13 ルネサスエレクトロニクス株式会社 半導体装置及びioセル
JP2016035995A (ja) * 2014-08-04 2016-03-17 株式会社東芝 半導体集積回路装置
WO2016077813A1 (en) 2014-11-16 2016-05-19 Marvin Motsenbocker Dc power grid and equipment
US9543958B1 (en) * 2015-02-18 2017-01-10 Flex Logix Technologies, Inc. Multiplexer-memory cell circuit, layout thereof and method of manufacturing same
US10318694B2 (en) 2016-11-18 2019-06-11 Qualcomm Incorporated Adaptive multi-tier power distribution grids for integrated circuits

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024671A (ja) * 2004-07-07 2006-01-26 Nec Electronics Corp 半導体集積回路のレイアウト設計システムおよびレイアウト設計方法
JP2008205399A (ja) * 2007-02-22 2008-09-04 Seiko Epson Corp 半導体集積回路の設計方法
JP2010040537A (ja) * 2008-07-31 2010-02-18 Sharp Corp 半導体集積回路及びその設計方法
WO2012127784A1 (ja) * 2011-03-24 2012-09-27 ルネサスエレクトロニクス株式会社 半導体集積回路の電源配線レイアウト方法及び電源配線レイアウト装置
CN102693332A (zh) * 2011-03-25 2012-09-26 联发科技股份有限公司 电路系统中电力分配网络的设计方法以及相关电路系统
US20140210079A1 (en) * 2011-03-25 2014-07-31 Mediatek Inc. Method for designing power distribution network of circuit system and related circuit system
JP2014027593A (ja) * 2012-07-30 2014-02-06 Fujitsu Ltd 判定回路および半導体装置

Also Published As

Publication number Publication date
US20180144086A1 (en) 2018-05-24
JP6938704B2 (ja) 2021-09-22
CN115983194A (zh) 2023-04-18
BR112019009940A2 (pt) 2019-08-20
CN109983461B (zh) 2023-08-25
JP6690060B2 (ja) 2020-04-28
EP4235483A3 (en) 2023-10-04
JP2019536285A (ja) 2019-12-12
KR102063505B1 (ko) 2020-01-08
JP7261273B2 (ja) 2023-04-19
EP4235483A2 (en) 2023-08-30
CA3039063C (en) 2021-11-23
JP2020129668A (ja) 2020-08-27
EP3542286B1 (en) 2023-08-23
KR102389796B1 (ko) 2022-04-21
BR112019009940B1 (pt) 2023-11-28
KR20200001633A (ko) 2020-01-06
KR20190080889A (ko) 2019-07-08
US10956645B2 (en) 2021-03-23
US10318694B2 (en) 2019-06-11
WO2018093548A1 (en) 2018-05-24
CN109983461A (zh) 2019-07-05
EP3542286C0 (en) 2023-08-23
US20190220571A1 (en) 2019-07-18
EP3542286A1 (en) 2019-09-25
CA3039063A1 (en) 2018-05-24

Similar Documents

Publication Publication Date Title
JP6938704B2 (ja) 集積回路のための適応的多階層電力分配グリッド
US20110004858A1 (en) Method for concurrent migration and decomposition of integrated circuit layout
US7872355B2 (en) Semiconductor integrated circuit and method of designing semiconductor integrated circuit
US20060239102A1 (en) Semiconductor integrated circuit device and its power supply wiring method
JP2001024153A (ja) 集積回路装置におけるセルの配置方法
US20150091633A1 (en) Design method and design device
US8572541B2 (en) Method and system for adaptive physical design
JP4460227B2 (ja) 半導体集積回路
US9355202B2 (en) Promoting efficient cell usage to boost QoR in automated design
US10691862B2 (en) Layouts for connecting contacts with metal tabs or vias
US7231625B2 (en) Method and apparatus for use of hidden decoupling capacitors in an integrated circuit design
US8707238B2 (en) Method to determine optimal micro-bump-probe pad pairing for efficient PGD testing in interposer designs
US20130074027A1 (en) Designing device for semiconductor integrated circuit and designing method for semiconductor integrated circuit
JP5755619B2 (ja) 半導体集積回路の設計装置及び半導体集積回路の設計方法
CN105701290A (zh) 一种电压岛的多供电引脚分配方法
JP2005276970A (ja) オンチップデカップリングキャパシタ挿入方法及び集積回路装置
JP2006278613A (ja) 半導体装置の設計方法
JP2023162524A (ja) 情報処理装置、スキャンパス接続方法、及びスキャンパス接続プログラム
JP2006024671A (ja) 半導体集積回路のレイアウト設計システムおよびレイアウト設計方法
WO2014138169A1 (en) Horizontal interconnects crosstalk optimization
JPH04251964A (ja) 自動レイアウト方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211001

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211001

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221206

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20230104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230407

R150 Certificate of patent or registration of utility model

Ref document number: 7261273

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150