JP2019507903A - 液晶ディスプレイ用大容量コンデンサのための界面技術 - Google Patents

液晶ディスプレイ用大容量コンデンサのための界面技術 Download PDF

Info

Publication number
JP2019507903A
JP2019507903A JP2018541182A JP2018541182A JP2019507903A JP 2019507903 A JP2019507903 A JP 2019507903A JP 2018541182 A JP2018541182 A JP 2018541182A JP 2018541182 A JP2018541182 A JP 2018541182A JP 2019507903 A JP2019507903 A JP 2019507903A
Authority
JP
Japan
Prior art keywords
electrode
layer
substrate
dielectric layer
common electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018541182A
Other languages
English (en)
Other versions
JP6966457B2 (ja
JP2019507903A5 (ja
Inventor
シュエナ チャン,
シュエナ チャン,
ドンギル イム,
ドンギル イム,
ウェンチン タイ,
ウェンチン タイ,
ハーヴェイ ユー,
ハーヴェイ ユー,
テギョン ウォン,
テギョン ウォン,
シャオ−リン ヤン,
シャオ−リン ヤン,
ワン−ユイ リン,
ワン−ユイ リン,
ユン−チュー ツァイ,
ユン−チュー ツァイ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019507903A publication Critical patent/JP2019507903A/ja
Publication of JP2019507903A5 publication Critical patent/JP2019507903A5/ja
Application granted granted Critical
Publication of JP6966457B2 publication Critical patent/JP6966457B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1248Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/1368Active matrix addressed cells in which the switching element is a three-electrode device
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1343Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/136213Storage capacitors associated with the pixel electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/1201Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/121Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements
    • H10K59/1213Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements the pixel elements being TFTs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/121Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements
    • H10K59/1216Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements the pixel elements being capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/124Insulating layers formed between TFT elements and OLED elements

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Mathematical Physics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Crystal (AREA)
  • Electroluminescent Light Sources (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本開示の実施形態によって、概して、大容量且つ低漏れ性で、界面制御が良好な薄膜トランジスタ(TFT)向け用途のコンデンサの形成方法が提供される。一実施形態では、薄膜トランジスタ構造体は、薄膜トランジスタ装置内に形成されたコンデンサを含む。コンデンサはさらに、基板上に配置された共通電極と、共通電極上に形成された誘電体層と、誘電体層上に形成されたピクセル電極とを含む。界面保護層は、共通電極と誘電体層との間、または誘電体層とピクセル電極との間に形成される。薄膜トランジスタ構造体内には、高誘電率材料で製造されたゲート絶縁層もまた使用されていてよい。【選択図】図4

Description

本開示の実施形態は、概して、大容量低漏れ性のピクセルコンデンサ構造体を形成することに関する。具体的には、本開示の実施形態は、液晶ディスプレイ(LCD)用途向けの大容量低漏れ性のピクセルコンデンサ構造体を形成する方法に関する。
ディスプレイ装置は、テレビ、モニタ、携帯電話、MP3プレーヤー、電子書籍リーダー、及び携帯情報端末(PDA)などといった、広い範囲の電子関連用途で使用されてきた。ディスプレイ装置は、概して、2つの基板間(例えばピクセル電極と共通電極との間)の空隙を充填し、誘電場の強度を制御する異方性誘電率を有している液晶に対して電荷を印加することによって、所望の画像を生成するように設計されている。基板を通して伝達される光量を調整することによって、光と画像の強度、画質、及び電力消費が有効に制御され得る。
タッチスクリーンパネルを利用するディスプレイ装置用の光源として、アクティブマトリクス液晶ディスプレイ(AMLCD)やアクティブマトリクス有機発光ダイオード(AMOLED)といった、様々な種々のディスプレイ装置が採用され得る。TFT装置の製造に際しては、高電子移動度、低漏れ電流、及び高破壊電圧の電子装置によって、光の透過と回路の集積のためにより多くのピクセル領域をとることが可能になり、それによって、ディスプレイがより明るくなり、全体的な電気効率が上昇し、応答時間がより速くなり、ディスプレイの解像度が向上する結果となった。一部の装置では、TFT装置の稼働中にときに電荷を貯蔵し得るコンデンサを形成するため、ピクセル電極と共通電極の間に誘電体層が配置されている。形成されたコンデンサには、TFT装置に所望の電気性能を与えるため、大容量と低漏れ性を有することが求められる。容量は、ピクセル電極と共通電極の間に形成された誘電体層の誘電率、及び/または誘電体層の厚さを変化させることによって、調整され得る。例えば、誘電体層をより高い誘電率を持つ材料と交換すると、コンデンサの容量もまた増大する。しかし、誘電体層の材料の選択がコンデンサの容量に影響するだけではなく、誘電体層の材料の電極(ピクセル電極または共通電極のどちらか)との不適合によっても、膜構造の剥離や、界面接着の不良、界面材料の拡散という結果になり得る。
したがって、改良された装置の電気性能を生み出すTFT装置を製造するための、大容量且つ低漏れ性で、界面制御が良好なコンデンサを形成する方法を、改良する必要性が存在する。
本開示の実施形態によって、概して、大容量且つ低漏れ性で、界面制御が良好な薄膜トランジスタ(TFT)向け用途のコンデンサの形成方法が提供される。一実施形態では、薄膜トランジスタ構造体は、薄膜トランジスタ装置内に形成されたコンデンサを含む。コンデンサはさらに、基板上に配置された共通電極と、共通電極上に形成された誘電体層と、誘電体層上に形成されたピクセル電極とを含む。界面保護層は、共通電極と誘電体層との間、または誘電体層とピクセル電極との間に形成される。
別の実施形態では、薄膜トランジスタ向け用途の基板上にコンデンサ構造体を形成する方法は、使用する基板上に共通電極を形成して薄膜トランジスタ装置を形成することと、共通電極上に誘電体層を形成することと、誘電体層上にピクセル電極を形成することとを含む。共通電極と誘電体層の間、または誘電体層とピクセル電極の間に、界面保護層が形成される。
さらに別の実施形態では、薄膜トランジスタ向け用途の基板上に絶縁層を形成する方法は、原子層堆積処理または、原子層堆積と化学気相成長とを含むハイブリッド処理によって基板上に高誘電率層を形成することを含み、高誘電率層は、薄膜トランジスタ装置内の、ゲート絶縁層、パッシベーション層、コンデンサ、層間絶縁膜、エッチング停止層である。
本開示の上記の特徴が得られる方法が詳細に理解できるように、上で簡潔に要約した本開示のより具体的な説明が、添付の図面に示されている本開示の実施形態を参照することによって得られてよい。
本開示の一実施形態による誘電体層の堆積に用いられ得る、処理チャンバの断面図である。 本開示の一実施形態による材料層の堆積に用いられ得る、処理チャンバの断面図である。 TFT装置構造体の一部を形成する方法の、一実施形態のプロセスフロー図である。 薄膜トランジスタ装置構造体の一実施例の断面図である。 図5A〜図5Cは、図4の薄膜トランジスタ内で使用され得る、膜構造の種々の例を示す。 図6A〜図6Cは、図4の薄膜トランジスタ内で使用され得る、膜構造の種々の例を示す。 本開示の一実施形態による高誘電率材料の堆積に用いられ得る、処理チャンバの断面図である。
理解しやすくするため、各図面に共通する同一の要素を指定する際に、可能であれば、同一の参照番号が使用されている。一実施形態のエレメント及び特徴は、さらなる記述がなくても、他の実施形態に有益に組み込まれ得ると考えられる。
しかし、本開示は他の等しく有効な実施形態も許容し得ることから、添付の図面は本開示の典型的な実施形態のみを例示しているのであって、本開示の範囲を限定するものと見なすべきではないことに留意されたい。
本開示の実施形態によって、概して、ディスプレイ装置用の、大容量且つ低漏れ性、または高誘電率の絶縁層といった、電気性能が強化されたコンデンサの形成方法が提供される。一実施例では、ディスプレイ装置内に形成されたコンデンサは、ピクセル電極と共通電極の間に形成された誘電体層を含んでいてよい。誘電体層は、8を超える誘電率を有する、高誘電率の誘電体材料であってよい。別の構成では、ピクセル電極と誘電体層との間、及び/または共通電極と誘電体層との間に、界面保護層が形成されていてよい。こうしたコンデンサ構造体は、良好な界面接着制御によって、トランジスタ及びダイオード装置の電気性能を有効に強化し得る。別の実施例では、ゲート絶縁層、エッチング停止層、または界面保護層といった誘電率が高い任意の絶縁層もまた、電気性能の強化と向上のために高誘電率材料を使用し得る。
図1は、プラズマ化学気相堆積(PECVD)チャンバ(処理チャンバ)100の一実施形態の概略的断面図である。プラズマ化学気相堆積(PECVD)チャンバ(処理チャンバ)100内では、絶縁層、ゲート絶縁層、エッチング停止層、パッシベーション層、層間絶縁膜、コンデンサ用誘電体層、またはTFT装置構造体内のパッシベーション層といった、誘電体層が堆積され得る。適切なプラズマ化学気相堆積チャンバの1つは、カリフォルニア州サンタクララにあるApplied Materials,Inc.から入手可能である。本開示を実施するために、他の製造業者から入手可能なものを含む他の堆積チャンバが使用され得ると考えられている。
チャンバ100は、概して処理空間106を画定する壁142、底部104、及びリッド112を含む。処理空間106内には、ガス分配プレート110と基板支持体アセンブリ130が配置されていてよい。処理空間106は、壁142を貫通して形成されたバルブ108を通じてアクセスされ、それによって基板102がチャンバ100の内外へ移送され得る。
基板支持体アセンブリ130は、その上で基板102を支持するための、基板受容面132を含む。基板支持体アセンブリ130は、ステム134によってリフトシステム136に連結されており、リフトシステム136は、基板移送位置と処理位置との間で基板支持体アセンブリ130を上昇及び下降させる。処理時にはオプションで、基板102のエッジにおける堆積を防止するために、基板102の外周上に、シャドウフレーム133が配置されてよい。リフトピン138が、基板支持体アセンブリ130を貫通して動作可能に配置されており、基板を基板受容面132から引き離すのに適合している。基板支持体アセンブリ130はまた、基板支持体アセンブリ130を所望の温度に維持するために使用される、加熱要素及び/または冷却要素139も含んでいてよい。基板支持体アセンブリ130は、基板支持体アセンブリ130の外周にRFリターンパスを設けるための接地ストラップ131もまた含んでいてよい。
ガス分配プレート110は、その外周で、懸架装置114によってチャンバ100のリッド112または壁142に連結されている。ガス分配プレート110はまた、ガス分配プレート110の弛みの防止及び/または、真直度/湾曲度の制御を補助するために、1つ以上の中央支持体116によってリッド112に連結されていてもよい。ガス分配プレート110は、異なる寸法の異なる構成を有していてよい。例示の一実施形態においては、ガス分配プレート110は長方形の平面形状を有する。ガス分配プレート110は、内部に形成された複数の開口111を有する下流面150を有する。下流面150は、基板支持体アセンブリ130上に置かれた基板102の上面118に面している。開口111は、ガス分配プレート110全体にわたって、種々の形状、数、密度、寸法、及び分布を有していてよい。一実施形態では、開孔111の直径は、約0.01インチと約1インチの間から選択されてよい。
リッド112を通り、次にガス分配プレート110内に形成された開口111を通って処理空間106にガスを供給するために、ガス源120がリッド112に連結されている。処理空間106内のガスを所望の圧力に維持するために、処理チャンバ100に、真空ポンプ109が連結されてよい。
ガス分配プレート110と基板支持体アセンブリ130との間に電場を発生させるRF電力を供給し、それによってガス分配プレート110と基板支持体アセンブリ130との間に存在するガスからプラズマが生成され得るように、リッド112及び/またはガス分配プレート110に、RF電源122が連結されている。RF電力は、様々なRF周波数で印加されてよい。例えば、RF電力は約0.3MHzと約200MHzの間の周波数で印加されてよい。一実施形態では、RF電力は、13.56MHzの周波数で供給される。
一実施形態では、ガス分配プレート110の下流面150のエッジは湾曲していてよく、それによって、ガス分配プレート110のエッジ及びコーナーと基板受容面132との間に、その結果、ガス分配プレート110と基板102の上面118との間に、間隔の傾斜(spacing gradient)が規定されている。下流面150の形状は、特定の処理要件を満たすようにして選択されてよい。例えば、下流面150の形状は、凸形、平面、凹形、または他の適切な形状であってよい。したがって、基板のエッジ全体にわたる膜特性の均一性を微調整し、それによって基板のコーナーに堆積した膜の特性の不均一性を是正するために、エッジからコーナーへの間隔の傾斜が利用されてよい。加えて、基板のエッジと中央との間で膜特性の分布の均一性が制御され得るように、エッジから中央部にかけての間隔もまた制御されてよい。一実施形態では、ガス分配プレート110のエッジの中央部では、ガス分配プレート110のコーナーと比べて、基板102の上面118との間隔がより遠くなるように、ガス分配プレート110の凹形に湾曲したエッジが使用されてよい。別の実施形態では、ガス分配プレート110のコーナーでは、ガス分配プレート110のエッジの中央部と比べて、基板102の上面118との間隔がより遠くなるように、ガス分配プレート110の凸形に湾曲したエッジが使用されてよい。
ガス源とガス分配プレート110との間に、誘導結合された遠隔プラズマ源といった遠隔プラズマ源124もまた連結されていてよい。チャンバ構成要素を洗浄するのに使用されるプラズマを遠隔供給するため、基板処理と基板処理との間に、遠隔プラズマ源124内で洗浄ガスが励起されてよい。処理空間106に入る洗浄ガスは、電源122がガス分配プレート110に供給するRF電力によって、さらに励起され得る。限定しないが、適切な洗浄ガスは、NF、F、及びSFを含む。
一実施形態では、チャンバ100内で処理され得る基板102は、25,000cm以上といった10,000cm以上の表面積、例えば、例えば55,000cm以上の表面積を有していてよい。基板が、処理後にカットされてより小さい他のデバイスを形成し得ることは、理解される。
一実施形態では、堆積中の基板支持体アセンブリの温度を約600°C以下、例えば約100°Cと約500°Cの間、または約200°Cと約500°Cの間、例えば約300°Cと500°Cの間にするために、加熱要素及び/または冷却要素139がセットされてよい。
堆積中に基板需要面132上に置かれている基板102の上面118とガス分配プレート110との間の名目的な間隔は、400ミルと約1,200ミルの間、例えば400ミルと約800ミルの間、または所望の堆積結果を得るために必要なその他の距離で、概して変化していてよい。ガス分配プレート110が凹形の下流面を有している例示の一実施形態では、ガス分配プレート110のエッジの中央部と基板受容面132との間の間隔は、約400ミルと約1400ミルの間であり、ガス分配プレート110のコーナーと基板需要面132との間の間隔は、約300ミルと約1200ミルの間である。
図2は、本発明の一実施形態による、界面保護層及び/または、ピクセル電極もしくは共通電極といった金属電極の形成に適切な、例示の反応性スパッタ処理チャンバ200である。処理チャンバ200は、複数の処理チャンバ200を有する真空処理システムの一部であってよい。本発明から受益するのに適合していてよい処理チャンバの一例は、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能な物理的気相堆積(PVD)処理チャンバである。他の製造業者から入手可能なものを含む他のスパッタ処理チャンバが、本発明を実施するために適合され得ることに留意されたい。
処理チャンバ200は、内部に画定され、リッドアセンブリ204によって取り囲まれた処理空間218を有する、チャンバ本体208を含む。チャンバ本体208は、側壁210及び底部246を有する。チャンバ本体208の寸法及び処理チャンバ200の関連部品の寸法は限定されておらず、一般的に、図1の基板102といった、中で処理する基板のサイズよりも相対的に大きい。その結果、適切にサイズ決めされた処理チャンバ内で、あらゆる適切な基板サイズが処理され得る。適切な基板サイズの例は、約2000cm以上の平面表面積を有する基板を含む。
チャンバ本体208は、アルミニウムまたは他の適切な材料から製造されていてよい。チャンバ本体208の側壁210を貫通して、基板アクセスポート230が形成されており、処理チャンバ200内外への基板102(即ち、ソーラーパネルもしくはフラットパネルディスプレイの基板、プラスチック基板もしくはフレキシブル基板、半導体ウエハ、または他のワークピース)の移送を容易にしている。アクセスポート230は、移送チャンバ及び/または基板処理システムの他のチャンバに連結されていてよい。
処理空間218内に処理ガスを供給するため、チャンバ本体208にガス源228が連結されている。ガス源228によって供給されてよい処理ガスの例は、不活性ガス、非反応性ガス、及び反応性ガスを含む。一実施例では、ガス源228によって供給される処理ガスは、限定しないが、アルゴンガス(Ar)、ヘリウム(He)、窒素ガス(N)、酸素ガス(O)、及びHOを含み得る。
ポンピングポート250は、チャンバ本体208の底部246を通って形成される。処理空間218には、内部を排気し圧力を制御するため、ポンピング装置252が連結されている。一実施形態では、処理チャンバ200の圧力レベルは、約1Torr以下に維持されていてよい。
リッドアセンブリ204は、概して、ターゲット220と、ターゲット220に連結されているかまたはターゲット220の付近に置かれている、接地シールドアセンブリ226とを含む。ターゲット220は、PVD処理中に基板102の表面にスパッタ及び堆積させることができる材料源を提供する。ターゲット200またはターゲットプレートは、堆積種として利用される材料から製造されていてよい。ターゲット220からの材料のスパッタリングを促進するため、ターゲット220に、電源232といった高電圧電源が結合されている。一実施形態では、ターゲット220は、チタン(Ti)、タンタル(Ta)、マグネシウム(Mg)、銀(Ag)、インジウム(In)、スズ(Sn)、酸化インジウムスズ(ITO)、酸化インジウムスズ(ITO)、酸化インジウム亜鉛(IZO)、酸化インジウムスズ亜鉛(ITZO)、アルミニウム(Al)、タングステン(W)、金(Au)、モリブデン(Mo)、水銀(Hg)、クロム(Cr)、金属、合金、または他の好適な材料といった、金属含有材料から製造されていてよい。別の実施形態では、ターゲット220は、インジウムスズ合金などを含む材料から製造されていてよい。
ターゲット220は、概して、周辺部分224及び中央部分216を含む。周辺部分224は、チャンバ200の側壁210上に配置されている。ターゲット220の中央部分216は、基板支持体238の上に配置された基板102の表面に向かってわずかに延びる湾曲面を有し得る。ターゲット220と基板支持体238との間の間隔は、約50mmから約150mmの間で維持される。ターゲット220の寸法、形状、材料、構成及び直径が、特定の処理要件または基板要件によって変化し得ることは、留意されたい。一実施形態では、ターゲット220は、基板表面にスパッタされることが望ましい材料によって接着及び/または製造された中央部分を有する、バッキング板をさらに含んでいてよい。ターゲット220が、複数のタイルまたはセグメント材料を有していて、これらが一緒になってターゲットを形成していてもよい。
リッドアセンブリ204は、処理中にターゲット220からの材料の効率的なスパッタリングを強化する、ターゲット220の上に装着されたマグネトロンアセンブリ202をさらに備えていてよい。マグネトロンアセンブリの例は、特に、線形マグネトロン、蛇行マグネトロン、螺旋マグネトロン、二指状(double−digitated)マグネトロン、長方形螺旋マグネトロンを含む。
リッドアセンブリ204の接地シールドアセンブリ226は、接地フレーム206及び接地シールド212を含む。接地シールドアセンブリ226はまた、他のチャンバシールド部材、ターゲットシールド部材、暗部シールド及び暗部シールドフレームも含み得る。接地シールド212は、接地フレーム206によって周辺部分224に連結されており、処理空間218内で、ターゲット220の中央部分216の下に上部処理領域254を画定している。接地フレーム206は、接地シールド212をターゲット220から電気的に絶縁しており、その一方で側壁210を通して処理チャンバ200のチャンバ本体208への接地経路を提供している。接地シールド212は、処理中に生成されるプラズマを上部処理領域254内部に拘束する。それによって、ターゲット220の中央部216から遊離されたターゲット源材料は、チャンバ側壁210ではなく主に基板表面に堆積する。一実施形態では、接地シールド212は、1つ以上の構成要素で形成されていてよい。
チャンバ本体208の底部246を通って延びるシャフト240が、基板支持体238をリフト機構244に連結している。リフト機構244は、下部の移送位置と上部の処理位置との間で基板支持体238を移動させるように構成されている。シャフト240をベローズ242が取り囲んでおり、ベローズ242は、基板支持体238に連結されてその間に弾力的なシールを提供している。それによって、チャンバ処理空間218の真空完全性が維持される。
基板支持体238の外周領域にはシャドウフレーム222が配置されており、ターゲット220からスパッタされた原材料の堆積を、基板表面の所望の位置に限定するように構成されている。基板支持体238が下降位置にあるときには、シャドウフレーム222は、チャンバ本体208の側壁210から延びているチャンバシールド236のリップ256から、基板支持体238の上方へと懸架されている。基板支持体238が処理のために上部位置まで上昇すると、基板支持体238上に置かれた基板102の外側エッジがシャドウフレーム222に接触する。それによってシャドウフレーム222が持ち上げられ、チャンバシールド236から離間する。下降位置への移動時または移動中に、基板102を基板支持体238の上方に持ち上げて、移送ロボットまたは他の適切な移送機構が基板102にアクセスしやすくするために、リフトピン(図示せず)が、基板支持体238を貫通して選択的に動かされる。
処理チャンバ200及び、オプションで処理チャンバ100に、コントローラ248が連結されている。コントローラ248は、中央処理装置(CPU)260、メモリ258、及び補助回路262を含む。コントローラ248は、ガス源228からチャンバ200内へのガス流の規制、及びターゲット220のイオン衝撃の制御を行って、処理シーケンスを制御するために用いられる。CPU260は、工業環境で使用することができる汎用コンピュータプロセッサの任意の形態であり得る。ソフトウェアルーチンは、ランダムアクセスメモリ、読み出し専用メモリ、フロッピーもしくはハードディスクドライブ、または他の形式のデジタルストレージといった、メモリ258に保存することができる。補助回路262は、従来方式でCPU260に接続されており、キャッシュ、クロック回路、入出力サブシステム、電源などを含んでいてよい。ソフトウェアルーチンがCPU260によって実行されると、CPUは処理チャンバ200を制御する特定目的のコンピュータ(コントローラ)248に変わり、本発明に従って処理が実行される。ソフトウェアルーチンはまた、チャンバ200から離れて位置している第2のコントローラ(図示せず)によって保存及び/または実行されてもよい。
処理中、ターゲット220及び基板支持体238は、ガス源228によって供給された処理ガスから形成されたプラズマを維持するため、電源232によって互いに対してバイアスされている。プラズマからのイオンは、ターゲット220に向かって加速されてターゲット220に衝突し、ターゲット材料がターゲット220から遊離されるようにする。遊離されたターゲット材料は、基板102上に層を形成する。チャンバ200内に特定の処理ガスが供給される実施形態では、チャンバ200内に存在する遊離されたターゲット材料と処理ガスが反応して、基板102上に複合膜が形成される。
まず図7を参照すると、図7は、本明細書に記載されている堆積を実施するのに使用されてよい、ALD(原子層堆積)チャンバ700の概略断面図である。本明細書に記載の、絶縁層、ゲート絶縁層、エッチング停止層、層間絶縁膜、コンデンサ用誘電体層、またはTFT装置構造体内のパッシベーション層といった誘電体層を形成するために、ALD堆積処理が使用されてよい。チャンバ700は、概して、チャンバ本体702、リッドアセンブリ704、基板支持体アセンブリ706、及び処理キット750を含む。リッドアセンブリ704は、チャンバ本体702上に配置され、基板支持体アセンブリ706は、少なくとも部分的にチャンバ本体702の内部に配置される。チャンバ本体702は、処理チャンバ700の内部へのアクセスを提供するためにチャンバ本体702の側壁に形成された、スリットバルブ開口708を含む。ある実施形態では、チャンバ本体702は、真空システム(例えば真空ポンプ)と流体連通している1つ以上の開口を含む。開口は、チャンバ700内のガスの出口を提供する。真空システムは、プロセスコントローラによって、ALD処理にとって適切なALDチャンバ700内の圧力を維持するように制御される。リッドアセンブリ704は、1つ以上の差動ポンプ及びパージアセンブリ720を含み得る。差動ポンプ及びパージアセンブリ720は、ベローズ722でリッドアセンブリ704に装着されている。ベローズ722によって、ポンプ及びパージアセンブリ720が、ガス漏れに対する密封を維持しながらリッドアセンブリ704に対して垂直に動くことが可能になっている。処理キット750が処理位置へと上げられるとき、処理キット750上の柔軟な(compliant)第1のシール786及び柔軟な第2のシール788が、差動ポンプ及びパージアセンブリ720と接触する。差動ポンプ及びパージアセンブリ720は真空システム(図示せず)に接続されており、低圧に保たれている。
図7に示すように、リッドアセンブリ704は、チャンバ700内及び/または処理キット750内に反応種のプラズマを生成することができる、RFカソード710を含んでいる。RFカソード710は、例えば、電気式加熱要素(図示せず)によって加熱されてよく、例えば冷却流体の循環によって冷却されてよい。ガスを反応性核種に活性化させ、反応性核種のプラズマを維持することができる任意の電源が使用されてもよい。例えば、RFまたはマイクロ波(MW)ベースの放電技法が使用されてよい。活性化は、熱に基づく技法、ガス絶縁破壊(gas breakdown)技法、高強度光源(例えば、UVエネルギー)、またはX線源への曝露によっても引き起こされ得る。
基板支持体アセンブリ706は、チャンバ本体702内に少なくとも部分的に配置され得る。基板支持体アセンブリ706は、チャンバ本体内での処理のために基板732を支持する基板支持部材またはサセプタ730を含むことができる。サセプタ730は、チャンバ本体702の底面に形成されている1つ以上の開口部726を通って延在する単数または複数のシャフト724を通じて、基板リフト機構(図示せず)に連結されていてよい。基板リフト機構は、シャフト724周囲からの真空漏れを防止するベローズ728によって、チャンバ本体702に弾力的にシールされ得る。基板リフト機構は、図示されている下部ロボット進入位置と、処理位置、処理キット移送位置、及び基板移送位置との間で、サセプタ730がALDチャンバ700内を垂直に移動することを可能にしている。ある実施形態では、基板リフト機構が移動する位置の数は、記載されているものよりも少ない。
ある実施形態では、基板732は、真空チャック(図示せず)、静電チャック(図示せず)、または機械式クランプ(図示せず)を用いてサセプタに固定されてよい。ALD処理の性能を向上させるために基板732と処理キット750の温度に影響を与えるように、ALDチャンバ700内における処理中に、サセプタ730の温度が(例えばプロセスコントローラによって)制御されてよい。サセプタ730は、例えばサセプタ730内の電気式加熱要素(図示せず)によって加熱され得る。サセプタ730の温度は、例えばチャンバ700内の高温計(図示せず)によって測定され得る。
図7に示すとおり、サセプタ730は、1つ以上のリフトピン736を受容するため、サセプタ730を貫通する1つ以上のボア734を含むことができる。各リフトピン736は、ボア734内を自由に摺動し得るようにして装着されている。支持体アセンブリは、支持体アセンブリ706が下側位置にあるとき、リフトピン736の上面がサセプタ730の基板支持面738よりも上に位置し得るように、動くことができる。反対に、支持体706が上昇位置にある時には、リフトピン736の上面は、サセプタ730の上面738よりも下方に位置する。リフトピン736は、チャンバ本体702に接触するとき、基板732の下面に押し付けられ、基板をサセプタ730から離して持ち上げる。反対に、サセプタ730が基板732をリフトピン736から離して持ち上げてもよい。
ある実施形態では、サセプタ730は、1つ以上の柔軟なシール739を含んでいてよい処理キット絶縁ボタン737を含んでいる。処理キット絶縁ボタン737は、処理キット750をサセプタ730上で支持するために使われてよい。処理キット絶縁ボタン737中の1つ以上の柔軟なシール839は、サセプタが処理キット850を処理位置へと持ち上げるときに、押圧される。
図3は、薄膜トランジスタ装置内での使用に適切なコンデンサまたは絶縁層を形成するプロセス300の、一実施形態のフロー図を示す。薄膜トランジスタ装置内で使用される絶縁層の適切な例は、絶縁材料が必要な、ゲート絶縁層、インターフェース層、コンデンサの形成に使われる誘電体層、エッチング停止層、またはパッシベーション層を含む。一実施例では、コンデンサは、ピクセル電極と共通電極の間に形成された誘電体層または絶縁層を含んでいてよい。誘電体層または絶縁層は、図1に記載の処理チャンバ100内で実施され得るプラズマ化学気相堆積(PECVD)処理か、または図7に記載の処理チャンバ700内もしくは他の適切な処理チャンバ内で実施され得る原子層堆積(ALD)処理によって、形成されてよい。ピクセル電極及び共通電極は、図2に記載の処理チャンバ200内で実施され得る物理的気相堆積(PVD)によって形成されてよい。
プロセス300は、図2に記載の処理チャンバ200(PVDチャンバ)といった処理チャンバ内に基板102を提供することによって、工程302で開始される。基板102上に共通電極を容易に形成するため、基板102は、その上に部分的に形成されたTFT装置を含んでいてよい。図4に示す例では、基板102は、上に共通電極420が形成される準備ができている、平坦化層418の平坦面419を含んでいてよい。楕円460で示される、誘電体層424(または絶縁層と呼ばれる)と共通電極420、及びピクセル電極426は、合わせて、TFT装置構造体450内でコンデンサ427を形成し得る。コンデンサ427は、図5A〜図5Cの、プロセス300の種々の製造段階におけるコンデンサ427の構造のバリエーションに関して、以下で詳細に記載されよう。誘電体層424(または絶縁層)の形成に使用される材料はまた、TFT装置構造体450内で絶縁材料を必要とする他の層の形成にも使用されてよい。
図4に示す例では、基板102上に形成されているTFT装置450は、低温ポリシリコン(LTPS)TFT装置を含む。基板102上に種々の装置構造または種々の膜スタック(積層膜)が形成されるのを容易にするため、基板102は、それまでに基板上に形成された膜、構造体、または層の種々の組み合わせを有していてよい。基板102は、ガラス基板、プラスチック基板、ポリマー基板、金属基板、単一基板、ロール・トゥ・ロール基板、または、上に薄膜トランジスタを形成するのに適した他の適切な透明基板のうちの任意の1つであってよい。
低温ポリシリコンTFT装置450は、オプション上で置かれた絶縁層404は有りまたは無しで、光学的に透明な基板上に形成されたソース領域409a、チャネル領域408、及びドレイン領域409bを含んでいる、MOS装置である。ソース領域409a、チャネル領域408、及びドレイン領域409bは、一般的に、初めに堆積されたアモルファスシリコン(a−Si)層から形成される。このアモルファスシリコン層は、典型的には、後で熱処理またはレーザ処理されて、ポリシリコン層を形成する。ソース領域409a、ドレイン領域408a、及びチャネル領域409bは、光学的に透明な基板402上のエリアをパターニングし、初めに堆積されたa−Si層をイオンドープし、次に熱処理またはレーザ処理(例えばエキシマレーザアニール処理)してポリシリコンを形成することによって形成され得る。次に、堆積したポリシリコン層の上にゲート絶縁層406が堆積し、ゲート電極414をチャネル領域408、ソース領域409、及びドレイン領域409bから絶縁する。ゲート電極414は、ゲート絶縁層406の最上部に形成される。ゲート絶縁層406はまた、ゲート酸化物層としても一般に知られている。次に、絶縁層を通して層間絶縁膜412が作られ装置の接続が行われ、TFT装置の制御が可能になる。
層間絶縁膜412が形成された後、ソース・ドレイン金属電極層410a、410bが、ソース領域409及びドレイン領域409bに電気的に接続された層間絶縁膜412内に堆積され、形成され、パターニングされる。ソース・ドレイン金属電極層410a、410bがパターニングされた後、次は、ソース・ドレイン金属電極層410a、410b上に平坦化層418が形成され、平坦面419が設けられる。平坦面419上には、後に共通電極420が形成され、パターニングされてよい。平坦化層418は、ポリイミド、ベンゾシクロブテン系樹脂、スピンオングラス(SOG)、またはアクリレートから製造されてよい。平坦化層418は後にパターニングされ、ビアコンタクトホール421が形成される。それによって、共通電極420(例えば、プロセス300の最初の、金属材料を提供するステップ)と、誘電体層424及び/またはピクセル電極426をビアコンタクトホール内に順次、充填することが可能になる。図4に示す構造体が、単にTFT装置450の例示的な実施形態にすぎないことは、留意されたい。ビアコンタクトホール421は、必要に応じた任意の構成で、共通電極420、誘電体層424、またはピクセル電極426によって部分的にまたは完全に充填されてよい。図4に記載された例が示すように、ピクセル電極426及び共通電極420、並びにこれらの間に形成された誘電体層424は、組み合わせて、TFT装置450内のコンデンサ427(例えば、MIM(金属−絶縁−金属)構造)を形成する。ピクセル電極426が形成された後、コンデンサ427の構造体上に有機層または液晶層といった他の絶縁層428が形成され、装置450の構造をさらに完成されてよい。
図3のプロセス300が、基板102上に、共通電極420、誘電体層424、及びピクセル電極426を含むコンデンサ427を形成するプロセスのシーケンスを記載していることは、留意されたい。
工程304では、図5Aに示すとおり、基板102上に共通電極420(例えば第1の金属層)を形成するために物理的気相堆積処理が実施される。図5A〜図5Cに示す共通電極420、誘電体層424、及びピクセル電極426が、図4に示す共通電極420、誘電体層424、及びピクセル電極426に相当することは、留意されたい。
一実施例では、基板102上に形成される共通電極420は、酸化インジウムスズ(ITO)、酸化インジウム亜鉛(IZO)、酸化インジウムスズ亜鉛(ITZO)、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)、チタン(Ti)、モリブデン(Mo)、マグネシウム(Mg)、銀(Ag)、金(Au)、クロム(Cr)、これらの合金、またはこれらの組み合わせといった、適切な金属材料から製造される。具体的な一実施例では、共通電極420は、酸化インジウムスズ亜鉛(ITO)層である。
工程306で示すように、共通電極420が、その上に誘電体層424を形成するのに先立って、必要に応じて任意の形態または任意の態様でパターニングされていてよいことは、留意されたい。
工程306で、基板102上に共通電極420が形成され、さらに必要に応じてオプションでパターニングされた後、図5Aに示すとおり、基板102上に誘電体層424が形成される。誘電体層424は、基板102を図1に示すプラズマ化学気相堆積チャンバ100といった堆積チャンバに移送し、基板102に対して化学気相堆積処理を実施することによって、基板102上に形成され得る。
一実施例では、誘電体層424は、例えば8よりも大きい誘電率を有する誘電体材料といった高誘電率材料によって製造された、単一の層であってよい。高誘電率材料層の適切な例は、とりわけ、二酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)、二酸化ジルコニウム(ZrO)、酸窒化ジルコニウム(ZrON)、酸化アルミニウム(Al)、酸窒化アルミニウム(AlON)、酸化ハフニウムケイ素(HfSiO)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムケイ素(ZrSiO)、二酸化タンタル(Ta)、酸化アルミニウム、Y、La、酸化チタン(TiO)、アルミニウムドープ二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、及びプラチナジルコニウムチタン(PZT)を含む。誘電体層424が、CVD処理、ALD処理、または任意の適切な堆積処理によって製造されてよいことは、留意されたい。誘電体層424が高誘電率材料であるように構成されている実施例では、誘電体層424の高誘電率材料は、図7に示す処理チャンバ700といったALDチャンバ内で、ALD処理によって製造されてよい。
誘電体層424として形成された高誘電率材料を使用することによって、コンデンサ427の構造体内に高誘電率が生じてよく、したがって、コンデンサ内に形成される誘電体層の誘電率が上昇するのにつれてコンデンサの容量が増大するため、大容量が得られてよい。誘電体層424によって提供される高容量によって、望ましくない電流の漏れとトンネル効果を低減しながらTFT装置450の電気性能を向上し得る。こうして、高誘電率材料の誘電体層424を含むコンデンサの容量は、コンデンサ427内で誘電体層424を高誘電率材料として使用することによって、コンデンサ内で従来型の窒化ケイ素層または酸化ケイ素層を高誘電率材料として使用する場合と比べて増大し向上し得る。
いくつかの例では、基板102上に形成される誘電体層424は、図5Bに示すとおり複数の層を有する複合構造の形態であり得る。一実施形態では、誘電体層424は、頂部界面保護層506と底部界面保護層502との間にはさまれた、バルク誘電体材料504を含んでいてよい。頂部界面保護層506は、後からその上に形成されたピクセル電極426と接触していてよい。その一方で、底部界面保護層502は、共通電極420に接触して形成されてよい。この具体的な実施例では、バルク誘電体材料504は窒化ケイ素(SiN)材料または高誘電率材料によって製造されてよいが、その一方、頂部界面保護層506及び底部界面保護層502は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、オキシ炭化ケイ素(SiOC)、炭化ケイ素(SiC)などといったケイ素含有誘電体材料であってよい。一実施例では、バルク誘電体材料504が窒化ケイ素(SiN)材料であるとき、頂部界面保護層506及び底部界面保護層502は酸窒化ケイ素(SiON)材料であってよい。別の実施例では、図5Aに示すようにバルク誘電体材料504が誘電体層424といった高誘電率材料であるときに、頂部界面保護層506及び底部界面保護層502は、酸化ケイ素材料(SiO)または酸窒化ケイ素(SiON)であってよい。
一実施例では、頂部と底部の界面保護層506、502は、CVD堆積処理によって形成され得る。別の実施例では、頂部界面保護層506は、バルク誘電体材料504が窒化ケイ素(SiN)材料であるときに、O、OまたはHOといった酸素含有ガスによってバルク誘電体材料504に対して酸化、酸素イオン注入、または酸素表面処理を行い、それによって、バルク誘電体材料504から酸窒化ケイ素層へと窒化ケイ素の表面が酸化されることによって、形成されてよい。
ピクセル電極426と共通電極420の間に形成された頂部界面保護層506及び底部界面保護層502は、バルク誘電体材料504から頂部界面保護層506及び/または底部界面保護層502へのブリッジングを補助してよく、それによって、膜剥離の懸念なしにコンデンサ427の構造体の接合が強化される。さらに、頂部界面保護層506及び底部界面保護層502は、熱的に安定した界面構造体としての役割を果たしてもよく、それによって、金属のピクセル電極426及び共通電極420からの漏れが低減される。
頂部界面保護層506及び/または底部界面保護層502は、バルク誘電体材料504(窒化ケイ素材料または高誘電率材料)が形成されるのと同じ処理チャンバで形成されてよい。代わりに、頂部界面保護層506及び/または底部界面保護層502は、必要に応じて任意の適切なチャンバ内で形成されてよい。
工程308で、基板102上に誘電体層424が形成された後、次にピクセル電極426(例えば、第2の金属層)が誘電体層424上に形成される。工程304に記載されている共通電極420を形成する処理と同様に、ピクセル電極426は、酸化インジウムスズ(ITO)、酸化インジウム亜鉛(IZO)、酸化インジウムスズ亜鉛(ITZO)、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)、チタン(Ti)、モリブデン(Mo)、マグネシウム(Mg)、銀(Ag)、金(Au)、クロム(Cr)、これらの合金、またはこれらの組み合わせといった、任意の適切な金属材料から製造されてよい。また、ピクセル電極426は、図2に示す処理チャンバ200といったプラズマ堆積チャンバ内で実施される、物理的気相堆積(PVD)処理によって形成されてもよい。
一実施形態では、図5A〜図5Bに示すとおり、ピクセル電極426は、この段落中の上記で検討された金属材料によって形成された、単一層の形態であってよい。代わりに、ピクセル電極426は、図5Cに示すもののように、上に第2の金属電極510が形成された第1の金属電極508といった、複数の材料を有する複合構造の形態であってもよい。
一実施例では、第1の電極508及び第2の電極510は、酸化インジウムスズ(ITO)、酸化インジウム亜鉛(IZO)、酸化インジウムスズ亜鉛(ITZO)、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)、チタン(Ti)、モリブデン(Mo)、マグネシウム(Mg)、銀(Ag)、金(Au)、クロム(Cr)、銀ナノインク、カーボンナノチューブ(CNT)、銀ナノインク、グラフェン、またはこれらの合金から選択される、金属材料であってよい。具体的な一実施例では、第1の電極508はITO層であり、第2の電極510はTa、Ti、Al、Mg、Mo、W、Ag、Mgまたはこれらの合金から選択される金属層であってよい。別の実施例では、対照的に、第1の電極508がTa、Ti、Al、Mg、Mo、W、Ag、Mgまたはこれらの合金から選択される金属層であってよく、第2の電極510がITO層であってよい。
具体的な一実施例では、第2の電極510は、第1の電極508上に形成されたメッシュ電極またはグリッド電極の形態にパターニングされてよい。別の実施例では、ピクセル電極426及び/または共通電極420もまた、必要に応じてメッシュ電極またはグリッド電極の形態であってよい。
同様に、TFT装置450の他の箇所または位置で、絶縁材料を形成するために、高誘電率材料、例えば8よりも大きい誘電率を有する誘電体材料が使われてもよい。図4の楕円452に示されるように、高誘電率材料は、例えばオプションの絶縁層404、ゲート絶縁層406、または層間絶縁膜412を形成するのにもまた使用されてよい。上記のように、高誘電率材料層の適切な例は、とりわけ、二酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)、二酸化ジルコニウム(ZrO)、酸窒化ジルコニウム(ZrON)、酸化アルミニウム(Al)、酸窒化アルミニウム(AlON)、酸化ハフニウムケイ素(HfSiO)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムケイ素(ZrSiO)、二酸化タンタル(Ta)、酸化アルミニウム、Y、La、酸化チタン(TiO)、アルミニウムドープ二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、及びプラチナジルコニウムチタン(PZT)を含む。
図6A〜図6Cは、図4の楕円452に示すように、オプションの絶縁層404、ゲート絶縁層406、及び層間絶縁膜412の、種々の膜スタックの配置または構成を示す。上記のように、オプションの絶縁層404、ゲート絶縁層406、及び層間絶縁膜412のうちの任意の1つ(または全て)が、必要に応じて図6Aに示す高誘電率材料、例えば8よりも大きい誘電率を有する誘電体材料であってよい。オプションの絶縁層404、ゲート絶縁層406、または層間絶縁膜412の高誘電率材料は、図7に示す処理チャンバ700といったALDチャンバ内で実施されるALD処理か、図1に示す処理チャンバ100といったPECVDチャンバ内で実施されるCVD処理か、または、必要に応じ製造プロセス中の任意の順序及び任意の時点で、CVD処理チャンバ及びALD処理チャンバの両方で、CVD−ALDのハイブリッド処理によって、形成され得る。
ゲート絶縁層406は、代わりに、内部に形成された1つ以上の層を持つ複合構造体の形式であってもよい。図6Bに示す例では、ゲート絶縁層406は、内部に合計3つの層602、604、606が形成されており、図5B及び図5Cに示す絶縁層424と同様である。具体的には、上記のように、ゲート絶縁層406は、頂部界面保護層606と底部界面保護層602との間にはさまれた、バルクゲート誘電体材料604を含んでいてよい。頂部界面保護層606は、後からその上に形成された層間絶縁膜412と接触していてよい。その一方で、底部界面保護層602は、オプションの絶縁層404に接触して形成されてよい。この具体的な実施例では、バルクゲート絶縁材料604は高誘電率材料によって製造されてよいが、その一方、頂部界面保護層606及び底部界面保護層602は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、オキシ炭化ケイ素(SiOC)、炭化ケイ素(SiC)などといったケイ素含有誘電体材料であってよい。一実施例では、図5Bまたは図5Cに示すようにバルクゲート絶縁材料606が誘電体層424といった高誘電率材料であるときに、頂部界面保護層606及び底部界面保護層602は、酸窒化ケイ素(SiON)または窒化ケイ素(SiN)であってよい。
代わりに、図6Cに示す別の一実施例では、層間絶縁膜412もまた、内部に1つ以上の層が形成されて構築されてよい。図6Cに示す例では、層間絶縁膜412は、内部に合計3つの層602、608、606が形成されており、図6Cに示すゲート絶縁層406と同様である。具体的には、上記のように、ゲート層間絶縁膜412は、頂部界面保護層606と底部界面保護層602との間にはさまれた、バルク層間絶縁膜608を含んでいてよい。頂部界面保護層606は、後からその上に形成された平面化層418(図4に示す)と接触していてよい。その一方で、底部界面保護層602は、ゲート絶縁層406に接触して形成されてよい。この具体的な実施例では、バルク層間絶縁膜608は高誘電率材料によって製造されてよいが、その一方、頂部界面保護層606及び底部界面保護層602は、窒化ケイ素、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、オキシ炭化ケイ素(SiOC)、炭化ケイ素(SiC)などといったケイ素含有誘電体材料であってよい。一実施例では、図5Bまたは図5Cに示すようにバルク層間絶縁膜608が誘電体層424といった高誘電率材料であるとき、または図6Bに示すように示すようにゲート絶縁層406であるときに、頂部界面保護層606及び底部界面保護層602は、酸化ケイ素材料(SiO)または酸窒化ケイ素(SiON)材料であってよい。
こうして、本明細書に記載の方法によって、ゲート絶縁層の材料及び構造を制御することと、装置、ピクセル電極、共通電極、及びこれらの間に形成された誘電体層の材料を、TFT装置構造体内の高電気的性能コンデンサとして絶縁することで、TFT装置構造体の電子の安定性、電気的性能、大容量性、低漏れ性、及び膜スタックの良好な集積が有利に向上される。
上記は本開示の実施形態を対象としているが、本開示の他のさらなる実施形態は、その基本的な範囲並びに以下の特許請求の範囲によって決定されるその範囲を逸脱することなく考案され得る。

Claims (15)

  1. 薄膜トランジスタ構造体であって、
    薄膜トランジスタ装置内に形成されたコンデンサを備え、前記コンデンサは、
    基板上に配置された共通電極と、
    前記共通電極上に形成された誘電体層と、
    前記誘電体層上に形成されたピクセル電極とをさらに備え、
    前記共通電極と前記誘電体層の間、または前記誘電体層と前記ピクセル電極との間に界面保護層が形成される、薄膜トランジスタ構造体。
  2. 前記誘電体層が、8よりも高い誘電率を有する高誘電体材料である、請求項1に記載の構造体。
  3. 前記共通電極と前記基板の間に配置された平坦化層の下に形成されたゲート電極
    をさらに含む、請求項1に記載の構造体。
  4. 前記界面保護層は、ケイ素含有材料である、請求項1に記載の構造体。
  5. 前記誘電体層が窒化ケイ素層であるときに、前記界面保護層は酸窒化ケイ素層である、請求項1に記載の構造体。
  6. 前記界面保護層は、酸化ケイ素または酸窒化ケイ素層である、請求項2に記載の構造体。
  7. 前記共通電極と前記ピクセル電極は、酸化インジウムスズ(ITO)、酸化インジウム亜鉛(IZO)、酸化インジウムスズ亜鉛(ITZO)、銀ナノインク、カーボンナノチューブ(CNT)、銀ナノインク、グラフェン、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)、チタン(Ti)、モリブデン(Mo)、マグネシウム(Mg)、銀(Ag)、金(Au)、クロム(Cr)またはこれらの合金からなる群から選択された材料から製造される、請求項1に記載の構造体。
  8. 前記共通電極または前記ピクセル電極は、メッシュ電極またはグリッド電極である、請求項1に記載の構造体。
  9. 前記ピクセル電極が、第1の電極及び前記第1の電極上に配置された第2の電極を含む、請求項1に記載の構造体。
  10. 第2の電極はメッシュ電極またはグリッド電極である、請求項9に記載の構造体。
  11. 薄膜トランジスタ向け用途の基板上にコンデンサ構造体を形成する方法であって、
    薄膜トランジスタ装置を形成するのに使用される基板上に共通電極を形成することと、
    前記共通電極上に誘電体層を形成することと、
    前記誘電体層上にピクセル電極を形成することを含み、
    前記共通電極と前記誘電体層の間、または前記誘電体層と前記ピクセル電極との間に界面保護層が形成される、方法。
  12. 前記界面保護層は、酸窒化ケイ素層または酸化ケイ素層である、請求項11に記載の方法。
  13. 前記ピクセル電極が、メッシュ電極またはグリッド電極である、請求項11に記載の方法。
  14. 前記共通電極及びピクセル電極は、酸化インジウムスズ(ITO)、酸化インジウム亜鉛(IZO)、酸化インジウムスズ亜鉛(ITZO)、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)、チタン(Ti)、モリブデン(Mo)、マグネシウム(Mg)、銀(Ag)、金(Au)、クロム(Cr)、銀ナノインク、カーボンナノチューブ(CNT)、銀ナノインク、グラフェン、またはこれらの合金からなる群から選択される材料から製造される、請求項11に記載の方法。
  15. 前記ピクセル電極が第1の電極及び前記第1の電極上に配置された第2の電極を含み、前記第2の電極はメッシュ電極またはグリッド電極である、請求項11に記載の方法。
JP2018541182A 2016-02-05 2017-01-18 液晶ディスプレイ用大容量コンデンサのための界面技術 Active JP6966457B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662292017P 2016-02-05 2016-02-05
US62/292,017 2016-02-05
PCT/US2017/013953 WO2017136141A1 (en) 2016-02-05 2017-01-18 Interface engineering for high capacitance capacitor for liquid crystal display

Publications (3)

Publication Number Publication Date
JP2019507903A true JP2019507903A (ja) 2019-03-22
JP2019507903A5 JP2019507903A5 (ja) 2020-02-27
JP6966457B2 JP6966457B2 (ja) 2021-11-17

Family

ID=59496337

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018541182A Active JP6966457B2 (ja) 2016-02-05 2017-01-18 液晶ディスプレイ用大容量コンデンサのための界面技術

Country Status (5)

Country Link
US (2) US20170229554A1 (ja)
JP (1) JP6966457B2 (ja)
KR (1) KR20180102207A (ja)
CN (1) CN108700788B (ja)
WO (1) WO2017136141A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021090724A1 (ja) * 2019-11-05 2021-05-14 東京エレクトロン株式会社 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11049887B2 (en) * 2017-11-10 2021-06-29 Applied Materials, Inc. Layer stack for display applications
US20190206691A1 (en) * 2018-01-04 2019-07-04 Applied Materials, Inc. High-k gate insulator for a thin-film transistor
US20200066858A1 (en) * 2018-08-24 2020-02-27 Qualcomm Incorporated High performance thin film transistor with negative index material
TWI698029B (zh) * 2018-11-28 2020-07-01 財團法人金屬工業研究發展中心 形成半導體結構之方法
CN109742087B (zh) * 2018-12-27 2021-08-24 武汉华星光电技术有限公司 阵列基板及其制备方法
KR20200143562A (ko) * 2019-06-13 2020-12-24 삼성디스플레이 주식회사 박막트랜지스터 기판 및 이를 구비한 디스플레이 장치
JP7292163B2 (ja) 2019-09-19 2023-06-16 株式会社ディスコ 被加工物の加工方法
KR20210054113A (ko) * 2019-11-04 2021-05-13 삼성디스플레이 주식회사 표시 장치
TW202142733A (zh) * 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
CN111943175A (zh) * 2020-07-29 2020-11-17 北海惠科光电技术有限公司 一种石墨烯薄膜和石墨烯材料的制作方法以及显示面板
KR20220092698A (ko) * 2020-12-24 2022-07-04 삼성디스플레이 주식회사 표시 장치 및 표시 장치의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007298976A (ja) * 2006-04-06 2007-11-15 Semiconductor Energy Lab Co Ltd 液晶表示装置及び半導体装置、並びに電子機器
JP2011059157A (ja) * 2009-09-07 2011-03-24 Seiko Epson Corp 液晶装置及び電子機器
JP2012118531A (ja) * 2010-12-02 2012-06-21 Samsung Electronics Co Ltd 表示装置及び表示装置の製造方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11148078A (ja) 1997-11-18 1999-06-02 Sanyo Electric Co Ltd アクティブマトリクス型液晶表示装置
US7588989B2 (en) 2001-02-02 2009-09-15 Samsung Electronic Co., Ltd. Dielectric multilayer structures of microelectronic devices and methods for fabricating the same
JP2002299632A (ja) 2001-03-30 2002-10-11 Sanyo Electric Co Ltd 半導体装置及びアクティブマトリクス型表示装置
KR100579194B1 (ko) 2004-05-28 2006-05-11 삼성에스디아이 주식회사 유기 전계 발광 표시 소자의 제조방법
KR101133753B1 (ko) 2004-07-26 2012-04-09 삼성전자주식회사 감지 소자를 내장한 액정 표시 장치
KR100700642B1 (ko) 2004-12-13 2007-03-27 삼성에스디아이 주식회사 유기전계발광표시소자 및 그 제조방법
US20060214154A1 (en) * 2005-03-24 2006-09-28 Eastman Kodak Company Polymeric gate dielectrics for organic thin film transistors and methods of making the same
JP2008203761A (ja) 2007-02-22 2008-09-04 Hitachi Displays Ltd 表示装置
TW200921225A (en) * 2007-11-06 2009-05-16 Au Optronics Corp Transflective liquid crystal display panel
CN101452162A (zh) 2007-12-07 2009-06-10 上海广电Nec液晶显示器有限公司 液晶显示面板中的阵列基板及其制造方法
WO2009099254A1 (ja) * 2008-02-08 2009-08-13 Tokyo Electron Limited 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
US20090278120A1 (en) * 2008-05-09 2009-11-12 Korea Institute Of Science And Technology Thin Film Transistor
TWI380106B (en) * 2008-08-01 2012-12-21 Chunghwa Picture Tubes Ltd Pixel structure and method for repairing the same
CN101847641B (zh) * 2009-03-27 2011-12-28 京东方科技集团股份有限公司 阵列基板及其制造方法和宽视角液晶显示器
US8115883B2 (en) 2009-08-27 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
KR101097333B1 (ko) 2010-02-11 2011-12-23 삼성모바일디스플레이주식회사 액정표시장치
JP5437895B2 (ja) 2010-04-20 2014-03-12 株式会社ジャパンディスプレイ 表示装置及びその製造方法
KR101710179B1 (ko) 2010-06-03 2017-02-27 삼성디스플레이 주식회사 평판 표시 장치 및 그 제조 방법
KR101824537B1 (ko) 2010-10-01 2018-03-15 삼성디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 유기 발광 디스플레이
KR20120061312A (ko) 2010-12-03 2012-06-13 삼성모바일디스플레이주식회사 유기 전계 발광 표시장치 및 그의 제조방법
TW201224615A (en) * 2010-12-06 2012-06-16 Chunghwa Picture Tubes Ltd Pixel array substrate and method of fabricating the same
KR20120124527A (ko) * 2011-05-04 2012-11-14 삼성디스플레이 주식회사 표시 장치 및 표시 장치의 제조 방법
TWI423310B (zh) 2011-06-10 2014-01-11 Au Optronics Corp 畫素結構
KR102025836B1 (ko) * 2011-11-07 2019-09-27 삼성디스플레이 주식회사 박막 트랜지스터 어레이 기판, 이를 포함하는 유기 발광 표시 장치 및 그 제조 방법
KR101945237B1 (ko) 2012-06-01 2019-02-08 삼성디스플레이 주식회사 유기 발광 표시 장치
WO2014038482A1 (ja) * 2012-09-05 2014-03-13 シャープ株式会社 半導体装置およびその製造方法
CN102981341A (zh) * 2012-12-25 2013-03-20 信利半导体有限公司 薄膜晶体管液晶显示器
TWI607510B (zh) * 2012-12-28 2017-12-01 半導體能源研究所股份有限公司 半導體裝置及半導體裝置的製造方法
US9178042B2 (en) * 2013-01-08 2015-11-03 Globalfoundries Inc Crystalline thin-film transistor
CN103336396B (zh) * 2013-06-28 2016-03-23 京东方科技集团股份有限公司 阵列基板及其制造方法和显示装置
JP2015015440A (ja) * 2013-07-08 2015-01-22 ソニー株式会社 半導体装置およびその製造方法、並びに表示装置および電子機器
KR20150021622A (ko) * 2013-08-20 2015-03-03 삼성디스플레이 주식회사 표시패널
TWI551926B (zh) * 2014-01-27 2016-10-01 友達光電股份有限公司 畫素結構
KR20160114510A (ko) * 2015-03-24 2016-10-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 터치 패널
US20170017327A1 (en) * 2015-07-17 2017-01-19 Innolux Corporation Touch display device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007298976A (ja) * 2006-04-06 2007-11-15 Semiconductor Energy Lab Co Ltd 液晶表示装置及び半導体装置、並びに電子機器
JP2011059157A (ja) * 2009-09-07 2011-03-24 Seiko Epson Corp 液晶装置及び電子機器
JP2012118531A (ja) * 2010-12-02 2012-06-21 Samsung Electronics Co Ltd 表示装置及び表示装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021090724A1 (ja) * 2019-11-05 2021-05-14 東京エレクトロン株式会社 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法

Also Published As

Publication number Publication date
JP6966457B2 (ja) 2021-11-17
KR20180102207A (ko) 2018-09-14
CN108700788A (zh) 2018-10-23
CN108700788B (zh) 2022-09-30
US20170229490A1 (en) 2017-08-10
WO2017136141A1 (en) 2017-08-10
US10381454B2 (en) 2019-08-13
US20170229554A1 (en) 2017-08-10

Similar Documents

Publication Publication Date Title
US10381454B2 (en) Interface engineering for high capacitance capacitor for liquid crystal display
TWI514475B (zh) 形成無氫含矽介電層的方法
JP7260599B2 (ja) フッ素処理によるigzoパッシベーションの酸素空孔
US20110263079A1 (en) Interface protection layaer used in a thin film transistor structure
US11600642B2 (en) Layer stack for display applications
EP3295475B1 (en) Thin film transistor, array substrate and display device having the same, and method of manufacturing thereof
KR102318375B1 (ko) 디스플레이 디바이스들에서 활용되는 지르코늄 산화물을 포함하는 하이브리드 하이-k 유전체 재료 막 스택들
JP2004071696A (ja) 半導体装置及びその作製方法
US10224432B2 (en) Surface treatment process performed on devices for TFT applications
US10170569B2 (en) Thin film transistor fabrication utlizing an interface layer on a metal electrode layer
CN107256873B (zh) 阵列基板的制作方法及显示装置的制作方法
US20220293793A1 (en) Process to reduce plasma induced damage
WO2019133509A2 (en) Method of reducing leakage current of storage capacitors for display applications
TW202339264A (zh) 薄膜電晶體結構、用以形成用於顯示裝置之複合膜層之方法、及用於顯示裝置中的裝置結構

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200120

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200120

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211013

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211021

R150 Certificate of patent or registration of utility model

Ref document number: 6966457

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150