US20170229554A1 - High-k dielectric materials utilized in display devices - Google Patents

High-k dielectric materials utilized in display devices Download PDF

Info

Publication number
US20170229554A1
US20170229554A1 US15/198,955 US201615198955A US2017229554A1 US 20170229554 A1 US20170229554 A1 US 20170229554A1 US 201615198955 A US201615198955 A US 201615198955A US 2017229554 A1 US2017229554 A1 US 2017229554A1
Authority
US
United States
Prior art keywords
layer
capacitor
insulating layer
gate insulating
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/198,955
Other languages
English (en)
Inventor
Soo Young Choi
Jrjyan Jerry Chen
Dong-Kil Yim
Xiangxin Rui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/198,955 priority Critical patent/US20170229554A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, JRJYAN JERRY, YIM, DONG-KIL, CHOI, SOO YOUNG, RUI, XIANGXIN
Publication of US20170229554A1 publication Critical patent/US20170229554A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1343Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1248Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/136213Storage capacitors associated with the pixel electrode
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/1368Active matrix addressed cells in which the switching element is a three-electrode device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • H01L27/3258
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/1201Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/121Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements
    • H10K59/1213Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements the pixel elements being TFTs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/121Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements
    • H10K59/1216Active-matrix OLED [AMOLED] displays characterised by the geometry or disposition of pixel elements the pixel elements being capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/124Insulating layers formed between TFT elements and OLED elements

Definitions

  • Embodiments of the present disclosure generally relate to forming a dielectric layer having a high dielectric constant for display devices. More particularly, embodiments of the disclosure relate to methods for forming a dielectric layer having a high dielectric constant by an atomic layer deposition (ALD) process with high film density and low film leakage for display applications.
  • ALD atomic layer deposition
  • Display devices have been widely used for a wide range of electronic applications, such as TV, monitors, mobile phone, MP3 players, e-book readers, and personal digital assistants (PDAs) and the like.
  • the display device is generally designed for producing desired image by applying an electric field to a liquid crystal that fills a gap between two substrates (e.g., a pixel electrode and a common electrode) and has anisotropic dielectric constant that controls the intensity of the dielectric field.
  • a liquid crystal that fills a gap between two substrates (e.g., a pixel electrode and a common electrode) and has anisotropic dielectric constant that controls the intensity of the dielectric field.
  • a variety of different display devices such as active matrix liquid crystal display (AMLCD) or an active matrix organic light emitting diodes (AMOLED), may be employed as light sources for display devices which utilize touch screen panels.
  • AMLCD active matrix liquid crystal display
  • AMOLED active matrix organic light emitting diodes
  • an electronic device with high electron mobility, low leakage current and high breakdown voltage would allow more pixel area for light transmission and integration of circuitry, thereby resulting in a brighter display, higher overall electrical efficiency, faster response time and higher resolution displays.
  • Low film qualities of the material layers, such as dielectric layer with impurities or low film densities, formed in the device often result in poor device electrical performance and short service life of the devices.
  • a stable and reliable method for forming and integrating film layers with in TFT and OLED devices becomes crucial to provide a device structure with low film leakage, and high breakdown voltage, for use in manufacturing electronic devices with lower threshold voltage shift and improved the overall performance of the electronic device are desired.
  • the interface management between a metal electrode layer and the nearby insulating materials becomes critical as improper material selection of the interface between the metal electrode layer and the nearby insulating material may adversely result in undesired elements diffusing into the adjacent materials, which may eventually lead to current short, current leakage or device failure.
  • the insulating materials with different higher dielectric constant often provide different electrical performance, such as providing difference capacitance in the device structures. Selection of the material of the insulating materials not only affects the electrical performance of the device, incompatibility of the material of the insulating materials to the electrodes may also result in film structure peeling, poor interface adhesion, or interface material diffusion, which may eventually lead to device failure and low product yield.
  • capacitors e.g., a dielectric layer placed between to electrodes
  • the capacitor as formed is required to have high capacitance for display devices.
  • the capacitance may be adjusted by changing of the dielectric constant and dimension of the dielectric layer formed between the electrodes and/or thickness of the dielectric layer. For example, when the dielectric layer is replaced with a material having a higher dielectric constant, the capacitance of the capacitor will increase as well.
  • display resolution greater than 800 ppi only limited areas are remained in the display devices to allow forming capacitors therein to increase electrical performance.
  • maintaining the capacitor formed in the display devices in a confined location with a relatively small area has become crucial.
  • Embodiments of the disclosure generally provide methods of forming an insulating layer, e.g., a capacitor layer or a gate insulating layer, with high dielectric constant as well as film qualities for display applications.
  • a thin film transistor structure includes source and drain electrodes formed on a substrate, a gate insulating layer formed on a substrate covering the source and drain electrodes, wherein the gate insulating layer is a high-k material having a dielectric constant greater than 10, and a gate electrode formed above or below the gate insulating layer.
  • a method of forming a capacitor layer in display devices includes performing an atomic layer deposition process to form a capacitor layer on a substrate, wherein the capacitor layer has a dielectric constant greater than 10, wherein the capacitor layer is formed between two electrodes utilized in a thin film transistor device or an OLED device.
  • a method for forming a hybrid layer in display devices includes forming a hybrid layer in display devices, wherein the hybrid layer includes a first dielectric layer formed by a chemical vapor deposition process and a second dielectric layer formed by an atomic layer deposition process, wherein the hybrid layer is formed as a capacitor layer or a gate insulating layer in the display devices.
  • FIG. 1 depicts a sectional view of a processing chamber that may be used to deposit a dielectric layer in accordance with one embodiment of the present disclosure
  • FIG. 2 depicts a sectional view of a processing chamber that may be used to deposit a dielectric layer in accordance with one embodiment of the present disclosure
  • FIG. 3 is a schematic view of a multi-chamber substrate processing system including processing chambers described herein
  • FIG. 4 depicts a process flow diagram of one embodiment of a method of forming a dielectric layer on a substrate
  • FIG. 5A-5E is a sectional view of one example of a portion of a thin film transistor device structure having a dielectric layer of FIG. 4 formed therein;
  • FIGS. 6A-6C are cross sectional view of different examples of a display device structure having a dielectric layer of FIG. 4 formed therein;
  • FIG. 7 is a sectional view of one example of a display device structure having a dielectric layer of FIG. 4 formed therein;
  • FIG. 8 is a sectional view of a capacitor structure formed in a display device structure having a dielectric layer of FIG. 4 formed therein;
  • FIG. 9 is a sectional view of one example of a display device structure having a dielectric layer of FIG. 4 formed therein.
  • Embodiments of the disclosure generally provide methods of forming a dielectric layer with enhanced electrical performance, such as high capacitance and low leakage, or an insulating layer with high dielectric constant for display devices.
  • Such dielectric layer with high dielectric constant may be formed as a capacitor in display devices.
  • the dielectric layer may be manufactured by an atomic layer deposition (ALD) process that may provide a film layer with low defect density, low impurities, low film leakage and high dielectric constant.
  • ALD atomic layer deposition
  • the dielectric layer formed by the ALD process may be utilized in any insulating structure and/or capacitor structures in TFT devices or OLED devices.
  • the dielectric layer may be a hybrid layer as well formed by a combination of chemical vapor deposition (e.g., PECVD or MOCVD) process and an atomic layer deposition (ALD) process.
  • the dielectric layer with the high dielectric constant may be used in any suitable layers, such as a gate insulating layer, a capacitor layer formed between two electrodes, an inter-insulating layer, an etching stop layer or an interface protection layer in display devices for electric performance enhancement and improvement.
  • FIG. 1 is a schematic cross-section view of one embodiment of a chemical vapor deposition processing chamber 100 in which a dielectric layer, such as an insulating layer, a capacitor layer formed between two electrodes, a gate insulating layer, an etch stop layer, a passivation layer, an interlayer insulator, a dielectric layer for capacitors or passivation layer in display device structures, may be deposited.
  • a dielectric layer such as an insulating layer, a capacitor layer formed between two electrodes, a gate insulating layer, an etch stop layer, a passivation layer, an interlayer insulator, a dielectric layer for capacitors or passivation layer in display device structures
  • PECVD plasma enhanced CVD
  • MOCVD metal organic CVD
  • the chamber 100 generally includes walls 142 , a bottom 104 and a lid 112 which bound a process volume 106 .
  • a gas distribution plate 110 and substrate support assembly 130 are disposed with in a process volume 106 .
  • the process volume 106 is accessed through a valve 108 formed through the wall 142 such that a substrate 102 may be transferred in to and out of the chamber 100 .
  • the substrate support assembly 130 includes a substrate receiving surface 132 for supporting the substrate 102 thereon.
  • a stem 134 couples the substrate support assembly 130 to a lift system 136 which raises and lowers the substrate support assembly 130 between substrate transfer and processing positions.
  • a shadow frame 133 may be optionally placed over periphery of the substrate 102 when processing to prevent deposition on the edge of the substrate 102 .
  • Lift pins 138 are moveably disposed through the substrate support assembly 130 and are adapted to space the substrate 102 from the substrate receiving surface 132 .
  • the substrate support assembly 130 may also include heating and/or cooling elements 139 utilized to maintain the substrate support assembly 130 at a desired temperature.
  • the substrate support assembly 130 may also include grounding straps 131 to provide an RF return path around the periphery of the substrate support assembly 130 .
  • the gas distribution plate 110 is coupled at its periphery to a lid 112 or wall 142 of the chamber 100 by a suspension 114 .
  • the gas distribution plate 110 may also be coupled to the lid 112 by one or more center supports 116 to help prevent sag and/or control the straightness/curvature of the gas distribution plate 110 .
  • the gas distribution plate 110 may have different configurations with different dimensions. In an exemplary embodiment, the gas distribution plate 110 has a quadrilateral plan shape.
  • the gas distribution plate 110 has a downstream surface 150 having a plurality of apertures 111 formed therein facing an upper surface 118 of the substrate 102 disposed on the substrate support assembly 130 .
  • the apertures 111 may have different shapes, number, densities, dimensions, and distributions across the gas distribution plate 110 . In one embodiment, a diameter of the apertures 111 may be selected between about 0.01 inch and about 1 inch.
  • a gas source 120 is coupled to the lid 112 to provide gas through the lid 112 and then through the apertures 111 formed in the gas distribution plate 110 to the process volume 106 .
  • a vacuum pump 109 is coupled to the chamber 100 to maintain the gas in the process volume 106 at a desired pressure.
  • An RF power source 122 is coupled to the lid 112 and/or to the gas distribution plate 110 to provide a RF power that creates an electric field between the gas distribution plate 110 and the substrate support assembly 130 so that a plasma may be generated from the gases present between the gas distribution plate 110 and the substrate support assembly 130 .
  • the RF power may be applied at various RF frequencies. For example, RF power may be applied at a frequency between about 0.3 MHz and about 200 MHz. In one embodiment the RF power is provided at a frequency of 13.56 MHz.
  • the edges of the downstream surface 150 of the gas distribution plate 110 may be curved so that a spacing gradient is defined between the edge and corners of the gas distribution plate 110 and substrate receiving surface 132 and, consequently, between the gas distribution plate 110 and the upper surface 118 of the substrate 102 .
  • the shape of the downstream surface 150 may be selected to meet specific process requirements.
  • the shape of the downstream surface 150 may be convex, planar, concave or other suitable shape. Therefore, the edge to corner spacing gradient may be utilized to tune the film property uniformity across the edge of the substrate, thereby correcting property non-uniformity in films disposed in the corner of the substrate.
  • the edge to center spacing may also be controlled so that the film property distribution uniformity may be controlled between the edge and center of the substrate.
  • a concave curved edge of the gas distribution plate 110 may be used so the center portion of the edge of the gas distribution plate 110 is spaced farther from the upper surface 118 of the substrate 102 than the corners of the gas distribution plate 110 .
  • a convex curved edge of the gas distribution plate 110 may be used so that the corners of the gas distribution plate 110 are spaced farther than the edges of the gas distribution plate 110 from the upper surface 118 of the substrate 102 .
  • a remote plasma source 124 such as an inductively coupled remote plasma source, may also be coupled between the gas source and the gas distribution plate 110 . Between processing substrates, a cleaning gas may be energized in the remote plasma source 124 to remotely provide plasma utilized to clean chamber components. The cleaning gas entering the process volume 106 may be further excited by the RF power provided to the gas distribution plate 110 by the power source 122 . Suitable cleaning gases include, but are not limited to, NF 3 , F 2 , and SF 6 .
  • the substrate 102 that may be processed in the chamber 100 may have a surface area of 10,000 cm 2 or more, such as 25,000 cm 2 or more, for example about 55,000 cm 2 or more. It is understood that after processing the substrate may be cut to form smaller other devices.
  • the heating and/or cooling elements 139 may be set to provide a substrate support assembly temperature during deposition of about 600 degrees Celsius or less, for example between about 100 degrees Celsius and about 500 degrees Celsius, or between about 200 degrees Celsius and about 500 degrees Celsius, such as about 300 degrees Celsius and 500 degrees Celsius.
  • the nominal spacing during deposition between the upper surface 118 of the substrate 102 disposed on the substrate receiving surface 132 and the gas distribution plate 110 may generally vary between 400 mil and about 1,200 mil, such as between 400 mil and about 800 mil, or other distance required to obtain desired deposition results.
  • the spacing between the center portion of the edge of the gas distribution plate 110 and the substrate receiving surface 132 is between about 400 mils and about 1400 mils, and the spacing between the corners of the gas distribution plate 110 and the substrate receiving surface 132 is between about 300 mils and about 1200 mils.
  • FIG. 2 is a schematic cross sectional view of an ALD (atomic layer deposition) chamber 200 that may be used to perform a deposition described herein.
  • the ALD deposition process may be utilized to form a dielectric layer, such as an insulating layer, a gate insulating layer, an etch stop layer, an interlayer insulator, a dielectric layer for capacitor or passivation layer in display devices as described herein.
  • the chamber 200 generally includes a chamber body 202 , a lid assembly 204 , a substrate support assembly 206 , and a process kit 250 .
  • the lid assembly 204 is disposed on the chamber body 202
  • the substrate support assembly 206 is at least partially disposed within the chamber body 202 .
  • the chamber body 202 includes a slit valve opening 208 formed in a sidewall thereof to provide access to the interior of the processing chamber 200 .
  • the chamber body 202 includes one or more apertures that are in fluid communication with a vacuum system (e.g., a vacuum pump). The apertures provide an egress for gases within the chamber 200 .
  • the vacuum system is controlled by a process controller to maintain a pressure within the ALD chamber 200 suitable for ALD processes.
  • the lid assembly 204 may include one or more differential pumps and purge assemblies 220 .
  • the differential pump and purge assemblies 220 are mounted to the lid assembly 204 with bellows 222 .
  • the bellows 222 allow the pump and purge assemblies 220 to move vertically with respect to the lid assembly 204 while still maintaining a seal against gas leaks.
  • a compliant first seal 286 and a compliant second seal 288 on the process kit 250 are brought into contact with the differential pump and purge assemblies 220 .
  • the differential pump and purge assemblies 220 are connected with a vacuum system (not shown) and maintained at a low pressure.
  • the lid assembly 204 includes a RF cathode 210 that can generate a plasma of reactive species within the chamber 200 and/or within the process kit 250 .
  • the RF cathode 210 may be heated by electric heating elements (not shown), for example, and cooled by circulation of cooling fluids, for example.
  • Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used.
  • RF or microwave (MW) based power discharge techniques may be used.
  • the activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source.
  • the substrate support assembly 206 can be at least partially disposed within the chamber body 202 .
  • the substrate support assembly 206 can include a substrate support member or susceptor 230 to support a substrate 232 for processing within the chamber body.
  • the susceptor 230 may be coupled to a substrate lift mechanism (not shown) through a shaft 224 or shafts 224 which extend through one or more openings 226 formed in a bottom surface of the chamber body 202 .
  • the substrate lift mechanism can be flexibly sealed to the chamber body 202 by a bellows 228 that prevents vacuum leakage from around the shafts 224 .
  • the substrate lift mechanism allows the susceptor 230 to be moved vertically within the ALD chamber 200 between a lower robot entry position, as shown, and processing, process kit transfer, and substrate transfer positions. In some embodiments, the substrate lift mechanism moves between fewer positions than those described.
  • the substrate 232 may be secured to the susceptor using a vacuum chuck (not shown), an electrostatic chuck (not shown), or a mechanical clamp (not shown).
  • the temperature of the susceptor 230 may be controlled (by, e.g., a process controller) during processing in the ALD chamber 200 to influence temperature of the substrate 232 and the process kit 250 to improve performance of the ALD processing.
  • the susceptor 230 may be heated by, for example, electric heating elements (not shown) within the susceptor 230 .
  • the temperature of the susceptor 230 may be determined by pyrometers (not shown) in the chamber 200 , for example.
  • the susceptor 230 can include one or more bores 234 through the susceptor 230 to accommodate one or more lift pins 236 .
  • Each lift pin 236 is mounted so that they may slide freely within a bore 234 .
  • the support assembly 206 is movable such that the upper surface of the lift pins 236 can be located above the substrate support surface 238 of the susceptor 230 when the support assembly 206 is in a lower position. Conversely, the upper surface of the lift pins 236 is located below the upper surface 238 of the susceptor 230 when the support assembly 206 is in a raised position.
  • the lift pins 236 When contacting the chamber body 202 , the lift pins 236 push against a lower surface of the substrate 232 , lifting the substrate off the susceptor 230 . Conversely, the susceptor 230 may raise the substrate 102 off of the lift pins 236 .
  • the susceptor 230 includes process kit insulation buttons 237 that may include one or more compliant seals 239 .
  • the process kit insulation buttons 237 may be used to carry the process kit 250 on the susceptor 230 .
  • the one or more compliant seals 239 in the process kit insulation buttons 237 are compressed when the susceptor lifts the process kit 850 into the processing position.
  • FIG. 3 is a top plan view of a multi-chamber substrate processing system 300 suitable for the fabrication of any suitable display devices, such as organic light emitting diodes (OLEDS), thin-film transistors (TFT), and solar cell fabrication on flat media.
  • the system 300 includes a plurality of processing chambers 100 , 200 , 340 and one or more load lock chambers 305 , 307 positioned around a central transfer chamber 315 .
  • the processing chambers 100 , 200 , 340 may be configured to complete a number of different processing steps to achieve a desired processing of flat media, such as a large area substrate 102 (outlined in dashed lines).
  • the load lock chambers 305 , 307 are configured to transfer a substrate in a quadrilateral form from an ambient environment outside the multi-chamber substrate processing system 300 to a vacuum environment inside the transfer chamber 315 .
  • a transfer robot 325 Positioned within the transfer chamber 315 is a transfer robot 325 having an end effector 330 .
  • the end effector 330 is configured to be supported and move independently of the transfer robot 325 to transfer the substrate 102 .
  • the end effector 330 includes a wrist 335 and a plurality of fingers 342 adapted to support the substrate 102 .
  • the transfer robot 325 is configured to be rotated about a vertical axis and/or linearly driven in a vertical direction (Z direction) while the end effector 330 is configured to move linearly in a horizontal direction (X and/or Y direction) independent of and relative to the transfer robot 325 .
  • the transfer robot 325 raises and lowers the end effector 330 (Z direction) to various elevations within the transfer chamber 315 to align the end effector 330 with openings in the processing chambers 100 , 200 , 340 and the load lock chambers 305 , 307 .
  • the end effector 330 is extended horizontally (X or Y direction) to transfer and/or position the substrate 102 into and out of any one of the processing chambers 100 , 200 , 340 and the load lock chambers 305 , 307 .
  • the transfer robot 325 may be rotated to align the end effector 330 with other processing chambers 100 , 200 , 340 and the load lock chambers 305 , 307 .
  • the processing chambers 100 , 200 , 340 incorporated in the multi-chamber substrate processing system 300 may be the chemical vapor deposition (PECVD or MOCVD) chamber 100 depicted in FIG. 1 and the atomic layer deposition (ALD) chamber 200 depicted in FIG. 2 or other suitable chambers, such as HDP-CVD, MOCVD, PECVD, thermal CVD, thermal annealing, PVD, surface treatment, electron beam (e-beam) treatment, plasma treatment, etching chambers, ion implantation chambers, surface cleaning chamber, metrology chambers, spin-coating chamber, polymer spinning deposition chamber or any suitable chambers as needed.
  • PECVD or MOCVD chemical vapor deposition
  • ALD atomic layer deposition
  • the system 300 includes the chemical vapor deposition (such as a PECVD) chamber 100 , the atomic layer deposition (ALD) chamber 200 and other suitable chambers 340 as needed.
  • the dielectric layer formed by the ALD process and/or the PECVD process may also be integrated to perform in a single chamber without breaking vacuum so as to maintain cleanliness of the substrate without undesired contamination and residuals from the environment.
  • a portion of the interior of load lock chamber 305 has been removed to expose a substrate support or susceptor 350 that is adapted to receive and support the large area substrate 102 during processing.
  • the susceptor 350 includes a plurality of lift pins 355 that are movable relative to an upper surface of the susceptor 350 to facilitate transfer of the large area substrate 102 .
  • the lift pins 355 are extended away from or above the upper surface of the susceptor 350 .
  • the end effector 330 extends in the X direction into the processing chamber 100 , 200 , 340 or load lock chambers 305 , 307 above the extended lift pins.
  • the transfer robot 325 lowers the end effector 330 in the Z direction until the large area substrate 102 is supported by the lift pins 355 .
  • the lift pins 355 are spaced to allow the fingers 340 of the end effector 330 to pass the lift pins 355 without interference.
  • the end effector 330 may be further lowered to assure clearance between the large area substrate 102 and the fingers 340 and the end effector 330 is retracted in the X direction into the transfer chamber 315 .
  • the lift pins 355 may be retracted to a position that is substantially flush with the upper surface of the susceptor 350 in order to bring the large area substrate 102 into contact with the susceptor 350 so the susceptor 350 supports the large area substrate 102 .
  • a slit valve or door 360 between the transfer chamber 315 and the load lock chamber 305 , 307 (or the processing chamber or 100 , 200 , 340 ) may be sealed and processing may be commenced in the load lock chamber 305 , 307 (or the processing chambers 100 , 200 , 340 ).
  • the transfer process may be reversed, wherein the lift pins 355 raise the large area substrate 102 and the end effector 330 may retrieve the large area substrate 102 .
  • the substrate 102 may be transferred into the multi-chamber substrate processing system 300 through the first load lock chamber 305 .
  • the substrate 102 is then transferred to any one of the processing chambers 100 , 200 , 340 through the transfer chamber 315 to perform any suitable processes as needed to form a device structure on the substrate 102 .
  • the substrate 102 is removed from and transferred out of the multi-chamber substrate processing system 300 from the second load lock chamber 307 as needed.
  • the environment in the substrate processing system 300 is isolated from ambient pressure (i.e. pressure outside the system 300 ) and is maintained at a negative pressure by one or more vacuum pumps (not shown).
  • the processing chambers 100 , 200 , 340 are pumped down to pre-determined pressures configured to facilitate thin film deposition and other processes.
  • the transfer chamber 315 is held at a reduced pressure during transfer of the large area substrates to facilitate a minimal pressure gradient between the processing chambers 100 , 200 , 340 and the transfer chamber 315 .
  • the pressure in the transfer chamber 315 is maintained at a pressure lower than ambient pressure.
  • the pressure in the transfer chamber may be about 7 Torr to about 10 Torr while the pressure in the processing chambers 100 , 200 , 340 may be lower.
  • the maintained pressure within the transfer chamber 315 may be substantially equal to the pressure within the processing chambers 100 , 200 , 340 and/or load lock chambers 305 and 307 to facilitate a substantially equalized pressure in the system 300 .
  • the transfer chamber 315 and the processing chambers 100 , 200 , 340 proper alignment of the large area substrate 102 is crucial to prevent collisions and/or damage of the large area substrate 102 .
  • the interior of the system 300 must be kept clean and free from debris such as broken pieces of a substrate, broken equipment, and other particulate contamination. While some conventional systems include view windows allowing line of sight viewing into the interior of the various chambers 100 , 200 , 340 , the windows may not allow a full view and/or precise inspection of the large area substrates and the interior of the various chambers 100 , 200 , 340 . Also, the conventional systems are not configured to view the large area substrate 102 and provide a metric of processing results while the large area substrates are in the system.
  • the transfer robot 325 includes one or more optical image sensors 365 and 370 disposed on the transfer robot 325 as needed.
  • the one or more optical image sensors 365 , 370 may be optical scanners, imagers or cameras, such as a charged-coupled device (CCD), a complimentary metal oxide semiconductor (CMOS) device, a video camera, and the like.
  • CCD charged-coupled device
  • CMOS complimentary metal oxide semiconductor
  • one or more of the optical image sensors 365 , 370 are mounted on the transfer robot 325 in a position to view the large area substrate 102 , the fingers 340 and any object in the line of sight view of the sensors 365 , 370 .
  • the image sensors 365 , 370 may be oriented to view objects substantially in the X and Y direction as well as the Z direction as the transfer robot 325 is stationary or moving in the system 300 .
  • the image sensors 365 , 370 may include wide angle optics, such as a fisheye lens, to enable a greater field of view.
  • FIG. 4 depicts a flow diagram of one embodiment of a process 400 for forming an insulating layer suitable for use in display devices, such as thin-film transistor devices or OLED devices.
  • Such insulating layer may be formed as a capacitor layer disposed between two metal layers to form a capacitor.
  • Suitable examples of the insulating layer used in display devices include a gate insulating layer, a capacitor layer disposed between two metal layers, an interface layer, a dielectric layer utilized to form a capacitor, an etch stop layer or a passivation layer where an insulating material is needed.
  • the insulating layer may be formed by a plasma enhanced chemical vapor deposition (PECVD) process or a metal organic chemical vapor deposition (MOCVD) process, which may be practiced in the processing chamber 100 , as described in FIG. 1 , or an atomic layer deposition (ALD) process, which may be practiced in the processing chamber 200 , as described in FIG. 2 , or other suitable processing chamber, or in combination thereof.
  • PECVD plasma enhanced chemical vapor deposition
  • MOCVD metal organic chemical vapor deposition
  • ALD atomic layer deposition
  • the process 400 begins at operation 402 by providing the substrate 102 in a processing chamber, such as the processing chamber 100 (a PECVD chamber) or processing chamber 200 (an ALD chamber) depicted in FIG. 3 , to form an insulating layer or a dielectric layer.
  • the substrate 102 may include a TFT device 550 partly formed thereon readily to form a gate insulating layer 506 or an capacitor layer 512 , as shown in FIGS. 5A-5E , or other suitable layers in the device 550 .
  • a portion of the exemplary TFT device 550 formed on the substrate 102 comprises a low temperature polysilicon (LTPS) TFT or OLED device.
  • the substrate 102 may have different combination of films, structures or layers previously formed thereon to facilitate forming different device structures or different film stack on the substrate 102 .
  • the substrate 102 may be any one of glass substrate, plastic substrate, polymer substrate, metal substrate, singled substrate, roll-to-roll substrate, or other suitable transparent substrate suitable for forming a thin film transistor thereon.
  • the LTPS TFT devices 550 are MOS devices built with a source region 509 a , channel region 508 , and drain region 509 b formed on the optically transparent substrate 502 with or without an optional insulating layer 504 disposed thereon.
  • the source region 509 a , channel region 508 , and drain region 509 b are generally formed from an initially deposited amorphous silicon (a-Si) layer that is typically later thermal or laser processed to form a polysilicon layer.
  • a-Si amorphous silicon
  • the source, drain and channel regions 509 a , 508 , 509 b can be formed by patterning areas on the optically transparent substrate 102 and ion doping the deposited initial a-Si layer, which is then thermally or laser processed (e.g., an Excimer Laser Annealing process) to form the polysilicon layer.
  • the gate insulating layer 506 e.g., the insulating layer or dielectric layer to be deposited by the process 400 of FIG. 4
  • the gate electrode 514 is formed on top of the gate insulating layer 506 .
  • the gate insulating layer 506 is also commonly known as a gate oxide layer.
  • a capacitor layer 512 e.g., also the insulating layer or dielectric layer to be deposited by the process 400 of FIG. 4 ) and device connections are then made through the insulating material to allow control of the TFT devices.
  • the device 550 of FIGS. 5A-5E is just partially formed for ease of description and explanation regarding to the corresponding process 400 depicted in FIG. 4 utilized to form either the gate insulating layer 506 or the capacitor layer 512 , or both, in the device 550 .
  • a relatively complete device 550 will be described below with referenced to FIGS. 6A-6C, 7 and 9 .
  • a deposition process is then performed on the substrate 102 to form an insulating layer or a dielectric layer on the substrate as depicted in FIG. 4 .
  • the deposition process may form a dielectric layer with a dielectric constant greater than 10, such as greater than 15, for example greater than 20.
  • the deposition process may be an ALD process that may form the dielectric layer with a high dielectric constant greater than 10.
  • the deposition may be a hybrid process including a PECVD process (or any suitable CVD processes) and an ALD process to form a hybrid layer including dielectric materials formed from both PECVD and ALD processes with high film density as well as relatively high manufacturing throughput.
  • PE-ALD plasma assisted ALD
  • a dielectric layer formed by an atomic layer deposition (ALD) process may have film properties that may provide desired high moisture resistance, high film density, low defect density and high film transparency. It is believed that the ALD process is enabled by a slow deposition process with a first monolayer of atoms being absorbed and adhered on a second monolayer of atoms formed on a carefully selected substrate surface. Strong adherence of atoms in each layers and absorbability of the layers of atoms onto the surface of substrate provide compact and secured bonding structures in the film structures so as to render a film property with a high film density (compared to a chemical vapor deposition process) that may efficiently eliminate loose film structure in the dielectric layer that may result in current leakage.
  • ALD atomic layer deposition
  • the high film density may also prevent moisture or contaminant from penetrating therethrough.
  • the slow ALD deposition rate of the dielectric layer at operation 404 also allows the atoms from the dielectric layer to gradually fill in the pinholes, pores, pits or defects that may be occurred from the substrate surface so as to assist repairing the film defects from the substrate surface.
  • the plasma enhanced chemical vapor deposition process (or other suitable chemical vapor deposition process) often provides a relatively fast deposition process with high manufacturing throughput but renders relatively porous film structures for the resultant film layer.
  • a relatively high film density of the dielectric layer may be obtained under relatively high throughput process.
  • PE-ALD plasma assisted atomic layer deposition
  • a plasma assisted atomic layer deposition (PE-ALD) process may be utilized instead to provide a relatively higher deposition rate (compared to ALD or thermal ALD) of deposition process while still maintaining the desired degree of film density.
  • the dielectric layer at operation 404 may be formed as the gate insulating layer 506 and/or the capacitor layer 512 in a single layer form depicted in FIG. 5A .
  • the gate insulating layer 506 and/or the capacitor layer 512 may be formed on the substrate 102 by transferring the substrate 102 to a deposition chamber, such as the ALD chamber 200 depicted in FIG. 2 , to perform an atomic layer deposition process on the substrate 102 .
  • the gate insulating layer 506 and/or the capacitor layer 512 may be a high-k material having a dielectric constant greater than 10.
  • Suitable examples of the high-k material layer include hafnium dioxide (HfO 2 ), hafnium oxynitride (HfON), zirconium dioxide (ZrO 2 ), zirconium oxynitride (ZrON), aluminum oxide (Al 2 O 3 ), aluminum oxynitride (AlON), hafnium silicon oxide (HfSiO 2 ), hafnium aluminum oxide (HfAlO), zirconium silicon oxide (ZrSiO 2 ), tantalum dioxide (Ta 2 O 5 ), aluminum oxide, Y 2 O 3 , La 2 O 3 , titanium oxide (TiO 2 ), aluminum doped hafnium dioxide, bismuth strontium titanium (BST), and platinum zirconium titanium (PZT), among others.
  • hafnium dioxide HfO 2
  • hafnium oxynitride HfON
  • zirconium dioxide zirconium dioxide
  • ZrON zirconium oxynitrid
  • the gate insulating layer 506 and/or the capacitor layer 512 may be in form of multiple layers as shown in the circles of FIGS. 5B and 5 C or in the circles of FIGS. 5D and 5E respectively with different film stack arrangements or configurations.
  • the gate insulating layer 506 may include two layers having a first dielectric layer 592 formed by a CVD process and a second dielectric layer 591 by an ALD process, or vise versa. Both the first dielectric layer 592 and the second dielectric layer 591 could be formed as high-k materials.
  • the first dielectric layer 592 formed by a CVD process may be a regular dielectric layer (e.g., having a dielectric layer less than 10) while the second dielectric layer 591 formed as a high-k material, or vice versa.
  • the gate insulating layer 506 may include in total three layers 594 , 595 , 593 formed therein.
  • the gate insulating layer 506 may include the bulk gate insulating layer 595 sandwiched between the top dielectric layer 593 and the bottom dielectric layer 594 .
  • the top dielectric layer 593 may be in contact with the capacitor layer 512 later formed thereon while the bottom dielectric layer 594 may be formed in contact with the optional insulating layer 504 .
  • the bulk gate insulating layer 595 may be fabricated by a high-k material formed by an ALD or a MOCVD process while the top and the bottom dielectric layers 593 , 594 may be silicon containing dielectric materials, such as silicon nitride silicon oxide (SiO 2 ), silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon carbide (SiC) and the like, formed from a PECVD process.
  • the top and the bottom dielectric layers 593 , 594 may be a silicon oxynitride (SiON) or silicon nitride (SiN) material when the bulk gate insulating layer 595 is a high-k material as depicted in FIG. 5C .
  • the deposition process performed at operation 404 may form the capacitor layer 512 on the gate insulating layer 506 .
  • the capacitor layer 512 may include two layers having a first dielectric layer 597 formed by a CVD process and a second dielectric layer 596 by an ALD process or a MOCVD, or vise versa. Both the first dielectric layer 597 and the second dielectric layer 596 may be formed as high-k materials.
  • the first dielectric layer 592 formed by a CVD process may be a regular dielectric layer (e.g., having a dielectric layer less than 10) while the second dielectric layer 591 formed as a high-k material, or vice versa.
  • the capacitor layer 512 may include in total three layers 598 , 599 , 590 formed therein.
  • the capacitor layer 512 may include the bulk capacitor layer 599 sandwiched between the top dielectric layer 598 and the bottom dielectric layer 590 .
  • the top dielectric layer 598 may be in contact with an interlayer insulator 606 (as an example shown in FIG. 6A ) later formed thereon while the bottom dielectric layer 590 may be formed in contact with the gate insulating layer 506 .
  • the bulk capacitor layer 599 may be fabricated by a high-k material formed by an ALD or MOCVD process while the top and the bottom dielectric layers 598 , 590 may be silicon containing dielectric materials, such as silicon nitride silicon oxide (SiO 2 ), silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon carbide (SiC) and the like, formed from a PECVD process.
  • the top and the bottom dielectric layers 598 , 590 may be a silicon oxynitride (SiON) or silicon nitride (SiN) material when the bulk capacitor layer 599 is a high-k material as depicted in FIG. 5E .
  • FIGS. 6A-6C depict TFT device structures 650 with the gate insulating layer 506 and/or the capacitor layer 512 , 620 , 632 comprising high-k materials.
  • an interlayer insulator 606 may be formed on the capacitor layer 512 .
  • the interlayer insulator 606 may be any suitable dielectric layer, such as silicon oxide or silicon nitride materials.
  • the interlayer insulator 606 may be in form of a single layer formed on the capacitor layer 512 .
  • the interlayer insulator 606 may be in form of multiple layers, such as two layers 602 , 604 , as the example depicted in FIG.
  • the interlayer insulator 606 includes a first dielectric layer 602 of silicon nitride formed on a second layer 604 of a silicon oxide layer. Subsequently, a source-drain metal electrode layer 510 a , 510 b is then deposited, formed and patterned in the interlayer insulator 606 , the capacitor layer 512 and the gate insulating layer 506 electrically connected to the source region 509 a and drain regions 509 b.
  • the planarization layer 614 is then formed over the source-drain metal electrode layer 510 a , 510 b .
  • the planarization layer 614 may be fabricated from polyimide, benzocyclobutene-series resin, spin on glass (SOG) or acrylate.
  • the planarization layer 518 is later patterned to allow a pixel electrode 616 to be formed on and filled in the planarization layer 518 , electrically connecting to the source-drain metal electrode layer 510 a , 510 b.
  • the capacitor layer 512 is formed on the gate electrode 514 extending to a capacitor structure 612 (e.g., a MIM (metal-insulating-metal) structure) formed between an upper electrode 610 and a lower electrode 608 .
  • the upper electrode 610 may be laterally coupled to the source-drain metal electrode layer 510 a , 510 b while the lower electrode 608 may be laterally coupled to the gate electrode 514 , or other suitable electrodes in the device structure 650 .
  • the capacitor structure 612 formed in the device structure 650 may be a storage capacitor that may improve the display device electrical performance. It is noted that the capacitor structure 612 may be formed in any location suitable in the device structure 650 as needed for different device performance requirement.
  • a capacitor structure 622 may be formed with different dimension and/or profile of a capacitor layer 620 formed between the upper electrode 610 and the lower electrode 608 .
  • the capacitor layer 620 depicted in FIG. 6B is formed substantially in the area between the upper and the lower electrode 608 .
  • a regular interlayer insulator 624 comprising silicon oxide or silicon oxide may be formed on the gate insulting layer 506 surrounding the capacitor structure 622 formed therein.
  • the capacitor layer 620 may have a bottom surface in contact with the lower gate insulating layer 506 as needed.
  • the interlayer insulator 624 may be in a single layer form, as depicted in FIG. 6B , or in multiple layer form as needed.
  • a capacitor structure 630 may be formed with different dimensions and/or profiles of a capacitor layer 632 formed between the upper electrode 610 and the lower electrode 608 .
  • the capacitor layer 632 depicted in FIG. 6C is confined in the area between the upper and the lower electrode 608 , 610 without in contact with the lower gate insulating layer 506 so as to eliminate etching selectivity issues during the patterning process.
  • capacitor layers 632 , 620 , 512 may also be in a single layer form of a single high-k material or multiple layer form of multiple layers comprising high-k material, as depicted in FIGS. 5A and 5D-5E , as needed.
  • FIG. 7 depicts yet another example of a TFT device structure 750 with the gate insulating layer 506 , optionally a high-k material, and a regular interlayer insulator 702 comprising silicon oxide or silicon nitride.
  • a planarization layer 518 is formed over the source-drain metal electrode layer 510 a , 510 b to provide a planar surface 519 where a common electrode 520 may be later formed thereon and patterned.
  • the planarization layer 518 may be fabricated from polyimide, benzocyclobutene-series resin, spin on glass (SOG) or acrylate.
  • the planarization layer 518 is later patterned to form a via contact hole 521 that allows the common electrode 520 along with a capacitor layer 524 and/or a pixel electrode 526 to be sequentially filled therein.
  • the capacitor layer 524 formed in the device structure 750 may also formed by a high-k material from a PECVD or MOCVD process, such as the process in the processing chamber 100 depicted in FIG. 1 , or an ALD process, such as the process in the processing chamber 200 depicted in FIG. 2 .
  • the TFT structure shown in FIG. 7 is just an exemplary embodiment of the TFT device 750 .
  • the via contact hole 521 may be filled partly or fully by the common electrode 520 , the capacitor layer 524 or the pixel electrode 526 in any configuration as needed.
  • other insulating layer 528 such as an organic layer or a liquid crystal layer, may be formed on the structure of the capacitor structure 527 to further complete the structure of the device 750 .
  • the material layer formed by the operation 404 may be utilized to form the capacitor layer 524 , 512 , 620 , 632 , gate insulating layer 506 , a passivation layer or any other suitable layers that require insulating materials in the TFT device structures 650 and 750 including LTPS TFT for LCD or OLED TFT as needed, as depicted in FIGS. 6A-6C and 7 .
  • FIG. 8 depicts a simple capacitor structure 802 (e.g., a MIM (metal-insulating-metal) structure) that may be formed on the substrate 102 utilized in any location in display devices. Similar the upper electrode 610 and the lower electrode 608 , or the pixel electrode 526 and the common electrode 520 , depicted above, the capacitor structure 802 includes a top electrode 804 and a bottom electrode 808 having a capacitor layer 806 disposed in between to form the capacitor structure 802 .
  • the capacitor layer 806 comprises a high-k material similar to the capacitor layer 524 , 512 , 620 , 632 described above.
  • the capacitor layer 806 may also in form of any numbers of the layers as needed.
  • FIG. 9 depicts yet another example of a TFT device structure 950 .
  • the device structure 950 includes a regular interlayer insulator 920 disposed on the gate electrode 514 .
  • a passivation layer 922 may be formed on the interlayer insulator 920 .
  • Another portion of the source and drain region 902 (electrically connected to the source and drain region 509 a , 509 b ) is shown on the optional insulating layer 504 .
  • Another portion of the source-drain metal electrode layer 910 (electrically connected to the source and drain metal electrode 510 a , 510 b ) is disposed on and electrically coupled to the source and drain region 902 .
  • a pixel electrode 908 may be electrically connected to the source-drain metal electrode layer 910 , 510 a , 510 b .
  • a portion of the gate insulating layer 506 passes through and between the gate electrode 514 and the channel region 508 , extending to the area above the source and drain region 902 .
  • An additional electrode 904 is formed above the source and drain region 902 and the gate insulating layer 506 , forming a capacitor structure 906 in the device structure 950 .
  • the additional electrode 904 formed on the gate insulating layer 506 (now also serves as a capacitor layer) may be electrically connected to the gate electrode 514 .
  • the additional electrode 904 and the source and drain region 902 along with the gate insulating layer 506 formed therebetween form the capacitor structure 906 in the device structure 950 .
  • the gate insulating layer 506 now also serves as a capacitor layer, may be similar to the capacitor layer 524 , 512 , 620 , 632 described above may be in form of any numbers of the layers as needed.
  • the source-drain metal electrode layer 510 a , 510 b , 910 , the pixel electrode 526 , 908 , the common electrode 520 , the gate electrode 514 , the upper electrode 610 , the lower electrode 608 , the top electrode 804 , the bottom electrode 808 , additional electrode 904 and any electrodes in the device structures may be any suitable metallic materials, including transparent conductive oxide layer (such as ITO or the like), silver nano ink, carbon nano tube (CNT), silver nano ink and CNT, graphene, aluminum (Al), tungsten (W), chromium (Cr), tantalum (Ta), molybdenum (Mo), copper (Cu), combination thereof or any suitable materials.
  • transparent conductive oxide layer such as ITO or the like
  • silver nano ink such as ITO or the like
  • CNT carbon nano tube
  • silver nano ink and CNT graphene
  • Al aluminum
  • Cr chromium
  • Ta tantalum
  • Mo moly
  • the structures above the passivation layer 922 or the insulating layer 528 , the planarization layer 614 is eliminated for sake of brevity.
  • an additional OLED or LCD devices, or other suitable devices may be formed above the insulating layer 528 , passivation layer 922 or the planarization layer 614 to form other suitable flexible mobile display devices, such as LTPS OLED display devices with touch screen panels as needed.
  • the methods described herein advantageously improve the electron stability, electrical performance, low leakage and good film stack integration of display device structures by controlling the materials, particular a high-k material formed by either an ALD process and a PECVD or MOCVD process, and structures of a gate insulating layer, capacitor layer, interlayer insulator, passivation layer, insulating materials in the display devices, along with a dielectric layer formed as a capacitor in the display devices with desired high electrical performance.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Mathematical Physics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Crystal (AREA)
  • Electroluminescent Light Sources (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
US15/198,955 2016-02-05 2016-06-30 High-k dielectric materials utilized in display devices Abandoned US20170229554A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/198,955 US20170229554A1 (en) 2016-02-05 2016-06-30 High-k dielectric materials utilized in display devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662292017P 2016-02-05 2016-02-05
US15/198,955 US20170229554A1 (en) 2016-02-05 2016-06-30 High-k dielectric materials utilized in display devices

Publications (1)

Publication Number Publication Date
US20170229554A1 true US20170229554A1 (en) 2017-08-10

Family

ID=59496337

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/198,955 Abandoned US20170229554A1 (en) 2016-02-05 2016-06-30 High-k dielectric materials utilized in display devices
US15/411,724 Active 2037-02-26 US10381454B2 (en) 2016-02-05 2017-01-20 Interface engineering for high capacitance capacitor for liquid crystal display

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/411,724 Active 2037-02-26 US10381454B2 (en) 2016-02-05 2017-01-20 Interface engineering for high capacitance capacitor for liquid crystal display

Country Status (5)

Country Link
US (2) US20170229554A1 (ja)
JP (1) JP6966457B2 (ja)
KR (1) KR20180102207A (ja)
CN (1) CN108700788B (ja)
WO (1) WO2017136141A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019094102A1 (en) * 2017-11-10 2019-05-16 Applied Materials, Inc. A layer stack for display applications
US20200066858A1 (en) * 2018-08-24 2020-02-27 Qualcomm Incorporated High performance thin film transistor with negative index material
US20220208899A1 (en) * 2020-12-24 2022-06-30 Samsung Display Co., Ltd. Display device and method of manufacturing the same
US11393888B2 (en) * 2019-06-13 2022-07-19 Samsung Display Co., Ltd. Thin film transistor substrate and display apparatus including the same
US11538881B2 (en) * 2019-11-04 2022-12-27 Samsung Display Co., Ltd. Display apparatus with electrodes having lowered resistance

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190206691A1 (en) * 2018-01-04 2019-07-04 Applied Materials, Inc. High-k gate insulator for a thin-film transistor
TWI698029B (zh) * 2018-11-28 2020-07-01 財團法人金屬工業研究發展中心 形成半導體結構之方法
CN109742087B (zh) * 2018-12-27 2021-08-24 武汉华星光电技术有限公司 阵列基板及其制备方法
JP7292163B2 (ja) 2019-09-19 2023-06-16 株式会社ディスコ 被加工物の加工方法
JP7447432B2 (ja) * 2019-11-05 2024-03-12 東京エレクトロン株式会社 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法
KR20210089079A (ko) * 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
CN111943175A (zh) * 2020-07-29 2020-11-17 北海惠科光电技术有限公司 一种石墨烯薄膜和石墨烯材料的制作方法以及显示面板

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060214154A1 (en) * 2005-03-24 2006-09-28 Eastman Kodak Company Polymeric gate dielectrics for organic thin film transistors and methods of making the same
US20090278120A1 (en) * 2008-05-09 2009-11-12 Korea Institute Of Science And Technology Thin Film Transistor
US20110039418A1 (en) * 2008-02-08 2011-02-17 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
US20130112975A1 (en) * 2011-11-07 2013-05-09 Samsung Mobile Display Co., Ltd. Thin-film transistor array substrate, organic light emitting display device including the same, and method of manufacturing the same
US20150008427A1 (en) * 2013-07-08 2015-01-08 Sony Corporation Semiconductor device, method of manufacturing the same, display unit, and electronic apparatus
US20150221773A1 (en) * 2012-09-05 2015-08-06 Sharp Kabushiki Kaisha Semiconductor device and method for producing same

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11148078A (ja) 1997-11-18 1999-06-02 Sanyo Electric Co Ltd アクティブマトリクス型液晶表示装置
US7588989B2 (en) 2001-02-02 2009-09-15 Samsung Electronic Co., Ltd. Dielectric multilayer structures of microelectronic devices and methods for fabricating the same
JP2002299632A (ja) 2001-03-30 2002-10-11 Sanyo Electric Co Ltd 半導体装置及びアクティブマトリクス型表示装置
KR100579194B1 (ko) 2004-05-28 2006-05-11 삼성에스디아이 주식회사 유기 전계 발광 표시 소자의 제조방법
KR101133753B1 (ko) 2004-07-26 2012-04-09 삼성전자주식회사 감지 소자를 내장한 액정 표시 장치
KR100700642B1 (ko) 2004-12-13 2007-03-27 삼성에스디아이 주식회사 유기전계발광표시소자 및 그 제조방법
JP5148912B2 (ja) * 2006-04-06 2013-02-20 株式会社半導体エネルギー研究所 液晶表示装置及び半導体装置、並びに電子機器
JP2008203761A (ja) 2007-02-22 2008-09-04 Hitachi Displays Ltd 表示装置
TW200921225A (en) * 2007-11-06 2009-05-16 Au Optronics Corp Transflective liquid crystal display panel
CN101452162A (zh) 2007-12-07 2009-06-10 上海广电Nec液晶显示器有限公司 液晶显示面板中的阵列基板及其制造方法
TWI380106B (en) * 2008-08-01 2012-12-21 Chunghwa Picture Tubes Ltd Pixel structure and method for repairing the same
CN101847641B (zh) * 2009-03-27 2011-12-28 京东方科技集团股份有限公司 阵列基板及其制造方法和宽视角液晶显示器
US8115883B2 (en) 2009-08-27 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
JP2011059157A (ja) * 2009-09-07 2011-03-24 Seiko Epson Corp 液晶装置及び電子機器
KR101097333B1 (ko) 2010-02-11 2011-12-23 삼성모바일디스플레이주식회사 액정표시장치
JP5437895B2 (ja) 2010-04-20 2014-03-12 株式会社ジャパンディスプレイ 表示装置及びその製造方法
KR101710179B1 (ko) 2010-06-03 2017-02-27 삼성디스플레이 주식회사 평판 표시 장치 및 그 제조 방법
KR101824537B1 (ko) 2010-10-01 2018-03-15 삼성디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 유기 발광 디스플레이
KR20120060664A (ko) * 2010-12-02 2012-06-12 삼성전자주식회사 표시 장치 및 표시 장치 제조 방법
KR20120061312A (ko) 2010-12-03 2012-06-13 삼성모바일디스플레이주식회사 유기 전계 발광 표시장치 및 그의 제조방법
TW201224615A (en) * 2010-12-06 2012-06-16 Chunghwa Picture Tubes Ltd Pixel array substrate and method of fabricating the same
KR20120124527A (ko) * 2011-05-04 2012-11-14 삼성디스플레이 주식회사 표시 장치 및 표시 장치의 제조 방법
TWI423310B (zh) 2011-06-10 2014-01-11 Au Optronics Corp 畫素結構
KR101945237B1 (ko) 2012-06-01 2019-02-08 삼성디스플레이 주식회사 유기 발광 표시 장치
CN102981341A (zh) * 2012-12-25 2013-03-20 信利半导体有限公司 薄膜晶体管液晶显示器
TWI607510B (zh) * 2012-12-28 2017-12-01 半導體能源研究所股份有限公司 半導體裝置及半導體裝置的製造方法
US9178042B2 (en) * 2013-01-08 2015-11-03 Globalfoundries Inc Crystalline thin-film transistor
CN103336396B (zh) * 2013-06-28 2016-03-23 京东方科技集团股份有限公司 阵列基板及其制造方法和显示装置
KR20150021622A (ko) * 2013-08-20 2015-03-03 삼성디스플레이 주식회사 표시패널
TWI551926B (zh) * 2014-01-27 2016-10-01 友達光電股份有限公司 畫素結構
KR20160114510A (ko) * 2015-03-24 2016-10-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 터치 패널
US20170017327A1 (en) * 2015-07-17 2017-01-19 Innolux Corporation Touch display device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060214154A1 (en) * 2005-03-24 2006-09-28 Eastman Kodak Company Polymeric gate dielectrics for organic thin film transistors and methods of making the same
US20110039418A1 (en) * 2008-02-08 2011-02-17 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
US20090278120A1 (en) * 2008-05-09 2009-11-12 Korea Institute Of Science And Technology Thin Film Transistor
US20130112975A1 (en) * 2011-11-07 2013-05-09 Samsung Mobile Display Co., Ltd. Thin-film transistor array substrate, organic light emitting display device including the same, and method of manufacturing the same
US20150221773A1 (en) * 2012-09-05 2015-08-06 Sharp Kabushiki Kaisha Semiconductor device and method for producing same
US20150008427A1 (en) * 2013-07-08 2015-01-08 Sony Corporation Semiconductor device, method of manufacturing the same, display unit, and electronic apparatus

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019094102A1 (en) * 2017-11-10 2019-05-16 Applied Materials, Inc. A layer stack for display applications
CN111316420A (zh) * 2017-11-10 2020-06-19 应用材料公司 用于显示器应用的层堆叠
US11049887B2 (en) 2017-11-10 2021-06-29 Applied Materials, Inc. Layer stack for display applications
US11600642B2 (en) 2017-11-10 2023-03-07 Applied Materials, Inc. Layer stack for display applications
TWI811245B (zh) * 2017-11-10 2023-08-11 美商應用材料股份有限公司 用於顯示器應用之堆疊結構及製造其的方法與系統
US20200066858A1 (en) * 2018-08-24 2020-02-27 Qualcomm Incorporated High performance thin film transistor with negative index material
US11393888B2 (en) * 2019-06-13 2022-07-19 Samsung Display Co., Ltd. Thin film transistor substrate and display apparatus including the same
US11538881B2 (en) * 2019-11-04 2022-12-27 Samsung Display Co., Ltd. Display apparatus with electrodes having lowered resistance
US20220208899A1 (en) * 2020-12-24 2022-06-30 Samsung Display Co., Ltd. Display device and method of manufacturing the same

Also Published As

Publication number Publication date
KR20180102207A (ko) 2018-09-14
JP6966457B2 (ja) 2021-11-17
WO2017136141A1 (en) 2017-08-10
JP2019507903A (ja) 2019-03-22
US20170229490A1 (en) 2017-08-10
CN108700788A (zh) 2018-10-23
CN108700788B (zh) 2022-09-30
US10381454B2 (en) 2019-08-13

Similar Documents

Publication Publication Date Title
US20170229554A1 (en) High-k dielectric materials utilized in display devices
US11742362B2 (en) Hybrid high-k dielectric material film stacks comprising zirconium oxide utilized in display devices
US11600642B2 (en) Layer stack for display applications
US11894396B2 (en) High-K dielectric materials comprising zirconium oxide utilized in display devices
US10224432B2 (en) Surface treatment process performed on devices for TFT applications
TWI840259B (zh) 薄膜電晶體結構、用以形成用於顯示裝置之複合膜層之方法、及用於顯示裝置中的裝置結構
Lemmi et al. Poly-Si TFTs from glass to plastic substrates: Process and manufacturing challenges

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHOI, SOO YOUNG;CHEN, JRJYAN JERRY;YIM, DONG-KIL;AND OTHERS;SIGNING DATES FROM 20160712 TO 20160714;REEL/FRAME:039215/0018

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION