JP2017085183A - パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積 - Google Patents

パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積 Download PDF

Info

Publication number
JP2017085183A
JP2017085183A JP2017024980A JP2017024980A JP2017085183A JP 2017085183 A JP2017085183 A JP 2017085183A JP 2017024980 A JP2017024980 A JP 2017024980A JP 2017024980 A JP2017024980 A JP 2017024980A JP 2017085183 A JP2017085183 A JP 2017085183A
Authority
JP
Japan
Prior art keywords
die
adhesive layer
tsv
electrical wiring
package assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017024980A
Other languages
English (en)
Other versions
JP6355059B2 (ja
Inventor
エー. ラオラン、ディグヴィジャイ
A Raoran Digvijay
エー. ラオラン、ディグヴィジャイ
リ、ヨンガン
Yonggang Li
エヌ. マネパッリ、ラウール
Manepalli Rahul
エヌ. マネパッリ、ラウール
ゴンザレス、ハビエル ソト
Soto Gonzalez Javier
ゴンザレス、ハビエル ソト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2017085183A publication Critical patent/JP2017085183A/ja
Application granted granted Critical
Publication of JP6355059B2 publication Critical patent/JP6355059B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • H01L2221/68386Separation by peeling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05169Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/1134Stud bumping, i.e. using a wire-bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/40Details of apparatuses used for either manufacturing connectors or connecting the semiconductor or solid-state body
    • H01L2924/401LASER
    • H01L2924/405Wavelength
    • H01L2924/40501UV spectrum

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Structure Of Printed Boards (AREA)

Abstract

【課題】複数のダイの3次元(3D)集積を用いて集積回路(IC)パッケージアセンブリを可能にする技法及び構成を提供する。【解決手段】バンプレスビルドアップ層パッケージ基板104をマイクロプロセッサダイ等の第1のダイ102a上に形成することができる。レーザー放射を用いて、ダイ背面フィルムに開口部132を形成し、第1のダイ102aの背面側上にTSV(シリコン貫通ビア)パッドを露出することができる。第1のダイ102a及び第2のダイ102bの対応するTSV間に形成されたダイ相互接続部112によって、メモリダイスタック等の第2のダイを第1のダイに結合することができる。アンダーフィル材料を付着させて、第1のダイ102aと第2のダイ102bとの間に残っているあらゆるギャップの一部又は全てを充填することができ、及び/又は封入剤108を第2のダイ及び/又はパッケージ基板104にわたって付着することができる。【選択図】図1a

Description

本開示の実施の形態は、包括的には、集積回路の分野に関し、より詳細には、複数のダイの3次元(3D)集積を用いて集積回路(IC)パッケージアセンブリを可能にする技法及び構成に関する。
フォームファクターは、サイズにおいて縮小し続けているが、移動デバイスにおける処理速度の高速化及びメモリ容量の増大を求める消費者の要求が高まり続けている。近年、IC業界は、パッケージオンパッケージ(PoP)又はシリコン貫通ビア(TSV)との直接的なダイツーダイ相互接続を用いたフリップチップパッケージ及び周辺デバイスの3次元(3D)集積化の実施を開始した。しかしながら、現在利用可能な技術は、3D集積方式におけるバンプレスビルドアップ層等のより薄いパッケージ基板の使用に備えたものではない。
以下の詳細な説明と添付図面の組合せにより、実施形態は容易に理解されるであろう。この説明を容易にするため、同様の参照数字は同様の構成要素を示す。添付図面の各図において、実施形態は、限定としてではなく、例示として示されている。
様々な実施形態に係る、一例示の集積回路(IC)パッケージアセンブリ及びその一部の概略側断面図を示す図である。 様々な実施形態に係る、一例示の集積回路(IC)パッケージアセンブリ及びその一部の概略側断面図を示す図である。 様々な実施形態に係る、一例示の集積回路(IC)パッケージアセンブリ及びその一部の概略側断面図を示す図である。
幾つかの実施形態に係る、ICパッケージアセンブリを製造する方法の流れ図を概略的に示す図である。
様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。
幾つかの実施形態に係る、ICパッケージアセンブリを製造する方法の流れ図を概略的に示す図である。
様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。
様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。 様々な実施形態に係る、ICパッケージアセンブリ製造の1つの段階を概略的に示す図である。
様々な実施形態に係るコンピューティングデバイスを概略的に示す図である。
本開示の実施形態は、ICパッケージアセンブリにおける3Dマルチチップ集積の技法及び構成を記載している。以下の説明では、例示の実施態様の様々な態様が、当業者の研究の内容を他の当業者に伝えるために、当業者によって一般に用いられる用語を用いて説明される。しかしながら、本開示の実施形態は、説明する態様のうちの幾つかのみを用いて実施することができることが当業者には明らかであろう。説明においては、例示の実施態様の十分な理解を提供するように、具体的な数、材料、及び構成が述べられている。しかしながら、本開示の実施形態は具体的な詳細がなくても実施することができることが当業者には明らかであろう。それ以外の場合には、例示の実施態様を分かりにくくしないために、よく知られた特徴部は省略又は簡略化されている。
以下の詳細な説明において、別段に示されていない限り全体を通して同様の符号が同様の部分を示し、本開示の主題が実施され得る実施形態が例として示される、本明細書の一部を成す添付図面が参照される。本開示の範囲から逸脱することなく、他の実施形態が実用化され、構造的又は論理的変更が為され得ることが理解されるべきである。したがって、以下の詳細な説明は、限定的な意味には理解されるべきものではなく、実施形態の範囲は、添付の特許請求の範囲及びその均等物により規定される。
本開示の目的では、「A及び/又はB」という用語は、「A」、「B」又は「A及びB」を意味する。本開示の目的では、「A、B及び/又はC」という用語は、「A」、「B」、「C」、「A及びB」、「A及びC」、「B及びC」又は「A、B及びC」を意味する。
説明は、上/下、内/外、上方/下方等の視点に基づく説明を使用する場合がある。このような説明は、ただ議論を容易化するために使用されるのであり、本明細書に記載の実施形態の応用を何らかの特定の方向に限定することは意図されない。
説明では、それぞれが、同じ実施形態、又は異なる実施形態の1つ又は複数に言及したものであり得る「一実施形態において」又は「実施形態において」の用語が使用され得る。さらに、本開示の実施形態に関して使用される「備える(comprising)」、「含む(including)」、「有する(having)」等の用語は、同義である。
「結合される」という用語は、その派生形とともに、本明細書において使用される場合がある。「結合」は、以下の1つ又は複数を意味し得る。「結合」は、2つまたは3つ以上の要素が直接の物理的又は電気的接触状態にあることを意味し得る。しかし、「結合」は、2つまたは3つ以上の要素が相互に間接的に接触状態にあるが、なお、相互に協働又は作用することを意味する場合があり、1つ又は複数の他の要素が、相互に結合されるとされる要素間で結合又は接続されていることを意味する場合がある。「直接結合された」という用語は、要素のうちの2つが直接接触していることを意味することができる。
様々な実施形態では、「第2の特徴部上に形成、堆積、又は別の方法で配置された第1の特徴部」という語句は、第1の特徴部が第2の特徴部にわたって形成、堆積、又は配置され、第1の特徴部の少なくとも一部が、第2の特徴部の少なくとも一部と直接的に接触(例えば、物理的及び/又は電気的に直接接触)する場合もあるし、間接的に接触する(例えば、第1の特徴部と第2の特徴部との間に1つ又は複数の他の特徴部を有する)場合もあることを意味することができる。
参照を容易にするために、図に示すICパッケージアセンブリの構成要素は、3桁の数字を用いてラベル付けされ、最初の桁は、図の番号に対応し(例えば、図1a〜図1cの特徴部は「1XX」とラベル付けされる)、2番目の桁及び3番目の桁は、その構成要素を識別する。したがって、ICパッケージアセンブリの構成要素は、特定の図(例えば、図1a〜図1cの第1のダイ102a)を参照して説明される場合があるが、その説明は、他の図の対応する構成要素に等しく適用されるものと理解されるべきである。例えば、図3a〜図3gの第1のダイ302a、図5a〜図5fの第1のダイ502a、図6a〜図6fの第1のダイ602a、及び図7の第1のダイ702aは、図1a〜図1cの第1のダイ102aについて説明する特徴部/構成のうちのいずれか又は全てを有することができる。
「シリコン貫通ビア」又は「TSV」という語句は、本明細書において用いられるとき、インターポーザー等の、ダイ又は他の半導体基板/デバイス内を少なくとも部分的に通って延在する導電性スルーホールに関して用いることができる。「シリコン貫通ビア」又は「TSV」という語句は、半導体材料がシリコン以外の材料からなる場合であっても、そのような導電性の特徴部に用いることができる。
本明細書において説明する実施形態は、3次元(3D)集積回路(IC)パッケージアセンブリの構成及び対応する製造技法を提供する。様々な実施形態では、ICパッケージアセンブリは、パッケージ基板に組み込まれた第1のダイ、第1のダイと結合された第2のダイ、及び第1のダイと第2のダイとの間に配置された接着層を備えることができる。第1のダイは、1つ又は複数のTSVを有するマイクロプロセッサ/CPU等とすることができ、パッケージ基板は、バンプレスビルドアップ層(BBUL)パッケージ基板とすることができる。幾つかの実施形態では、パッケージ基板は、コアレス基板とすることができる。様々な実施形態では、第2のダイは、TSVによって結合された複数のメモリダイを有するメモリダイスタックとすることができ、接着層は、ダイ背面フィルム(DBF)層とすることができる。様々な実施形態では、接着層に開口部を形成することができる。第1のダイ上のTSVパッド及び第2のダイ上のTSVパッドは、開口部の対向する側面上に位置決めすることができ、ダイ相互接続部と結合されて、導電パスを形成することができる。幾つかの実施形態では、封入剤を第2のダイにわたって付着させることができ、及び/又はアンダーフィル材料を用いて、接着層内の開口部若しくは接着層と第2のダイとの間の他の残っている空間を充填することができる。
幾つかの実施形態では、開口部は、パッケージ基板が第1のダイ上に形成される前に、接着層に形成することができる。他の実施形態では、開口部は、パッケージ基板が形成された後に、接着層に形成することができる。様々な実施形態では、開口部は、レーザーパターニング投影(LPP)ツールを用いて接着層の一部をレーザーエネルギーに選択的にさらすことによって形成することができる。他の実施形態では、レーザーエネルギーは、レーザー走査システム(例えば、ガルバノスキャナー)を用いて接着層又はその一部を走査することによって印加することができる。様々な実施形態では、レーザーは、UVレーザーとすることができる。
図1a〜図1cは、様々な実施形態に係る集積回路(IC)パッケージアセンブリ100の概略側断面図を示している。最初に図1aを参照すると、ICパッケージアセンブリ100は、パッケージ基板104に組み込まれた第1のダイ102aと、第1のダイ102aと結合された第2のダイ102bと、パッケージ基板104と結合された回路基板122とを備えることができる。幾つかの実施形態では、第2のダイ102bは、積重された3次元(3D)構成(例えば、図1bのダイ140)に配列された複数のダイを備えることができる。様々な実施形態では、第2のダイ102bは、1つ又は複数のメモリダイを備えることができる。
様々な実施形態では、第2のダイ102bは、封入剤108に組み込むことができる。封入剤108は、任意の好適な材料とすることができ、この任意の好適な材料は、味の素ビルドアップフィルム(ABF)基板若しくは他のビルドアップフィルム、他の誘電/有機材料、樹脂、エポキシ、ポリマー接着剤、シリコン、アクリル樹脂、ポリイミド、シアン酸エステル、熱可塑性材料、及び/又は熱硬化性材料等である(ただし、これらに限定されるものではない)。
幾つかの実施形態では、第1のダイ102a及び第2のダイ102bは、単体化されたダイとすることができる。他の実施形態では、第1のダイ102a及び/又は第2のダイ102bは、スタックに配列された2つまたは3つ以上のダイを含むことができる。他の実施形態では、第1のダイ102a及び/又は第2のダイ102bは、2つまたは3つ以上のダイがその上に形成されたウェハー(又はその一部)とすることができる。
様々な実施形態では、第1のダイ102a及び/又は第2のダイ102bは、プライマリーロジックダイとすることができる。他の実施形態では、第1のダイ102a及び/又は第2のダイ102bは、メモリ、特定用途向け回路(ASIC)、プロセッサ、又はそれらの或る組み合わせとして機能するように構成することができる。幾つかの実施形態では、第1のダイ102aは、CPU/プロセッサとすることができ、第2のダイ102bは、1つ又は複数のメモリダイとすることができる。
幾つかの実施形態では、インターフェース層124を、第1のダイ102aと第2のダイ102bとの間に設けることができる。インターフェース層124は、アンダーフィル層、接着層、誘電層、又は他の材料層とすることもできるし、それらの層を含むこともできる。インターフェース層124は、機械的強度、導電性、放熱、又は接着を提供する等の様々な機能を果たすことができる。
幾つかの実施形態では、パッケージ基板104は、コアレス基板とすることができる。例えば、パッケージ基板104は、複数の「バンプレス」ビルドアップ層を備えるバンプレスビルドアップ層(BBUL)アセンブリとすることができる。「バンプレスビルドアップ層」は、本明細書において用いられるとき、はんだ、又は「バンプ」とみなすことができる他の取り付け手段を用いない基板の層及び基板に組み込まれた構成要素の層を指すことができる。様々な実施形態では、本明細書で説明する1つ又は複数のビルドアップ層は、信頼性、反り低減等のために改変及び/又は最適化することができる材料特性を有することができる。他の実施形態では、パッケージ基板104は、ポリマー、セラミック、ガラス、又は半導体材料からなることができる。幾つかの実施形態では、パッケージ基板104は、従来のコア有り基板及び/又はインターポーザーとすることができる。
第1のダイ102aは、パッケージ基板104の第1の側面に結合することができる。様々な実施形態では、第1のダイ102aは、パッケージ基板104に組み込むことができる。パッケージ基板104の、反対の第2の側面は、パッケージ相互接続部112によって回路基板122に結合することができる。パッケージ相互接続部112は、パッケージ基板104の第2の側面に配置された電気配線特徴部110を、回路基板122上の対応する電気配線特徴部116に結合することができる。パッケージ基板104は、第1のダイ102a/第2のダイ102bと、回路基板122及び/又はICパッケージアセンブリ100の外部の他の電気構成要素との間で電気信号を配信するようにパッケージ基板104内に形成されたトレース、トレンチ、及び/又はビア等の導電性特徴部134を有することができる。パッケージ相互接続部112は、金属、合金、はんだ付け可能な材料、又はそれらの組み合わせを用いて形成された、例えば、バンプ、ピラー、又はボールを含む多種多様の好適な構造体及び/又は材料のうちの任意のものを含むことができる。様々な実施形態では、電気配線特徴部110は、ボールグリッドアレイ(BGA)又は他の構成に配列することができる。
幾つかの実施形態では、回路基板122は、エポキシラミネート等の電気絶縁材料からなるプリント回路基板(PCB)とすることができる。例えば、回路基板122は、例えば、ポリテトラフルオロエチレン、難燃性4(FR−4)、FR−1、綿紙等のフェノール綿紙材料、及びCEM−1若しくはCEM−3等のエポキシ材料、又はエポキシ樹脂プリプレグ材料を用いて相互に積層されたウーブンガラス材料等の材料からなる電気絶縁層を備えることができる。回路基板122は、他の実施形態では、他の好適な材料からなることができる。
回路基板122の幾つかの部分/特徴部は、図1aに示されていない場合がある。様々な実施形態では、回路基板122は、当該回路基板122を通って電気信号を第1のダイ102a/第2のダイ102bへ又は第1のダイ102a/第2のダイ102bから配信するように構成された、この回路基板に結合された他の電気デバイスを備えることができる。幾つかの実施形態では、回路基板122は、回路基板122を通って電気信号を配信するように回路基板122内で形成されたトレース、トレンチ、及び/又はビア等の構造体を備えることができる。幾つかの実施形態では、回路基板122は、マザーボード(例えば、図7のマザーボード722)とすることができる。
図1bは、様々な実施形態に係るICパッケージアセンブリ100のダイ部分の概略側断面図を示している。図示するように、第1のダイ102aは、第1の側面S1と、第1の側面S1の反対側の第2の側面S2とを有することができる。第1の側面S1は、一般にダイの「アクティブ(active)」側又は「上面(top)」側又は「前面(front)」側と呼ばれるダイの側面とすることができる。第1の側面S1は、1つ又は複数のトランジスタを備えることができる。第2の側面S2は、一般にダイの「非アクティブ(inactive)」側又は「底面(bottom)」側又は「背面(back)」側と呼ばれるダイの側面とすることができる。
第1の側面S1は、1つ又は複数のトランジスタが形成されているアクティブ層114を備えることができる。これらの1つ又は複数のトランジスタは、第1の側面S1の外表面の下方に位置することができ、一連の金属層及び酸化物層によって第1の側面S1の外表面に配信される。第2の側面S2は、半導体材料からなる半導体基板118を備えることができる。半導体基板118は、n型の材料系又はp型の材料系からなることができ、例えば、バルクシリコン又はシリコンオンインシュレーター部分構造体を用いて形成された結晶基板を含むことができる。幾つかの実施形態では、半導体基板118は、シリコンと組み合わされる場合もあるし組み合わされない場合もある代わりの材料を用いて形成することができる。この代わりの材料には、ゲルマニウム、インジウムアンチモン、鉛テルル、インジウムヒ素、インジウムリン、ガリウムヒ素、又はガリウムアンチモンが含まれるが、これらに限定されるものではない。様々な実施形態によれば、他のII−VI族の材料系、III−V族の材料系、又はIV族の材料系を用いて、半導体基板118を形成することもできる。
様々な実施形態では、第1のダイ102aは、半導体基板118内を少なくとも部分的に通って形成される1つ又は複数のシリコン貫通ビア(TSV)126を備えることができる。第1のダイ102aの第1の側面S1は、電気配線特徴部106を備えることができる。幾つかの実施形態では、電気配線特徴部106は、ボンドパッドとすることができる。第2の側面S2も、1つ又は複数の電気配線特徴部128を備えることができる。幾つかの実施形態では、電気配線特徴部128は、対応するTSV126に結合されたTSVパッドとすることができる。TSV126は、ダイ102aの第1の側面S1上のアクティブ層114と第2の側面S2上の電気配線特徴部128との間で電気信号を配信するように構成することができる。
幾つかの実施形態では、第2のダイ102bは、複数のダイ140と、これらのダイ140のうちの幾つか又は全ての中を通って配置された1つ又は複数のTSV136とを備えることができる。様々な実施形態では、電気配線特徴部138は、第2のダイ102bのダイ140のうちの1つ又は複数に設けることができる。電気配線特徴部138のうちの1つ又は複数は、対応するTSV136と電気的に結合することができる。ダイ140は、例えば相互接続構造体を含む任意の好適な技法を用いて相互に結合することができる。この相互接続構造体は、例えば、パッド、バンプ、ピラー、はんだ付け可能な材料、又はそれらの組み合わせ等である。すなわち、TSV136は、幾つかの実施形態において図示するような単一の連続的な材料構造体からなるものではない場合がある。
電気配線特徴部128/138は、導電性のパッド、バンプ、ピラー、又は他のそのような構造体とすることができる。様々な実施形態では、電気配線特徴部128/138は、1つ又は複数の金属層を有することができる。金属層には、ニッケル、パラジウム、プラチナ、スズ、銀、金、銅、又は他の金属が単独又は任意の組み合わせで含まれるが、これらに限定されるものではない。幾つかの実施形態では、電気配線特徴部128は、1つ又は複数の銅の層を有することができる。他の実施形態では、電気配線特徴部138は、金の外表面を有することができる。
第2のダイ102bの電気配線特徴部138は、ダイ相互接続部120によって第1のダイ102の電気配線特徴部128aと結合することができる。様々な実施形態では、ダイ相互接続部120は、はんだ付け可能な材料(例えば、はんだペースト、はんだボール)を用いて形成することができる。幾つかの実施形態では、第1のダイ102aの第1のTSV126は、対応する電気配線特徴部128/138及びダイ相互接続部120によって第2のダイ102bの第2のTSV136と結合されて、第1のダイ102a及び第2のダイ102b内を少なくとも部分的に通って延在する導電パス142を形成することができる。導電パス142は、第2のダイ102bと第1のダイ102aとの間で電気信号を配信することができる。幾つかの実施形態では、これらの電気信号は、例えば、第1のダイ102a/第2のダイ102bの動作に関連付けられた入力/出力(I/O)信号及び/又は電力信号若しくは接地信号を含むことができる。
第2のダイ102bは、例えば図1bに示すように、前後構成で第1のダイ102aに結合することができる(例えば、第2のダイ102bの「フロント」又は「アクティブ」側面が第1のダイ102aの「バック」又は「非アクティブ」側面S1に結合される)。他の構成では、第1のダイ102a及び第2のダイ102bは、背面合わせの配置で互いに結合することができる。様々な実施形態では、1つ又は複数の追加のダイを、第1のダイ102a、第2のダイ102b、及び/又はパッケージ基板104と結合することができる。
幾つかの実施形態では、接着層130を第1のダイ102aの第2の側面S2上で配置することができる。接着層130は、ポリマーマトリックスを含むことができる。接着層130に好適な材料の例は、エポキシ、アクリル樹脂、ポリイミド、エポキシアクリレート、他のポリマー材料、及びそれらの組み合わせを含むことができるが、これらに限定されるものではない。様々な実施形態では、接着層130は、ダイ背面フィルム(DBF)とすることができる。
幾つかの実施形態では、接着層130は、開口部132を備えることができ、電気配線特徴部128及び/又はダイ相互接続部120のうちの1つ又は複数は、開口部132内に位置決めすることができる。幾つかの実施形態では、開口部132は、接着層130全体に対応することができる。例えば、幾つかのICパッケージアセンブリでは、開口部132を形成することは、接着層130全体を除去することを含むことができる。
例えば、図1a及び図1bに示すように、アンダーフィル材料又は他の好適な材料を開口部132内及び/又は接着層130と第2のダイ102bとの間に加えることによって、第1のダイ102aと第2のダイ102bとの間にインターフェース層124を形成することができる。インターフェース層124及び接着層130の一方又は双方は、機械的強度/耐反り性をICパッケージアセンブリ100に与えることができる。この場合も、幾つかの実施形態は、接着層130及び開口部132が無くてもよい。例えば、幾つかの実施形態では、ICパッケージアセンブリ製造の或る段階で接着層130を第1のダイ102に付着させ、その後、製造が完了する前に除去することができる。
電気配線特徴部128/138及びダイ相互接続部120等の特徴部の間隔/ピッチは、実施形態間で変動する場合がある。幾つかの実施形態では、隣接する電気配線特徴部128及び/又は隣接する電気配線特徴部138の間の距離は、30μm〜80μmの範囲、40μm〜100μmの範囲、40μm未満の範囲、又は100μmよりも大きい範囲とすることができる。
次に図1cを参照すると、電気配線特徴部128/138、接着層130、及びダイ相互接続部120等の特徴部の寸法は、実施形態間で変動する場合がある。
様々な実施形態では、接着層130は、10μm未満の厚さ(矢印A)を有することができる。他の実施形態では、接着層130は、0.1μm〜20μmの範囲、0.1μm〜9.9μmの範囲、1μm〜9.9μmの範囲、又は5μm〜9.9μmの範囲の厚さ(矢印A)を有することができる。
様々な実施形態では、電気配線特徴部128は、20μmの幅(矢印B)及び3μmの高さ/厚さ(矢印C)を有することができる。他の実施形態では、電気配線特徴部128は、5μm〜40μmの範囲、10μm〜30μmの範囲、又は15μm〜25μmの範囲の幅(矢印B)、及び0.5μm〜15μmの範囲、1μm〜10μmの範囲、又は2μm〜5μmの範囲の高さ/厚さ(矢印C)を有することができる。
様々な実施形態では、電気配線特徴部138は、20μmの幅(矢印D)及び10μmの高さ/厚さ(矢印E)を有することができる。他の実施形態では、電気配線特徴部138は、5μm〜40μmの範囲、10μm〜30μmの範囲、又は15μm〜25μmの範囲の幅(矢印D)、及び1μm〜20μmの範囲、5μm〜15μmの範囲、又は8μm〜12μmの範囲の高さ/厚さ(矢印E)を有することができる。
様々な実施形態では、ダイ相互接続部120は、10μmの高さ/厚さ(矢印F)を有することができる。他の実施形態では、ダイ相互接続部120は、1μm〜20μmの範囲、5μm〜15μmの範囲、又は8μm〜12μmの範囲の高さ/厚さ(矢印F)を有することができる。
特定の実施形態では、接着層130は、10μm未満の厚さ(矢印A)を有することができ、電気配線特徴部128は、20μmの幅(矢印B)及び3μmの高さ/厚さ(矢印C)を有することができ、電気配線特徴部138は、20μmの幅(矢印D)及び10μmの高さ/厚さ(矢印E)を有することができ、ダイ相互接続部120は、10μmの高さ/厚さ(矢印F)を有することができる。
様々な実施形態では、接着層130を、第1のダイ102aの第2の側面S2に付着させ、その後、犠牲パネルと結合することができる。次に、パッケージ基板(例えば、パッケージ基板104)を、第1のダイ102aの第1の側面S1上に形成するか又はこの側面と結合することができ、次に、犠牲パネルを除去することができる。幾つかの実施形態では、接着層130が、ビルドアッププロセス中に電気配線特徴部128を覆うことができ、開口部132が、犠牲パネルの除去後に、接着層130内を通って形成されて、電気配線特徴部128を露出することができる。他の実施形態では、開口部132は、接着層130を第1のダイ102aに付着させる前に、接着層130に形成することができ、接着層130は、電気配線特徴部138のうちの1つ又は複数が開口部132内に存在するように、第2の側面S2上に位置決めすることができる。そのような実施形態では、電気配線特徴部128は、ビルドアッププロセス後に犠牲パネルの除去によって露出することができる。いずれの場合も、第2のダイ102bは、電気配線特徴部138が露出された後に、第1のダイ102aと結合することができる。
他の実施形態では、接着層130を犠牲パネルと結合することができ、第1のダイ102aを接着層130と結合する前に、開口部132を接着層130に形成することができる。開口部132は、接着層130が犠牲パネルと結合される前又は後のいずれかにおいて接着層130に形成することができる。次に、電気配線特徴部128のうちの1つ又は複数が開口部132内に配置されるように第2の側面S2を接着層130上に位置決めすることによって、第1のダイ102aを接着層130と結合することができる。次に、パッケージ基板104を第1のダイ102aの第1の側面S1上に形成することもできるし、そうでない場合には、第1の側面S1と結合することもできる。次に、犠牲パネルを除去して、電気配線特徴部128を露出することができる。他の実施形態では、接着層130は、ビルドアッププロセス中に電気配線特徴部128を覆うことができ、開口部132は、ビルドアップ層の形成及び犠牲パネルの除去の後に、接着層130に形成することができる。いずれの場合も、以下でより十分に説明するように、開口部132は、接着層130を放射エネルギーにさらすことによって形成することができる。
図2及び図4は、幾つかの実施形態に係る、ICパッケージアセンブリを製造する方法の流れ図を概略的に示している。図3a〜図3gは、図2に示す方法に対応する製造の様々な段階を示している。図5a〜図5f及び図6a〜図6fは、図4に示す方法に対応する製造の様々な段階を示している。
幾つかの図は、参照を容易にするために、犠牲パネルの一方の側面のみにおける製造プロセスを示しているが、本明細書で説明する方法はいずれも、同じ犠牲パネルの反対側の側面上で及び/又は犠牲パネルの同じ側面の複数の部分に沿って実行することができることが理解されるべきである。
最初に図2を参照すると、方法200は、1つ又は複数のトランジスタを有する第1の側面と、電気配線特徴部(例えば、電気配線特徴部128)を有する第2の側面と、この電気配線特徴部に結合された第1のTSV(例えば、TSV126)とを有する第1のダイを準備することによって、ブロック201から開始することができる。
ブロック203において、接着層を第1のダイの第2の側面と結合することができる。図3aは、ICパッケージアセンブリ300の対応する製造段階を示している。図示するように、接着層330は、第1のダイ302aの第2の側面S2と結合することができ、1つ又は複数の電気配線特徴部328を覆うことができる。様々な実施形態では、電気配線特徴部328は、TSVパッドとすることができ、第1のTSV326は、TSVパッド328に導電的に結合することができる。様々な実施形態では、接着層330は、第1のダイ302aがウェハーから単体化される前又は単体化された後のいずれかにおいて第1のダイ302aと結合することができる。
ブロック205において、接着層を犠牲パネルに結合することができる。例えば図3bに示すように、犠牲パネル346は、積重構成で配列された1つ又は複数の層を有することができる。例えば、犠牲パネル346は、銅箔等の1つ又は複数の金属の外層の間に配置されたエポキシコアを備えることができる。特定の実施形態では、犠牲パネル346の外層のうちの1つ又は複数は、他の層が機械的に剥離される際に、接着層330に接着した状態で残るように構成することができる。外層(複数の場合もある)は、その後、従来のエッチングプロセスによって接着層330から除去することができる。
ブロック207において、1つ又は複数のビルドアップ層を第1のダイの第1の側面上に形成することができる。図3cは、複数のビルドアップ層350、352、354、及び356を備えるコアレスパッケージ基板304を有するICパッケージアセンブリ300の対応する製造段階を示している。ビルドアップ層の数及び構成は、実施形態間で変動する場合がある。4つのビルドアップ層が例として示されているが、他の実施形態では、パッケージ基板304は、1つのビルドアップ層、2つのビルドアップ層、3つのビルドアップ層、又は5つ以上のビルドアップ層を有することができる。幾つかの実施形態では、ビルドアップ層(複数の場合もある)は、第1のダイ302a上に順次形成することができる。他の実施形態では、パッケージ基板304を別個のプロセスにおいて形成し、その後、第1のダイ302aと結合することができる。
幾つかの実施形態では、誘電材料(例えば、ABSフィルム)の層を第1のダイ302aの第1の側面S1上に積層し、誘電材料内を通って電気配線特徴部306までビアを(例えば、レーザー穿孔によって)穿孔し、これらのビアを導電材料(例えば、銅)で充填/めっきし、既知の方法によって導電トレースを誘電材料及びビア上に形成することによって、第1のビルドアップ層350を形成することができる。追加のビルドアップ層352、354、及び356を同じ又は同様の方法で順次形成することができる。最も外側の層356に、導電性特徴部(例えば、ビア若しくはトレース等の導電性特徴部334、又は図1aの電気配線特徴部110)のうちの1つ又は複数まで開口部を穿孔することができ、これらの開口部内にパッケージ相互接続312を形成することができる。幾つかの実施形態では、ビルドアップ層が形成される際に接着層330を硬化(例えば、熱、圧力、及び/又はUV光によって硬化)することができる。
ブロック209において、犠牲パネルを接着層から除去して、接着層を露出することができる。様々な実施形態では、エポキシコア等の犠牲層の或る部分を、接着層に接着した状態で残っている別の部分(例えば、銅箔の層)から剥離することができる。この残っている部分は、従来のエッチングプロセスによって除去することができる。図3dは、犠牲パネル346が接着層330及びパッケージ基板304から除去された対応する製造段階を示している。
ブロック211において、開口部を接着層に形成して、電気配線特徴部を露出することができる。図3eは、様々な実施形態に係る対応する製造段階を示している。図示するように、レーザー放射源358を用いて、接着層330の一部を選択的にアブレートし、それによって、接着層330に開口部332を形成して、電気配線特徴部328を露出することができる。様々な実施形態では、レーザー放射360は、紫外線(UV)レーザー放射とすることができ、レーザー放射源358は、二酸化炭素(CO)レーザー、一酸化炭素(CO)レーザー、様々な高調波におけるネオジウムドープイットリウムアルミニウムガーネット(Nd:YAG)レーザー、エキシマーレーザー、又は他の任意の好適なタイプのレーザー放射源とすることができる。幾つかの実施形態では、レーザー放射源358は、パルスレーザーとすることができる。他の実施形態では、レーザー放射源358は、連続レーザーとすることができる。幾つかの実施形態では、レーザー放射360は、グリーン(例えば、532nm)等の短い可視波長のレーザー放射とすることができる。
様々な実施形態では、ビームスキャナーベースのシステム又はマスクプロジェクターベースのシステムを用いて接着層330の一部又は全部をアブレートすることによって開口部332を形成することができる。様々な実施形態では、開口部332は、レーザー投影パターニング(LPP)によって形成することができる。LPPは、幾つかの実施形態では、走査/スカイビングを行うことなく、比較的低いフルエンス(例えば、0.3J/cm〜0.8J/cm)で、電気配線特徴部328に対する損傷がほとんど又は全くなく、選択されたエリア全体を露出させるのに用いることができる。他の実施形態では、ガルバノスキャナーを用いて、選択されたエリアをレーザー放射によって制御可能に除去して、開口部332を形成することができる。この場合も、レーザー放射は、電気配線特徴部328及び/又は第1のダイ302の第2の側面S2のレーザー損傷閾値未満の比較的低いフルエンスを有することができる。幾つかの実施形態では、接着層330の全てを除去することができる。
幾つかの実施形態では、ブロック211は、残っているあらゆる基板残渣を電気配線特徴部328から除去するデスミアプロセスを更に含むことができる。他の実施形態では、ブロック211は、酸化/汚染物質を電気配線特徴部328の外表面から除去するクリーニングプロセス(例えば、融剤を用いる)を更に含むことができる。他の実施形態は、デスミアプロセス/クリーニングプロセスの一方又は双方を省略することができる。
ブロック213において、第1のダイと第2のダイとの間に配置された接着層を用いて、第2のダイを第1のダイの第2の側面と結合することができる。様々な実施形態では、第2のダイ302bは、ダイアタッチツールを用いて第1のダイ302aと結合することもできるし、熱圧縮ボンディング(TCB)等のファインピッチの用途(例えば、100μm未満のバンプピッチ)における使用に好適な他の技法によって結合することもできる。幾つかの実施形態では、はんだボールを用いて、第1のダイのTSVを第2のダイのTSVに結合することができる。
図3fは、対応する製造段階を示している。図示するように、第2のダイ302bは、1つ又は複数のTSV336を有することができ、第1のダイ302aは、1つ又は複数のTSV326を有することができる。ダイ相互接続部320(例えば、はんだ)を第1のダイ302aの第1のTSV326と第2のダイ302bの第2のTSV336との間に形成することができる。TSV326及び336、電気配線特徴部328/138(それぞれ図3f及び図1bを参照)、並びにダイ相互接続部320は、接着層330の開口部332内を通って延在する導電パス(例えば、図1bの導電パス142)を共同して形成することができる。幾つかの実施形態では、ダイ相互接続部320は、はんだペースト印刷/リフロー技法等の従来の方法によって形成することができる。
ブロック215において、アンダーフィル材料を第1のダイと第2のダイとの間の開口部内に入れることができる。ブロック217において、封入剤(例えば、成形材料)を第2のダイ及び1つ又は複数のビルドアップ層にわたって付着することができる。図3gは、対応する製造段階を示している。図示するように、アンダーフィル材料は、第1のダイ302aと第2のダイ302bとの間に加えられて、インターフェース層324を形成することができる。インターフェース層324は、開口部332及び/又は第2のダイ302bと接着層330との間の残りの空間を実質的に充填することができる。幾つかの実施形態では、ブロック209において、接着層330の全てを除去することができ、アンダーフィル材料を用いて、第1のダイ302aと第2のダイ302bとの間の空間の一部又は全てを充填することができる。他の実施形態では、封入剤308は、第2のダイ302b及び/又はパッケージ基板304にわたって形成することができる。
幾つかの実施形態は、ブロック215及び217の双方を含むことができる。他の実施形態では、ブロック215及び217の一方又は双方を省略することができる。例えば、幾つかの実施形態では、ブロック215を省略することができる。他の実施形態では、ブロック217を省略することができる。更に他の実施形態では、ブロック215及びブロック217の双方を省略することができる。
他の実施形態では、接着層が電気配線特徴部(例えば、TSVパッド)と接触しないように、第1のダイを接着層と結合する前に、接着層をパターニングして開口部を形成することができる。図4は、そのような実施形態の一例を示している。図5a〜図5f及び図6a〜図6fは、図4の実施形態の2つの変形形態を示している。
次に図4を参照すると、方法400は、1つ又は複数のトランジスタを有する第1の側面と、電気配線特徴部(例えば、電気配線特徴部128)を有する第2の側面と、この電気配線特徴部に結合された第1のTSV(例えば、TSV126)とを有する第1のダイ(例えば、図1aの第1のダイ102a)を準備することによって、ブロック401から開始することができる。
ブロック403において、接着層を犠牲パネルに結合することができる。幾つかの実施形態では、図5aに例として示すように、ブロック403は、犠牲パネル546上に最も外側の銅層570を形成することと、この最も外側の銅層570に開口部572を形成することとを含むことができる。ピックアンドプレースツールを用いる等の従来の技法によって、接着層530を開口部572内に位置決めすることができる。
代替的に、図6aに例として示すように、最も外側の銅層570も開口部572も形成することなく、接着層630を犠牲パネル646と結合することができる。
ブロック405において、開口部を接着層に形成することができる。図5b及び図6bに例として示すように、レーザー放射源558/658を用いることによって開口部532/632を接着層530/630に形成し、接着層530/630の選択されたエリアをレーザー放射560/660にさらすことができる。
ブロック407において、開口部に位置決めされた電気配線特徴部を用いて、接着層を第1のダイの第2の側面に結合することができる。図5c及び図6cは、対応する製造段階を示している。図示するように、開口部532/632内の電気配線特徴部528/628を用いて、第1のダイ502a/602aを位置決めすることによって、電気配線特徴部528/628と接着層530/630との間の接触を削減又は除去することができる。これによって、後続のデスカムプロセスの必要性を削減又は除去することができる。
ブロック409において、1つ又は複数のビルドアップ層を第1のダイ上に形成することができる。図5d及び図6dは、対応する製造段階を示している。図示するように、パッケージ基板504/604(例えば、1つ又は複数のビルドアップ層を有するBBUL基板)を第1のダイ502a/602a及び電気配線特徴部506/606上に順次形成することができる。
ブロック411において、図2のブロック209に関して上記で説明したように、犠牲パネルをICパッケージアセンブリから除去することができる。
ブロック413、415、及び417は、図2のブロック213、215、及び217に関して説明したものと同じ又は同様の方法で実行することができる。
ブロック413において、図5e及び図6eに例として示すように、第2のダイ502b/602bを第1のダイ502a/602aの第2の側面と結合することができる。接着層530/630は、第1のダイ502a/602aと第2のダイ502b/602bとの間に配置することができる。様々な実施形態では、第2のダイ502b/602bは、ダイアタッチツールを用いて第1のダイ502a/602aと結合することもできるし、熱圧縮ボンディング(TCB)等の他の技法によって結合することもできる。
ダイ相互接続部520/620(例えば、はんだボール)を、第1のダイ502a/602aの第1のTSV526/626と第2のダイ502b/602bの第2のTSV536/636との間に形成することができる。TSV526/626及び536/636、電気配線特徴部528/628、第2のダイ502b/602bの対応する電気配線特徴部(例えば、図1bの電気配線特徴部138を参照)、並びにダイ相互接続部520/620は、接着層530/630の開口部532/632内を通って延在する導電パス(例えば、図1bの導電パス142を参照)を共同して形成することができる。幾つかの実施形態では、ダイ相互接続部520/620は、はんだペースト印刷/リフロー技法等の従来の方法によって形成することができる。
ブロック415において、アンダーフィル材料を第1のダイと第2のダイとの間の開口部内に入れることができる。ブロック417において、封入剤(例えば、成形材料)を第2のダイ及び1つ又は複数のビルドアップ層にわたって付着することができる。図5f及び図6fは、対応する製造段階を示している。様々な実施形態では、アンダーフィル材料は、インターフェース層524/624を形成するのに用いることができる。他の実施形態では、封入剤508/608は、第2のダイ502b/602b及び/又はパッケージ基板504/604にわたって形成することができる。この場合も、幾つかの実施形態は、ブロック415及び417の双方を含むことができる。他の実施形態では、ブロック415及び417の一方又は双方を省略することができる。例えば、幾つかの実施形態では、ブロック415を省略することができる。他の実施形態では、ブロック417を省略することができる。更に他の実施形態では、ブロック415及びブロック417の双方を省略することができる。
特許請求された主題を理解する際に最も役立つように、様々な動作が複数の個別の動作として順に説明される。しかしながら、説明の順序は、これらの動作が必ず順序に依存していることを意味するものと解釈されるべきではない。本開示の実施形態は、任意の好適なハードウェア及び/又はソフトウェアを用いて所望のとおりに構成されるシステムに実施することができる。
図7は、様々な実施形態に係る一例示のコンピューティングデバイス701を示している。本明細書で説明したようなICパッケージアセンブリをコンピューティング/通信デバイスに実装することができる。例えば、ICパッケージアセンブリ700をコンピューティングデバイス701に実装することができる。ICパッケージアセンブリ700は、パッケージ基板704に組み込まれた第1のダイ702aと、第1のダイ702aと結合された第2のダイ702bとを備えることができる。ICパッケージアセンブリ700の構成要素、特徴部、及び/又は構成は、ICパッケージアセンブリ100、300、500、及び/又は600のうちの任意のものに関して本明細書で説明したとおりのものとすることができる。
コンピューティングデバイス701は、マザーボード722等の回路基板を収容することができる。マザーボード722は、複数の構成要素を備えることができる。これらの構成要素は、ICパッケージアセンブリ700及び少なくとも1つの通信チップ762を含むが、これらに限定されるものではない。ICパッケージアセンブリ700は、マザーボード722(例えば、図1aの回路基板122)に物理的及び電気的に結合することができる。幾つかの実施態様では、通信チップ(複数の場合もある)762も、マザーボード722に物理的及び電気的に結合することができる。更なる実施態様では、通信チップ(複数の場合もある)762は、ICパッケージアセンブリ700の一部とすることができる。様々な実施形態では、少なくとも1つの通信チップ762は、ICパッケージアセンブリ700に物理的及び電気的に結合することができる。更なる実施態様では、通信チップ762は、例えば、ICパッケージアセンブリ700のビルドアップ層上の追加のダイ又はビルドアップ層に組み込まれた追加のダイとして、ICパッケージアセンブリ700の一部とすることができる。これらの実施形態の場合、ICパッケージアセンブリ700及び通信チップ762は、マザーボード722上に配置することができる。代わりの実施形態では、様々な構成要素は、マザーボード722を用いることなく結合することができる。
幾つかの実施形態では、ICパッケージアセンブリ700のダイ(例えば、第1のダイ702a)は、コンピューティングデバイス701のプロセッサとすることができる。「プロセッサ」という用語は、レジスタ及び/又はメモリからの電子データを処理して、その電子データを、レジスタ及び/又はメモリに記憶することができる他の電子データに変換する任意のデバイス又はデバイスの任意の部分を指すことができる。
コンピューティングデバイス701は、その用途に応じて、マザーボード722に物理的及び電気的に結合される場合もあるし、されない場合もある他の構成要素を備えることができる。これらの他の構成要素は、揮発性メモリ(例えば、「DRAM」とも呼ばれるダイナミックランダムアクセスメモリ)、不揮発性メモリ(例えば、「ROM」とも呼ばれる読み出し専用メモリ)、フラッシュメモリ、入力/出力コントローラー、デジタル信号プロセッサ(図示せず)、暗号プロセッサ(図示せず)、グラフィックスプロセッサ、1つ又は複数のアンテナ、ディスプレイ(図示せず)、タッチスクリーンディスプレイ、タッチスクリーンコントローラー、バッテリー、オーディオコーデック(図示せず)、ビデオコーデック(図示せず)、全地球測位システム(「GPS」)デバイス、コンパス、加速度計(図示せず)、ジャイロスコープ(図示せず)、スピーカー、カメラ、及びマスストレージデバイス(ハードディスクドライブ、ソリッドステートドライブ、コンパクトディスク(「CD」)、デジタル多用途ディスク(「DVD」)等)(図示せず)、マイクロミラー(図示せず)等を含むが、これらに限定されるものではない。様々な実施形態では、様々な構成要素を他の構成要素と集積して、システムオンチップ(「SoC」)を形成することができる。更なる実施形態では、DRAM等の幾つかの構成要素をICパッケージアセンブリ700に又は同アセンブリ内に組み込むことができる。
通信チップ(複数の場合もある)762は、コンピューティングデバイス701へのデータの転送及びコンピューティングデバイス701からのデータの転送の有線通信及び/又は無線通信を可能にすることができる。「無線」という用語及びその派生語は、変調された電磁放射の使用によって非固体媒体を通じてデータを通信することができる回路、デバイス、システム、方法、技法、通信チャネル等を記述するのに用いることができる。幾つかの実施形態では、関連付けられたデバイスがいかなるワイヤも含まない場合があるが、この用語は、関連付けられたデバイスがいかなるワイヤも含まないことを意味するものではない。通信チップ762は、複数の無線標準規格又は無線プロトコルのうちの任意のものを実施することができる。これらの無線標準規格又は無線プロトコルには、Wi−Fi(IEEE802.11ファミリー)、IEEE802.16標準規格(例えば、IEEE802.16−2005修正版)を含む電気電子技術者協会(IEEE)標準規格、任意の修正、更新、及び/又は改訂を伴ったロングタームエボリューション(LTE)プロジェクト(例えば、アドバンストLTEプロジェクト、ウルトラモバイルブロードバンド(UMB)プロジェクト(「3GPP2」とも呼ばれる)等)が含まれるが、これらに限定されるものではない。IEEE802.16互換BWAネットワークは、一般に、「Worldwide Interoperability for Microwave Access(マイクロ波アクセスの世界的な相互運用性)」を表す頭字語であるWiMAXネットワークと呼ばれる。WiMAXは、IEEE802.16標準規格の適合性試験及び相互運用性試験に合格した製品の証明マークである。通信チップ762は、移動通信用グローバルシステム(GSM(登録商標))、汎用パケット無線サービス(GPRS)、ユニバーサル移動電気通信システム(UMTS)、高速パケットアクセス(HSPA)、進化型HSPA(E−HSPA)、又はLTEネットワークに従って動作することができる。通信チップ762は、GSM(登録商標)エボリューション用エンハンストデータ(EDGE)、GSM(登録商標) EDGE無線アクセスネットワーク(GERAN)、ユニバーサル地上無線アクセスネットワーク(UTRAN)、又は進化型UTRAN(E−UTRAN)に従って動作することができる。通信チップ762は、符号分割多元接続(CDMA)、時分割多元接続(TDMA)、デジタル高度コードレス電気通信(DECT)、エボリューションデータオプティマイズド(EV−DO)、それらの派生物、及び3G、4G、5G、及びそれ以降のものとして指定される他の任意の無線プロトコルに従って動作することができる。通信チップ762は、他の実施形態では、他の無線プロトコルに従って動作することができる。
コンピューティングデバイス701は、複数の通信チップ762を備えることができる。例えば、第1の通信チップ762は、Wi−Fi及びBluetooth(登録商標)等の短距離無線通信に専用化することができ、第2の通信チップ762は、GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev−DO等の長距離無線通信に専用化することができる。
様々な実施態様では、コンピューティングデバイス701は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、コンピューティングタブレット、携帯情報端末(「PDA」)、ウルトラモバイルPC、携帯電話、デスクトップコンピューター、サーバー、プリンター、スキャナー、モニター、セットトップボックス、エンターテイメント制御ユニット(例えば、ゲーミングコンソール)、デジタルカメラ、ポータブル音楽プレイヤー、デジタルビデオレコーダー、又はデジタルウォッチとすることができる。更なる実施態様では、コンピューティングデバイス701は、データを処理する他の任意の電子デバイスとすることができる。
<例> ここでは、3D ICパッケージアセンブリ、そのような3D ICパッケージアセンブリを製造する方法、及びそのような3D ICパッケージアセンブリを組み込んだシステムの様々な実施形態が説明される。様々な実施形態では、パッケージアセンブリは、複数のビルドアップ層を有するパッケージ基板と、パッケージ基板に組み込まれた第1のダイと、第1のダイと結合された第2のダイとを備えることができる。様々な実施形態では、第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、第1の側面の反対側の第2の側面と、第1のシリコン貫通ビア(TSV)と、第2の側面の第1の部分上に配置された電気配線特徴部とを有することができる。様々な実施形態では、電気配線特徴部は、第1のTSVによって、1つ又は複数のトランジスタのうちの少なくとも1つのトランジスタと電気的に結合されていることができる。
様々な実施形態では、第2のダイは、第1のTSVと電気的に結合されている、第2のTSVと、を備えることができる。様々な実施形態では、パッケージアセンブリは、第1のダイの第2の側面の第2の部分に配置された接着層を更に備えることができ、電気配線特徴部は、接着層における開口部内に配置されることができる。様々な実施形態では、第2のダイはメモリダイとすることができる。様々な実施形態では、電気配線特徴部はTSVパッドとすることができる。様々な実施形態では、第1のTSVは、第1のダイの第1の複数のTSVのうちの1つとすることができる。様々な実施形態では、第2のTSVは、第1の複数のTSVと一致するとともに第1の複数のTSVと垂直方向に位置合わせされて配列された、第2のダイの第2の複数のTSVのうちの1つとすることができる。
様々な実施形態では、第2のダイは、3次元(3D)メモリダイスタックに構成された複数のメモリダイのうちの1つとすることができる。様々な実施形態では、電気配線特徴部は、第1のTSVパッドとすることができる。様々な実施形態では、第2のダイは、第2のTSVと結合された第2のTSVパッドを有することができる。パッケージアセンブリは、第1のTSVパッド及び第2のTSVパッドと結合されたダイ相互接続部を更に備えることができる。様々な実施形態では、パッケージアセンブリは、第1のダイと第2のダイとの間に配置されたアンダーフィル層を更に備えることができる。様々な実施形態では、アンダーフィル層は、第1のダイと第2のダイとの間に配置することができる。様々な実施形態では、アンダーフィル層は、接着層における開口部内に配置することができる。様々な実施形態では、アンダーフィル層の一部は、接着層と第1のダイとの間に配置することができる。様々な実施形態では、パッケージアセンブリは、第2のダイを密閉するように構成された成形材料の層を更に備えることができる。
様々な実施形態では、方法は、第1のダイを準備することであって、第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、電気配線特徴部を有する第2の側面と、電気配線特徴部に結合されるとともに第1の側面と第2の側面との間に配置される第1のシリコン貫通ビア(TSV)とを有することと、第1のダイの第2の側面に接着層を結合することと、第1のダイの第1の側面上に1つ又は複数のビルドアップ層を形成することと、接着層に開口部を形成することと、第2のダイを第1のダイの第2の側面と結合することであって、接着層は、第1のダイと第2のダイとの間に配置されることと、を含む。様々な実施形態では、第2のダイは、第2のTSVを有することができ、第1のTSVは、開口部内を通って配置された導電パスによって第2のTSVと電気的に結合することができる。様々な実施形態では、第1のダイの第2の側面に接着層を結合する前に、接着層を犠牲パネルに結合することを更に含むことができる。様々な実施形態では、接着層に開口部を形成することは、第1のダイの第2の側面に接着層を結合する前に、接着層内を通って犠牲パネルまで開口部を形成することを含むことができる。様々な実施形態では、第1のダイの第2の側面に接着層を結合することは、開口部に配置された電気配線特徴部を用いて接着層上に第1のダイの第2の側面を配置することを含むことができる。
様々な実施形態では、方法は、犠牲パネル上に銅層を形成することと、銅層にキャビティを形成することと、を更に含むことができる。様々な実施形態では、接着層を犠牲パネルに結合することは、接着層内を通って犠牲パネルまで開口部を形成する前に、接着層をキャビティ内に配置することを含むことができる。様々な実施形態では、第1のダイの第2の側面に接着層を結合することは、接着層の一部を電気配線特徴部上に配置することを含むことができる。様々な実施形態では、方法は、第1のダイ及び接着層を犠牲パネル上に配置することであって、接着層は、第1のダイと犠牲パネルとの間に配置される、配置することと、第1のダイの第1の側面上に1つ又は複数のビルドアップ層を形成する前に、犠牲パネルを接着層から除去することと、を更に含むことができる。様々な実施形態では、接着層に開口部を形成することは、犠牲パネルを除去して電気配線特徴部を露出させた後に、接着層の一部を除去することを含むことができる。様々な実施形態では、接着層に開口部を形成することは、接着層の一部をレーザー放射にさらすことを含むことができる。様々な実施形態では、接着層の一部をレーザー放射にさらすことは、レーザー投影パターニングツールによって実行されることができる。様々な実施形態では、レーザー投影パターニングツールは、1つ又は複数のエキシマーレーザーを備えることができる。
様々な実施形態では、接着層の一部を除去することは、紫外線(UV)レーザーを用いて接着層の一部を走査することを更に含むことができる。様々な実施形態では、接着層の一部を除去することは、エキシマーレーザーを用いて、接着層の一部をレーザー放射にさらすことを更に含むことができる。様々な実施形態では、接着層の一部を除去することは、レーザー直描によって接着層の一部をレーザー放射にさらすことを更に含むことができる。様々な実施形態では、接着層の一部を除去することは、レーザー投影パターニングによって接着層の一部をレーザー放射にさらすことを更に含むことができる。様々な実施形態では、レーザー放射は、UVレーザー放射又はグリーンレーザー放射とすることができる。様々な実施形態では、方法は、第2のダイ及び1つ又は複数のビルドアップ層にわたって成形材料を付着させることを更に含むことができる。様々な実施形態では、第2のダイを成形材料に組み込むことができる。様々な実施形態では、方法は、第1のダイと第2のダイとの間にアンダーフィル材料を付着させることを更に含むことができる。
様々な実施形態では、システムは、回路基板と、パッケージアセンブリであって、当該パッケージアセンブリの外表面上に配置された電気配線特徴部を介して上記回路基板と結合された、パッケージアセンブリとを備えることができる。様々な実施形態では、パッケージアセンブリは、1つ又は複数のビルドアップ層を備える基板と、この基板に組み込まれた第1のダイと、第2のダイと、ダイ相互接続部と、電気パスとを備えることができる。様々な実施形態では、第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、この第1の側面の反対側の第2の側面と、第1のTSVと、第2の側面上の第1の電気配線特徴部とを有することができる。様々な実施形態では、第1の側面は、第1のTSVによって第1の電気配線特徴部と電気的に結合することができる。様々な実施形態では、パッケージアセンブリは、第1のダイの第2の側面上に配置された接着層を更に備えることができる。様々な実施形態では、第2のダイは、第2のTSVと、この第2のTSVと電気的に結合された第2の電気配線特徴部とを有することができる。様々な実施形態では、ダイ相互接続部は、第1の電気配線特徴部と第2の電気配線特徴部との間に配置することができる。様々な実施形態では、電気パスは、第1のTSV及び第2のTSVを備えることができ、1つ又は複数のビルドアップ層を通って第2のダイと回路基板との間に電気信号を配信するように構成することができる。
様々な実施形態では、第1のダイは、マイクロプロセッサダイを含むことができる。様々な実施形態では、第1のTSVは、第1のダイの第1の複数のTSVのうちの1つとすることができる。様々な実施形態では、第2のダイは、3次元(3D)スタックに配列された複数のメモリダイとすることができる。様々な実施形態では、第2のTSVは、第1の複数のTSVと一致するとともに第1の複数のTSVと垂直方向に位置合わせされて配列された、第2のダイの複数のTSVのうちの1つとすることができる。様々な実施形態では、システムは、第1のダイと第2のダイとの間に配置されたアンダーフィル材料を更に備えることができる。様々な実施形態では、システムは、第2のダイを密封するように配置された成形コンパウンド、を更に備えることができる。様々な実施形態では、システムは、アンテナ、タッチスクリーンディスプレイ、タッチスクリーンコントローラー、バッテリー、全地球測位システム(GPS)デバイス、コンパス、スピーカー、カメラ、及びマスストレージデバイスのうちの1つ又は複数を更に備える。
様々な実施形態は、上記で説明した実施形態の任意の好適な組み合わせを含むことができる。さらに、幾つかの実施形態は、実行されると、上記で説明した実施形態のうちの任意のものの動作をもたらす命令が記憶された形でこの命令を有する1つ又は複数の非一時的なコンピューター可読媒体を含むことができる。その上、幾つかの実施形態は、上記で説明した実施形態の様々な動作を実行する任意の好適な手段を有する装置又はシステムを含むことができる。
要約書に記載されたものを含めて、図示した実施態様の上記説明は、網羅的であることを意図するものでもなければ、本開示の実施形態を開示された厳密な形態に限定することを意図するものでもない。具体的な実施態様及び例が例示の目的で本明細書において説明されているが、当業者が認識するように、様々な同等の変更が本開示の範囲内において可能である。
これらの変更は、上記詳細な説明を考慮して、本開示の実施形態に対して行うことができる。添付の特許請求の範囲において用いられる用語は、本開示の様々な実施形態を、本明細書及び特許請求の範囲において開示した具体的な実施態様に限定するものと解釈されるべきではない。それとは逆に、その範囲は、添付の特許請求の範囲によって完全に定められるべきであり、特許請求の範囲は、特許請求の範囲の解釈の確立されたドクトリンに従って解釈されるべきである。
<他の可能な請求項>
[項目1]
パッケージアセンブリであって、
複数のビルドアップ層を有するパッケージ基板と、
前記パッケージ基板に組み込まれた第1のダイであって、該第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、該第1の側面の反対側の第2の側面と、第1のシリコン貫通ビア(TSV)と、前記第2の側面の第1の部分に配置された電気配線特徴部とを有し、前記電気配線特徴部は、前記第1のTSVによって前記1つ又は複数のトランジスタのうちの少なくとも1つのトランジスタと電気的に結合されている、第1のダイと、
前記第1のダイの前記第2の側面の第2の部分に配置された接着層と、
前記接着層と結合された第2のダイであって、該第2のダイは第2のTSVを有し、該第2のTSVは、前記第1のTSVと電気的に結合されている、第2のダイと、を備える、パッケージアセンブリ。
[項目2]
前記第2のダイはメモリダイであり、
前記電気配線特徴部はTSVパッドであり、
前記第1のTSVは、前記第1のダイの第1の複数のTSVのうちの1つであり、
前記第2のTSVは、前記第1の複数のTSVと一致するとともに前記第1の複数のTSVと垂直方向に位置合わせされて配列された、前記第2のダイの第2の複数のTSVのうちの1つである、項目1に記載のパッケージアセンブリ。
[項目3]
前記第2のダイは、3次元(3D)メモリダイスタックに構成された複数のメモリダイのうちの1つである、項目2に記載のパッケージアセンブリ。
[項目4]
前記電気配線特徴部は、第1のTSVパッドであり、前記第2のダイは、前記第2のTSVと結合された第2のTSVパッドを有し、該パッケージアセンブリは、前記第1のTSVパッド及び前記第2のTSVパッドと結合されたダイ相互接続部を更に備える、項目1に記載のパッケージアセンブリ。
[項目5]
前記第1のダイと前記第2のダイとの間に配置されたアンダーフィル層を更に備える、項目1に記載のパッケージアセンブリ。
[項目6]
前記アンダーフィル層の一部は、前記接着層と前記第1のダイとの間に配置されている、項目5に記載のパッケージアセンブリ。
[項目7]
前記第2のダイを密閉するように構成された成形材料の層を更に備える、項目1に記載のパッケージアセンブリ。
[項目8]
方法であって、
第1のダイを準備することであって、該第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、電気配線特徴部を有する第2の側面と、前記電気配線特徴部に結合されるとともに前記第1の側面と前記第2の側面との間に配置される第1のシリコン貫通ビア(TSV)とを有することと、
前記第1のダイの前記第2の側面に接着層を結合することと、
前記第1のダイの前記第1の側面上に1つ又は複数のビルドアップ層を形成することと、
前記接着層に開口部を形成することと、
第2のダイを前記第1のダイの前記第2の側面と結合することであって、前記接着層は、前記第1のダイと前記第2のダイとの間に配置され、前記第2のダイは、第2のTSVを有し、前記第1のTSVは、前記開口部内を通って配置された導電パスによって前記第2のTSVと電気的に結合されることと、を含む、方法。
[項目9]
前記第1のダイの前記第2の側面に前記接着層を結合する前に、前記接着層を犠牲パネルに結合することを更に含む、項目8に記載の方法。
[項目10]
前記接着層に前記開口部を形成することは、前記第1のダイの前記第2の側面に前記接着層を結合する前に、前記接着層を通って前記犠牲パネルまで開口部を形成することを含み、前記第1のダイの前記第2の側面に前記接着層を結合することは、前記開口部に配置された前記電気配線特徴部を用いて前記接着層上に前記第1のダイの前記第2の側面を配置することを含む、項目9に記載の方法。
[項目11]
前記犠牲パネル上に銅層を形成することと、
前記銅層にキャビティを形成することと、を更に含み、前記接着層を前記犠牲パネルに結合することは、前記接着層を通って前記犠牲パネルまで前記開口部を形成する前に、前記接着層を前記キャビティ内に配置することを含む、項目10に記載の方法。
[項目12]
前記第1のダイの前記第2の側面に前記接着層を結合することは、前記接着層の一部を前記電気配線特徴部上に配置することを含み、前記方法は、
前記第1のダイ及び前記接着層を犠牲パネル上に配置することであって、前記接着層は、前記第1のダイと前記犠牲パネルとの間に配置されることと、
前記第1のダイの前記第1の側面上に前記1つ又は複数のビルドアップ層を形成した後に、前記犠牲パネルを前記接着層から除去することと、を更に含み、前記接着層に前記開口部を形成することは、前記犠牲パネルを除去して前記電気配線特徴部を露出させた後に、前記接着層の前記一部を除去することを含む、項目8に記載の方法。
[項目13]
前記接着層に前記開口部を形成することは、前記接着層の一部をレーザー放射にさらすことを含む、項目8に記載の方法。
[項目14]
前記接着層の前記一部をレーザー放射にさらすことは、レーザー投影パターニングツールによって実行される、項目13に記載の方法。
[項目15]
前記接着層の前記一部を除去することは、紫外線(UV)レーザーを用いて前記接着層の前記一部を走査することを更に含む、項目13に記載の方法。
[項目16]
前記第2のダイ及び前記1つ又は複数のビルドアップ層にわたって成形材料を付着させることを更に含み、前記第2のダイは、前記成形材料に組み込まれる、項目8に記載の方法。
[項目17]
前記第1のダイと前記第2のダイとの間にアンダーフィル材料を付着させることを更に含む、項目8に記載の方法。
[項目18]
システムであって、
回路基板と、
パッケージアセンブリであって、該パッケージアセンブリの外表面上に配置された電気配線特徴部を介して前記回路基板と結合され、
1つ又は複数のビルドアップ層を有する基板と、
前記基板に組み込まれた第1のダイであって、該第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、該第1の側面の反対側の第2の側面と、第1のTSVと、前記第2の側面上の第1の電気配線特徴部とを有し、前記第1の側面は、前記第1のTSVによって前記第1の電気配線特徴部と電気的に結合されている、第1のダイと、
前記第1のダイの前記第2の側面に配置される接着層と、
第2のTSVと、該第2のTSVと電気的に結合された第2の電気配線特徴部とを有する第2のダイと、
前記第1の電気配線特徴部と前記第2の電気配線特徴部との間に配置されたダイ相互接続部と、
前記第1のTSV及び前記第2のTSVを含む電気パスであって、該電気パスは、前記1つ又は複数のビルドアップ層を通って前記第2のダイと前記回路基板との間で電気信号を配信する、電気パスと、を含む、パッケージアセンブリと、を備える、システム。
[項目19]
前記第1のTSVは、前記第1のダイの第1の複数のTSVのうちの1つであり、前記第2のダイは、3次元(3D)スタックに配列された複数のメモリダイであり、前記第2のTSVは、前記第1の複数のTSVと一致するとともに前記第1の複数のTSVと垂直方向に位置合わせされて配列された、前記第2のダイの複数のTSVのうちの1つである、項目18に記載のシステム。
[項目20]
前記第1のダイと前記第2のダイとの間に配置されたアンダーフィル材料、又は、
前記第2のダイを密封するように配置された成形コンパウンド、を更に備える、項目19に記載のシステム。

Claims (22)

  1. パッケージアセンブリであって、
    複数のビルドアップ層を有するパッケージ基板と、
    前記パッケージ基板に組み込まれた第1のダイであって、該第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、該第1の側面の反対側の第2の側面と、第1のシリコン貫通ビア(第1のTSV)と、前記第2の側面上に配置された電気配線特徴部とを有し、前記電気配線特徴部は、前記第1のTSVによって、前記1つ又は複数のトランジスタのうちの少なくとも1つのトランジスタと電気的に結合されている、第1のダイと、
    前記第1のダイの前記第2の側面と結合された第2のダイであって、該第2のダイは、第2のTSVを有し、該第2のTSVは、前記第1のTSVと電気的に結合されている、第2のダイと、
    前記第1のダイの前記第2の側面に配置された接着層と、
    前記接着層における開口部内に配置されたアンダーフィル層と、
    を備え、前記電気配線特徴部は、前記接着層における前記開口部内に配置される、パッケージアセンブリ。
  2. 前記第2のダイはメモリダイであり、
    前記電気配線特徴部はTSVパッドであり、
    前記第1のTSVは、前記第1のダイの第1の複数のTSVのうちの1つであり、
    前記第2のTSVは、前記第1の複数のTSVと一致するとともに前記第1の複数のTSVと垂直方向に位置合わせされて配列された、前記第2のダイの第2の複数のTSVのうちの1つである、請求項1に記載のパッケージアセンブリ。
  3. 前記第2のダイは、3次元(3D)メモリダイスタックに構成された複数のメモリダイのうちの1つである、請求項1または2に記載のパッケージアセンブリ。
  4. 前記電気配線特徴部は、第1のTSVパッドであり、前記第2のダイは、前記第2のTSVと結合された第2のTSVパッドを有し、該パッケージアセンブリは、前記第1のTSVパッド及び前記第2のTSVパッドと結合されたダイ相互接続部を更に備える、請求項1または2に記載のパッケージアセンブリ。
  5. 前記第1のダイと前記第2のダイとの間に配置されたアンダーフィル層を更に備える、請求項1または2に記載のパッケージアセンブリ。
  6. 前記アンダーフィル層の一部は、前記接着層と前記第1のダイとの間に配置されている、請求項1または2に記載のパッケージアセンブリ。
  7. 前記第2のダイを密閉する成形材料の層を更に備える、請求項1または2に記載のパッケージアセンブリ。
  8. 集積回路パッケージアセンブリを製造する方法であって、
    第1のダイを準備することであって、該第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、電気配線特徴部を有する第2の側面と、前記電気配線特徴部に結合されるとともに前記第1の側面と前記第2の側面との間に配置される第1のシリコン貫通ビア(第1のTSV)とを有することと、
    接着層を犠牲パネルに結合することと、
    前記接着層に開口部を形成することと、
    前記第1のダイの前記第2の側面に前記接着層を結合することと、
    前記第1のダイの前記第1の側面上に1つ又は複数のビルドアップ層を形成することと、
    前記犠牲パネルを前記接着層から除去することと、
    第2のダイを前記第1のダイの前記第2の側面と結合することであって、前記接着層は、前記第1のダイと前記第2のダイとの間に配置され、前記第2のダイは、第2のTSVを有し、前記第1のTSVは、前記開口部内を通って配置された導電パスによって前記第2のTSVと電気的に結合されることと、
    前記接着層の前記開口部にアンダーフィル材料を配置することと、
    を含む、集積回路パッケージアセンブリを製造する方法。
  9. 前記接着層に前記開口部を形成することは、前記第1のダイの前記第2の側面に前記接着層を結合する前に、前記接着層を通って前記犠牲パネルまで開口部を形成することを含み、前記第1のダイの前記第2の側面に前記接着層を結合することは、前記開口部に配置された前記電気配線特徴部を用いて前記接着層上に前記第1のダイの前記第2の側面を配置することを含む、請求項8に記載の方法。
  10. 前記犠牲パネル上に銅層を形成することと、
    前記銅層にキャビティを形成することと、
    を更に含み、前記接着層を前記犠牲パネルに結合することは、前記接着層を通って前記犠牲パネルまで前記開口部を形成する前に、前記接着層を前記キャビティ内に配置することを含む、請求項9に記載の方法。
  11. 集積回路パッケージアセンブリを製造する方法であって、
    第1のダイを準備することであって、該第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、電気配線特徴部を有する第2の側面と、前記電気配線特徴部に結合されるとともに前記第1の側面と前記第2の側面との間に配置される第1のシリコン貫通ビア(第1のTSV)とを有することと、
    前記第1のダイの前記第2の側面に接着層を結合することと、
    前記第1のダイ及び前記接着層を犠牲パネル上に配置することであって、前記接着層は、前記第1のダイと前記犠牲パネルとの間に配置されることと、
    前記第1のダイの前記第1の側面上に1つ又は複数のビルドアップ層を形成することと、
    前記犠牲パネルを前記接着層から除去することと、
    前記接着層に開口部を形成することと、
    第2のダイを前記第1のダイの前記第2の側面と結合することであって、前記接着層は、前記第1のダイと前記第2のダイとの間に配置され、前記第2のダイは、第2のTSVを有し、前記第1のTSVは、前記開口部内を通って配置された導電パスによって前記第2のTSVと電気的に結合されることと、
    前記接着層の前記開口部にアンダーフィル材料を配置することと、
    を含む、集積回路パッケージアセンブリを製造する方法。
  12. 前記第1のダイの前記第2の側面に前記接着層を結合することは、前記接着層の一部を前記電気配線特徴部上に配置することを含み、
    前記接着層に前記開口部を形成することは、前記犠牲パネルを除去して前記電気配線特徴部を露出させた後に、前記接着層の前記一部を除去することを含む、請求項11に記載の方法。
  13. 前記接着層に前記開口部を形成することは、前記接着層の一部をレーザー放射にさらすことを含む、請求項8〜12のいずれか一項に記載の方法。
  14. 前記接着層の前記一部をレーザー放射にさらすことは、レーザー投影パターニングツールによって実行される、請求項13に記載の方法。
  15. 前記接着層の前記一部を除去することは、紫外線(UV)レーザーを用いて前記接着層の前記一部を走査することを更に含む、請求項13に記載の方法。
  16. 前記第2のダイ及び前記1つ又は複数のビルドアップ層にわたって成形材料を付着させることを更に含み、前記第2のダイは、前記成形材料に組み込まれる、請求項8〜15のいずれか1項に記載の方法。
  17. 前記第1のダイと前記第2のダイとの間にアンダーフィル材料を付着させることを更に含む、請求項8〜15のいずれか1項に記載の方法。
  18. コンピューティングデバイスであって、
    回路基板と、
    パッケージアセンブリであって、該パッケージアセンブリの外表面上に配置された電気配線特徴部を介して前記回路基板と結合され、
    1つ又は複数のビルドアップ層を有する基板と、
    前記基板に組み込まれた第1のダイであって、該第1のダイは、1つ又は複数のトランジスタを有する第1の側面と、該第1の側面の反対側の第2の側面と、第1のTSVと、前記第2の側面上の第1の電気配線特徴部とを有し、前記第1の側面は、前記第1のTSVによって前記第1の電気配線特徴部と電気的に結合されている、第1のダイと、
    第2のTSVと、該第2のTSVと電気的に結合された第2の電気配線特徴部とを有する第2のダイと、
    前記第1のダイの前記第2の側面に配置された接着層と、
    前記接着層における開口部内に配置されたアンダーフィル材料と、
    前記第1の電気配線特徴部と前記第2の電気配線特徴部との間に配置されたダイ相互接続部と、
    前記第1のTSV及び前記第2のTSVを含む電気パスであって、該電気パスは、前記1つ又は複数のビルドアップ層を通って前記第2のダイと前記回路基板との間で電気信号を配信する、電気パスと、
    を含み、前記電気配線特徴部は、前記接着層における前記開口部内に配置される、パッケージアセンブリと、
    を備える、コンピューティングデバイス。
  19. 前記第1のダイは、マイクロプロセッサダイを含む、請求項18に記載のコンピューティングデバイス。
  20. 前記第1のTSVは、前記第1のダイの第1の複数のTSVのうちの1つであり、前記第2のダイは、3次元(3D)スタックに配列された複数のメモリダイのうちの1つであり、前記第2のTSVは、前記第1の複数のTSVと一致するとともに前記第1の複数のTSVと垂直方向に位置合わせされて配列された、前記第2のダイの複数のTSVのうちの1つである、請求項18に記載のコンピューティングデバイス。
  21. 前記第1のダイと前記第2のダイとの間に配置されたアンダーフィル材料、又は、
    前記第2のダイを密封するように配置された成形コンパウンド、
    を更に備える、請求項18〜20のいずれか1項に記載のコンピューティングデバイス。
  22. アンテナ、タッチスクリーンディスプレイ、タッチスクリーンコントローラー、バッテリー、全地球測位システム(GPS)デバイス、コンパス、スピーカー、カメラ、及びマスストレージデバイスのうちの1つ又は複数を更に備える、請求項18〜20のいずれか1項に記載のコンピューティングデバイス。
JP2017024980A 2013-05-13 2017-02-14 パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積 Active JP6355059B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/893,216 2013-05-13
US13/893,216 US9000599B2 (en) 2013-05-13 2013-05-13 Multichip integration with through silicon via (TSV) die embedded in package

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014088185A Division JP6095604B2 (ja) 2013-05-13 2014-04-22 パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積

Publications (2)

Publication Number Publication Date
JP2017085183A true JP2017085183A (ja) 2017-05-18
JP6355059B2 JP6355059B2 (ja) 2018-07-11

Family

ID=51864230

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014088185A Active JP6095604B2 (ja) 2013-05-13 2014-04-22 パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積
JP2017024980A Active JP6355059B2 (ja) 2013-05-13 2017-02-14 パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014088185A Active JP6095604B2 (ja) 2013-05-13 2014-04-22 パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積

Country Status (4)

Country Link
US (3) US9000599B2 (ja)
JP (2) JP6095604B2 (ja)
KR (1) KR101613009B1 (ja)
CN (1) CN104157631A (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9000599B2 (en) * 2013-05-13 2015-04-07 Intel Corporation Multichip integration with through silicon via (TSV) die embedded in package
US9379041B2 (en) 2013-12-11 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fan out package structure
US20150380343A1 (en) * 2014-06-27 2015-12-31 Raytheon Company Flip chip mmic having mounting stiffener
TWI582553B (zh) * 2014-09-30 2017-05-11 巨擘科技股份有限公司 實體指針式腕錶結構及將通訊功能附加於腕錶的方法
US9626311B2 (en) * 2015-01-22 2017-04-18 Qualcomm Incorporated Memory controller placement in a three-dimensional (3D) integrated circuit (IC) (3DIC) employing distributed through-silicon-via (TSV) farms
KR102316267B1 (ko) 2015-04-15 2021-10-22 삼성전자주식회사 씨오피 구조를 갖는 메모리 장치, 이를 포함하는 메모리 패키지 및 그 제조 방법
US10249740B2 (en) * 2015-06-27 2019-04-02 Intel Corporation Ge nano wire transistor with GaAs as the sacrificial layer
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
KR102497239B1 (ko) 2015-12-17 2023-02-08 삼성전자주식회사 고속 신호 특성을 갖는 반도체 모듈
KR102493462B1 (ko) 2016-02-11 2023-01-30 삼성전자 주식회사 반도체 칩 적층을 위한 장치
US20170287838A1 (en) 2016-04-02 2017-10-05 Intel Corporation Electrical interconnect bridge
DE112016006809T5 (de) 2016-04-28 2019-02-14 Intel Corporation Integrierte schaltungsstrukturen mit erweiterten leitungswegen
US10256219B2 (en) 2016-09-08 2019-04-09 Intel Corporation Forming embedded circuit elements in semiconductor package assembles and structures formed thereby
KR102077455B1 (ko) 2017-07-04 2020-02-14 삼성전자주식회사 반도체 장치
KR102018616B1 (ko) * 2017-07-04 2019-09-06 삼성전자주식회사 반도체 장치
US10658281B2 (en) * 2017-09-29 2020-05-19 Intel Corporation Integrated circuit substrate and method of making
KR102450580B1 (ko) 2017-12-22 2022-10-07 삼성전자주식회사 금속 배선 하부의 절연층 구조를 갖는 반도체 장치
US11036030B2 (en) * 2018-06-15 2021-06-15 Silicon Light Machines Corporation MEMS posting for increased thermal dissipation
KR102596758B1 (ko) 2018-10-24 2023-11-03 삼성전자주식회사 반도체 패키지
CN109546278B (zh) * 2018-10-25 2021-05-28 西安电子科技大学 一种基于硅通孔的三维耦合器及其制备方法
TWI719670B (zh) * 2018-11-30 2021-02-21 台灣積體電路製造股份有限公司 積體電路封裝體及其製造方法
US11217538B2 (en) 2018-11-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10803548B2 (en) 2019-03-15 2020-10-13 Intel Corporation Disaggregation of SOC architecture
WO2020210928A1 (en) 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Integration of three-dimensional nand memory devices with multiple functional chips
EP3771028A1 (en) * 2019-07-25 2021-01-27 Nxp B.V. Semiconductor device and method
KR20210120221A (ko) 2020-03-26 2021-10-07 삼성전자주식회사 반도체 스택 및 그 제조 방법
US20220102344A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Gallium nitride (gan) three-dimensional integrated circuit technology

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009110995A (ja) * 2007-10-26 2009-05-21 Toray Eng Co Ltd 3次元実装方法及び装置
JP2013080912A (ja) * 2011-09-22 2013-05-02 Toshiba Corp 半導体装置とその製造方法
WO2013066294A1 (en) * 2011-10-31 2013-05-10 Intel Corporation Multi die package structures

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3732148B2 (ja) * 2002-02-19 2006-01-05 住友ベークライト株式会社 半導体装置の製造方法及び半導体装置
JP4271590B2 (ja) * 2004-01-20 2009-06-03 新光電気工業株式会社 半導体装置及びその製造方法
JP4540642B2 (ja) * 2006-07-05 2010-09-08 積水化学工業株式会社 半導体の製造方法
KR101481577B1 (ko) * 2008-09-29 2015-01-13 삼성전자주식회사 잉크 젯 방식의 댐을 구비하는 반도체 패키지 및 그 제조방법
US8344503B2 (en) * 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
KR101058621B1 (ko) * 2009-07-23 2011-08-22 삼성전기주식회사 반도체 패키지 및 이의 제조 방법
JP2011061004A (ja) * 2009-09-10 2011-03-24 Elpida Memory Inc 半導体装置及びその製造方法
JP2011071381A (ja) 2009-09-28 2011-04-07 Toshiba Corp 積層型半導体装置およびその製造方法
US8786066B2 (en) * 2010-09-24 2014-07-22 Intel Corporation Die-stacking using through-silicon vias on bumpless build-up layer substrates including embedded-dice, and processes of forming same
US8993377B2 (en) * 2010-09-29 2015-03-31 Stats Chippac, Ltd. Semiconductor device and method of bonding different size semiconductor die at the wafer level
JP5361840B2 (ja) * 2010-10-18 2013-12-04 信越化学工業株式会社 半導体装置の製造方法、及び該製造方法を用いて製作された半導体装置
JP2012138394A (ja) * 2010-12-24 2012-07-19 Elpida Memory Inc 半導体装置の製造方法
JP2012212698A (ja) * 2011-03-30 2012-11-01 Toshiba Corp 半導体装置の製造方法
JP2013021119A (ja) * 2011-07-11 2013-01-31 Shin Etsu Chem Co Ltd ウエハーレベルアンダーフィル剤組成物、これを用いた半導体装置及びその製造方法
US9000599B2 (en) * 2013-05-13 2015-04-07 Intel Corporation Multichip integration with through silicon via (TSV) die embedded in package

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009110995A (ja) * 2007-10-26 2009-05-21 Toray Eng Co Ltd 3次元実装方法及び装置
JP2013080912A (ja) * 2011-09-22 2013-05-02 Toshiba Corp 半導体装置とその製造方法
WO2013066294A1 (en) * 2011-10-31 2013-05-10 Intel Corporation Multi die package structures

Also Published As

Publication number Publication date
US9397079B2 (en) 2016-07-19
JP2014222750A (ja) 2014-11-27
KR101613009B1 (ko) 2016-04-15
JP6355059B2 (ja) 2018-07-11
US20140332975A1 (en) 2014-11-13
CN104157631A (zh) 2014-11-19
JP6095604B2 (ja) 2017-03-15
US9000599B2 (en) 2015-04-07
US20160322344A1 (en) 2016-11-03
US9716084B2 (en) 2017-07-25
US20150171067A1 (en) 2015-06-18
KR20140134226A (ko) 2014-11-21

Similar Documents

Publication Publication Date Title
JP6355059B2 (ja) パッケージに組み込まれたシリコン貫通ビア(tsv)ダイを有するマルチチップ集積
US11328937B2 (en) Low cost package warpage solution
US10453799B2 (en) Logic die and other components embedded in build-up layers
US20200020636A1 (en) Substrate with embedded stacked through-silicon via die
JP6773367B2 (ja) パッケージオンパッケージのため凹型導電性コンタクトを有する集積回路構造及び方法
TWI623973B (zh) 具有倒角角隅之微電子晶粒
JP2016529716A (ja) パッケージオンパッケージ積層マイクロ電子構造体
JP2016535462A (ja) ワイヤボンディングされたマルチダイスタックを有する集積回路パッケージ
TW201246499A (en) A multi-chip package having a substrate with a plurality of vertically embedded die and a process forming the same
TWI590346B (zh) 用以形成高密度穿模互連的方法
KR102108608B1 (ko) 스케일링가능한 패키지 아키텍처 및 연관된 기법과 구성
CN116110887A (zh) 具有利用嵌入微电子衬底中的微电子桥连接的多个微电子器件的微电子结构
US20130313727A1 (en) Multi-stacked bbul package
US20230088392A1 (en) Thermally conductive sleeves around tgvs for improved heat dissipation in glass core substrates or glass interposers
US20170092618A1 (en) Package topside ball grid array for ultra low z-height

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170919

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180216

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180601

R150 Certificate of patent or registration of utility model

Ref document number: 6355059

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250